diff --git a/openlane/caravan_core/config.tcl b/openlane/caravan_core/config.tcl new file mode 100644 index 00000000..dd5ad434 --- /dev/null +++ b/openlane/caravan_core/config.tcl @@ -0,0 +1,294 @@ +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 + +set ::env(DESIGN_NAME) caravan_core +set ::env(ROUTING_CORES) 36 +set ::env(DESIGN_IS_CORE) 1 +set ::env(BASE_SDC_FILE) "$::env(DESIGN_DIR)/sdc_files/base.sdc" +set ::env(RCX_SDC_FILE) "$::env(DESIGN_DIR)/sdc_files/rcx.sdc" + +set ::env(VERILOG_FILES) "\ + $::env(CARAVEL_ROOT)/verilog/rtl/defines.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/user_defines.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/caravan_core.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/mgmt_protect.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/digital_pll.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/clock_div.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/gpio_control_block.v \ + $::env(MCW_ROOT)/verilog/rtl/mgmt_core_wrapper.v \ + $::env(MCW_ROOT)/verilog/rtl/mgmt_core.v \ + $::env(MCW_ROOT)/verilog/rtl/ibex_all.v \ + $::env(MCW_ROOT)/verilog/rtl/picorv32.v \ + $::env(MCW_ROOT)/verilog/rtl/VexRiscv_MinDebugCache.v \ + $::env(MCW_ROOT)/verilog/rtl/RAM256.v \ +" + +set ::env(RUN_KLAYOUT) 0 + +# clock constraints +set ::env(CLOCK_PORT) "clock_core" +set ::env(CLOCK_NET) "caravel_clk" +set ::env(CLOCK_PERIOD) 25 + +# Synthesis +set ::env(SYNTH_STRATEGY) "DELAY 1" +set ::env(SYNTH_DEFINES) "PnR TOP_ROUTING" +set ::env(NO_SYNTH_CELL_LIST) $::env(DESIGN_DIR)/synth_configuration/no_synth.cells +set ::env(DRC_EXCLUDE_CELL_LIST) $::env(DESIGN_DIR)/synth_configuration/drc_exclude.cells +set ::env(SYNTH_READ_BLACKBOX_LIB) 1 +# set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS" +set ::env(SYNTH_BUFFERING) 0 +set ::env(SYNTH_EXTRA_MAPPING_FILE) "$::env(DESIGN_DIR)/synth_configuration/yosys_mapping.v" +set ::env(SYNTH_MAX_FANOUT) 12 +set ::env(SYNTH_CAP_LOAD) 52 +set ::env(SYNTH_CLOCK_TRANSITION) 0.6 +set ::env(SYNTH_CLOCK_UNCERTAINTY) 0.25 +set ::env(SYNTH_MAX_TRAN) 0.50 +set ::env(QUIT_ON_SYNTH_CHECKS) 0 + +## Floorplan +set ::env(FP_SIZING) absolute +set ::env(DIE_AREA) "0 0 3165 4767" +set ::env(CORE_AREA) "10 10 3155 4757" + +set ::env(FP_DEF_TEMPLATE) $::env(DESIGN_DIR)/floorplan_configuration/io.def + +set ::env(FP_PDN_VERTICAL_HALO) "8" +set ::env(FP_PDN_HORIZONTAL_HALO) "1" + +set ::env(FP_IO_MIN_DISTANCE) 5 + +set ::env(FP_IO_VEXTEND) 2 +set ::env(FP_IO_HEXTEND) 2 + +set ::env(FP_TAPCELL_DIST) 10 +set ::env(PL_MACRO_HALO) "-1 -3" +# set ::env(CELL_PAD) 0 + +## PDN +set ::env(VSRC_LOC) $::env(DESIGN_DIR)/floorplan_configuration/Vsrc.loc + +set ::env(FP_PDN_ENABLE_MACROS_GRID) 1 +set ::env(FP_PDN_CFG) [glob $::env(DESIGN_DIR)/pdn_configuration/pdn.tcl] +set ::env(FP_PDN_CHECK_NODES) 0 + +set ::env(FP_PDN_CORE_RING) 1 +set ::env(FP_PDN_SKIPTRIM) 0 + +set ::env(VDD_NETS) "vccd vccd1 vccd2 vdda1 vdda2 vddio" +set ::env(GND_NETS) "vssd vssd1 vssd2 vssa1 vssa2 vssio" + +set ::env(FP_PDN_MACRO_HOOKS) { + user_id_value vccd vssd VPWR VGND,\ + housekeeping vccd vssd VPWR VGND,\ + mprj vccd1 vssd1 vccd1 vssd1,\ + mprj vccd2 vssd2 vccd2 vssd2,\ + mprj vdda1 vssa1 vdda1 vssa1,\ + mprj vdda2 vssa2 vdda2 vssa2,\ + soc.core.RAM256.BANK128\\\\\\[0\\\\\\].RAM128 vccd vssd VPWR VGND,\ + soc.core.RAM256.BANK128\\\\\\[1\\\\\\].RAM128 vccd vssd VPWR VGND,\ + soc.core.RAM128 vccd vssd vccd1 vssd1,\ + mgmt_buffers.mprj_logic_high_inst vccd1 vssd1 vccd1 vssd1,\ + mgmt_buffers.mprj2_logic_high_inst vccd2 vssd2 vccd2 vssd2,\ + mgmt_buffers.powergood_check vccd vssd vccd vssd,\ + mgmt_buffers.powergood_check vdda1 vssa1 vdda1 vssa1,\ + mgmt_buffers.powergood_check vdda2 vssa2 vdda2 vssa2,\ + gpio_control_bidir_1\\\\\\[0\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_bidir_1\\\\\\[1\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1a\\\\\\[0\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1a\\\\\\[1\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1a\\\\\\[2\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1a\\\\\\[3\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1a\\\\\\[4\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1a\\\\\\[5\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1\\\\\\[0\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1\\\\\\[1\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1\\\\\\[2\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1\\\\\\[3\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1\\\\\\[4\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_1\\\\\\[5\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[0\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[1\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[2\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[3\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[4\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[5\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[6\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[7\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[8\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_in_2\\\\\\[9\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_bidir_2\\\\\\[0\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_bidir_2\\\\\\[1\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + gpio_control_bidir_2\\\\\\[2\\\\\\].gpio_logic_high vccd1 vssd1 vccd1 vssd1,\ + spare_logic\\\\\\[0\\\\\\] vccd vssd vccd vssd,\ + spare_logic\\\\\\[1\\\\\\] vccd vssd vccd vssd,\ + spare_logic\\\\\\[2\\\\\\] vccd vssd vccd vssd,\ + spare_logic\\\\\\[3\\\\\\] vccd vssd vccd vssd,\ + clock_ctrl vccd vssd VPWR VGND,\ + por vddio vssio vdd3v3 vss3v3,\ + por vccd vssd vdd1v8 vss1v8,\ + rstb_level vddio vssio VPWR VGND,\ + rstb_level vccd vssd LVPWR LVGND\ +} + +set ::env(FP_PDN_CORE_RING_VWIDTH) 10 +set ::env(FP_PDN_CORE_RING_HWIDTH) 10 +set ::env(FP_PDN_CORE_RING_VSPACING) 2 +set ::env(FP_PDN_CORE_RING_VOFFSET) 1 +set ::env(FP_PDN_CORE_RING_HSPACING) 2 +set ::env(FP_PDN_CORE_RING_VOFFSET) 0 +set ::env(FP_PDN_CORE_RING_HOFFSET) 0 +set ::env(FP_PDN_VPITCH) 264 +set ::env(FP_PDN_HPITCH) 360 +set ::env(FP_PDN_VSPACING) 19 +set ::env(FP_PDN_HSPACING) 27 +set ::env(FP_PDN_VWIDTH) 3 +set ::env(FP_PDN_HWIDTH) 3 +set ::env(FP_PDN_HOFFSET) 30.65 +set ::env(FP_PDN_VOFFSET) 3.5 + +##CTS +set ::env(RUN_CTS) 1 +set ::env(CTS_MAX_CAP) 0.3 +set ::env(CTS_SINK_CLUSTERING_SIZE) 12 +set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) 30 +set ::env(CTS_CLK_BUFFER_LIST) {sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_4} +set ::env(CTS_CLK_MAX_WIRE_LENGTH) 1000 + +##PLACEMENT +set ::env(PL_ROUTABILITY_DRIVEN) 1 +set ::env(PL_TIME_DRIVEN) 1 +set ::env(PL_WIRELENGTH_COEF) 0.01 +set ::env(PL_TARGET_DENSITY) 0.24 + +set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 1 +set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 1 +set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) 0.03 +set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) 0 +set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) 0.1 +set ::env(PL_RESIZER_MAX_WIRE_LENGTH) 1000 +set ::env(PL_RESIZER_MAX_SLEW_MARGIN) 50 +set ::env(PL_RESIZER_MAX_CAP_MARGIN) 50 + +##ROUTING +set ::env(GRT_ALLOW_CONGESTION) 1 + +set ::env(GRT_ADJUSTMENT) 0.10 +## li1 ,met1,met2,met3,met4,met5 +# set ::env(GRT_LAYER_ADJUSTMENTS) "0.99,0.10,0.05,0.10,0.05,0.00" +# set ::env(GRT_LAYER_ADJUSTMENTS) "0.99,0.20,0.10,0.20,0.05,0.00" +# set ::env(GRT_OVERFLOW_ITERS) 60 + +set ::env(GRT_ESTIMATE_PARASITICS) 1 + +set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 1 +set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.05 +set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) 1 +set ::env(GLB_RESIZER_MAX_WIRE_LENGTH) 600 +set ::env(GLB_RESIZER_MAX_SLEW_MARGIN) 30 +set ::env(GLB_RESIZER_MAX_CAP_MARGIN) 30 + +## Antenna +set ::env(GRT_REPAIR_ANTENNAS) 1 +set ::env(RUN_HEURISTIC_DIODE_INSERTION) 1 +set ::env(HEURISTIC_ANTENNA_THRESHOLD) 80 +set ::env(DIODE_ON_PORTS) "none" +set ::env(GRT_ANT_MARGIN) 10 +set ::env(GRT_ANT_ITERS) 12 +set ::env(GRT_MAX_DIODE_INS_ITERS) 4 +set ::env(DIODE_PADDING) 0 + +## MACROS +set ::env(MACRO_PLACEMENT_CFG) [glob $::env(DESIGN_DIR)/floorplan_configuration//macro_placement.cfg] + +set ::env(VERILOG_FILES_BLACKBOX) "\ + $::env(CARAVEL_ROOT)/verilog/rtl/defines.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/user_id_programming.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/__user_analog_project_wrapper.v \ + $::env(CARAVEL_ROOT)/verilog/gl/housekeeping.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/simple_por.v \ + $::env(CARAVEL_ROOT)/verilog/gl/xres_buf.v \ + $::env(CARAVEL_ROOT)/verilog/gl/spare_logic_block.v \ + $::env(CARAVEL_ROOT)/verilog/rtl/gpio_defaults_block.v \ + $::env(CARAVEL_ROOT)/verilog/gl/mprj_logic_high.v \ + $::env(CARAVEL_ROOT)/verilog/gl/mprj2_logic_high.v \ + $::env(CARAVEL_ROOT)/verilog/gl/mgmt_protect_hv.v \ + $::env(CARAVEL_ROOT)/verilog/gl/gpio_logic_high.v \ + $::env(CARAVEL_ROOT)/verilog/gl/empty_macro.v \ + $::env(CARAVEL_ROOT)/verilog/gl/empty_macro_1.v \ + $::env(CARAVEL_ROOT)/verilog/gl/caravel_clocking.v \ + $::env(CARAVEL_ROOT)/verilog/gl/caravan_signal_routing.v \ + $::env(MCW_ROOT)/verilog/gl/RAM128.v \ +" + +set ::env(EXTRA_LEFS) "\ + $::env(CARAVEL_ROOT)/lef/user_id_programming.lef \ + $::env(CARAVEL_ROOT)/lef/user_analog_project_wrapper_empty.lef \ + $::env(CARAVEL_ROOT)/lef/housekeeping.lef \ + $::env(CARAVEL_ROOT)/lef/simple_por.lef \ + $::env(CARAVEL_ROOT)/lef/xres_buf.lef \ + $::env(CARAVEL_ROOT)/lef/spare_logic_block.lef \ + $::env(CARAVEL_ROOT)/lef/gpio_defaults_block.lef \ + $::env(CARAVEL_ROOT)/lef/mprj_logic_high.lef \ + $::env(CARAVEL_ROOT)/lef/mprj2_logic_high.lef \ + $::env(CARAVEL_ROOT)/lef/mgmt_protect_hv.lef \ + $::env(CARAVEL_ROOT)/lef/gpio_logic_high.lef \ + $::env(CARAVEL_ROOT)/lef/empty_macro.lef \ + $::env(CARAVEL_ROOT)/lef/empty_macro_1.lef \ + $::env(CARAVEL_ROOT)/lef/caravel_clocking.lef \ + $::env(CARAVEL_ROOT)/lef/caravan_signal_routing.lef \ + $::env(MCW_ROOT)/lef/RAM128.lef \ +" + +set ::env(EXTRA_GDS_FILES) "\ + $::env(CARAVEL_ROOT)/gds/user_id_programming.gds \ + $::env(CARAVEL_ROOT)/gds/user_analog_project_wrapper_empty.gds \ + $::env(CARAVEL_ROOT)/gds/housekeeping.gds \ + $::env(CARAVEL_ROOT)/gds/simple_por.gds \ + $::env(CARAVEL_ROOT)/gds/xres_buf.gds \ + $::env(CARAVEL_ROOT)/gds/spare_logic_block.gds \ + $::env(CARAVEL_ROOT)/gds/gpio_defaults_block.gds \ + $::env(CARAVEL_ROOT)/gds/mprj_logic_high.gds \ + $::env(CARAVEL_ROOT)/gds/mprj2_logic_high.gds \ + $::env(CARAVEL_ROOT)/gds/mgmt_protect_hv.gds \ + $::env(CARAVEL_ROOT)/gds/gpio_logic_high.gds \ + $::env(CARAVEL_ROOT)/gds/empty_macro.gds \ + $::env(CARAVEL_ROOT)/gds/empty_macro_1.gds \ + $::env(CARAVEL_ROOT)/gds/caravel_clocking.gds \ + $::env(CARAVEL_ROOT)/gds/caravan_signal_routing.gds \ + $::env(MCW_ROOT)/gds/RAM128.gds \ +" + +set ::env(EXTRA_LIBS) "\ + $::env(CARAVEL_ROOT)/lib/housekeeping.lib \ + $::env(CARAVEL_ROOT)/lib/gpio_defaults_block.lib \ + $::env(CARAVEL_ROOT)/lib/gpio_logic_high.lib \ + $::env(CARAVEL_ROOT)/lib/mprj_io_buffer.lib \ + $::env(CARAVEL_ROOT)/lib/user_project_wrapper.lib \ + $::env(CARAVEL_ROOT)/lib/caravel_clocking.lib \ + $::env(MCW_ROOT)/signoff/RAM128/primetime/lib/ff/RAM128.nom.lib \ +" + +set ::env(STA_WRITE_LIB) 0 + +## For faster development +set ::env(QUIT_ON_TR_DRC) 1 +set ::env(QUIT_ON_LVS_ERROR) 0 +set ::env(QUIT_ON_MAGIC_DRC) 0 + +set ::env(MAGIC_DEF_LABELS) 0 +set ::env(MAGIC_EXT_USE_GDS) 1 + +set ::env(RSZ_DONT_TOUCH_RX) "rstb_h|porb_h|serial_clock_out|serial_load_out|ringosc|mgmt_buffers.la_data_out_core|mprj_ack_i_user|mprj_dat_i_user|user_irq_core|3v3|clamp" \ No newline at end of file diff --git a/openlane/caravan_core/floorplan_configuration/Vsrc.loc b/openlane/caravan_core/floorplan_configuration/Vsrc.loc new file mode 100644 index 00000000..88ecb946 --- /dev/null +++ b/openlane/caravan_core/floorplan_configuration/Vsrc.loc @@ -0,0 +1 @@ +9.880,191.880,48,1.8 \ No newline at end of file diff --git a/openlane/caravan_core/floorplan_configuration/io.def b/openlane/caravan_core/floorplan_configuration/io.def new file mode 100644 index 00000000..4b9b6377 --- /dev/null +++ b/openlane/caravan_core/floorplan_configuration/io.def @@ -0,0 +1,2594 @@ + +VERSION 5.8 ; +DIVIDERCHAR "/" ; +BUSBITCHARS "[]" ; +DESIGN caravan_core ; +UNITS DISTANCE MICRONS 1000 ; +DIEAREA ( 0 0 ) ( 3165000 4767000 ) ; +PINS 621 ; + - clock_core + NET clock_core + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 725275 1000 ) N ; + - flash_clk_frame + NET flash_clk_frame + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1597475 1000 ) N ; + - flash_clk_oeb + NET flash_clk_oeb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1613115 1000 ) N ; + - flash_csb_frame + NET flash_csb_frame + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1323475 1000 ) N ; + - flash_csb_oeb + NET flash_csb_oeb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1339115 1000 ) N ; + - flash_io0_di + NET flash_io0_di + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1816275 1000 ) N ; + - flash_io0_do + NET flash_io0_do + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1871475 1000 ) N ; + - flash_io0_ieb + NET flash_io0_ieb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1849855 1000 ) N ; + - flash_io0_oeb + NET flash_io0_oeb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1887115 1000 ) N ; + - flash_io1_di + NET flash_io1_di + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2090275 1000 ) N ; + - flash_io1_do + NET flash_io1_do + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2145475 1000 ) N ; + - flash_io1_ieb + NET flash_io1_ieb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2123855 1000 ) N ; + - flash_io1_oeb + NET flash_io1_oeb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2161115 1000 ) N ; + - gpio_in_core + NET gpio_in_core + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2364275 1000 ) N ; + - gpio_inenb_core + NET gpio_inenb_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2397855 1000 ) N ; + - gpio_mode0_core + NET gpio_mode0_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2391875 1000 ) N ; + - gpio_mode1_core + NET gpio_mode1_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2413495 1000 ) N ; + - gpio_out_core + NET gpio_out_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2419475 1000 ) N ; + - gpio_outenb_core + NET gpio_outenb_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2435115 1000 ) N ; + - por_l + NET por_l + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 758855 1000 ) N ; + - porb_h + NET porb_h + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1329915 1000 ) N ; + - rstb_h + NET rstb_h + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -11525 ) ( 140 3000 ) + + PLACED ( 496975 1000 ) N ; + + - user_analog[0] + NET user_analog[0] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -300 ) ( 1000 200 ) + + PLACED ( 3164500 4585280 ) N ; + - user_analog[1] + NET user_analog[1] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 2966500 4767000 ) N ; + - user_analog[2] + NET user_analog[2] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 2451500 4767000 ) N ; + - user_analog[3] + NET user_analog[3] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 2194500 4767000 ) N ; + + - user_analog[4] + NET user_analog[4] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1706500 4767000 ) N ; + - user_clamp_high[0] + NET user_clamp_high[0] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1756500 4767000 ) N ; + - user_clamp_low[0] + NET user_clamp_low[0] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1743500 4767000 ) N ; + + - user_analog[5] + NET user_analog[5] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1287500 4767000 ) N ; + - user_clamp_high[1] + NET user_clamp_high[1] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1247500 4767000 ) N ; + - user_clamp_low[1] + NET user_clamp_low[1] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1234500 4767000 ) N ; + + - user_analog[6] + NET user_analog[6] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1024500 4767000 ) N ; + - user_clamp_high[2] + NET user_clamp_high[2] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 984500 4767000 ) N ; + - user_clamp_low[2] + NET user_clamp_low[2] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 971500 4767000 ) N ; + + - user_analog[7] + NET user_analog[7] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 725500 4767000 ) N ; + - user_analog[8] + NET user_analog[8] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 468500 4767000 ) N ; + - user_analog[9] + NET user_analog[9] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 211500 4767000 ) N ; + - user_analog[10] + NET user_analog[10] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( -500 -300 ) ( 500 200 ) + + PLACED ( 0 4599000 ) N ; + + + + - mprj_io_in[0] + NET mprj_io_in[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 294275 ) N ; + + - mprj_io_one[0] + NET mprj_io_one[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 300255 ) N ; + + - mprj_io_slow_sel[0] + NET mprj_io_slow_sel[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 303475 ) N ; + + - mprj_io_dm[1] + NET mprj_io_dm[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 312675 ) N ; + + - mprj_io_analog_en[0] + NET mprj_io_analog_en[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 318655 ) N ; + + - mprj_io_dm[0] + NET mprj_io_dm[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 321875 ) N ; + + - mprj_io_analog_pol[0] + NET mprj_io_analog_pol[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 325095 ) N ; + + - mprj_io_inp_dis[0] + NET mprj_io_inp_dis[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 327855 ) N ; + + - mprj_io_analog_sel[0] + NET mprj_io_analog_sel[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 340275 ) N ; + + - mprj_io_dm[2] + NET mprj_io_dm[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 343495 ) N ; + + - mprj_io_holdover[0] + NET mprj_io_holdover[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 346715 ) N ; + + - mprj_io_out[0] + NET mprj_io_out[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 349475 ) N ; + + - mprj_io_vtrip_sel[0] + NET mprj_io_vtrip_sel[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 358675 ) N ; + + - mprj_io_ib_mode_sel[0] + NET mprj_io_ib_mode_sel[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 361895 ) N ; + + - mprj_io_oeb[0] + NET mprj_io_oeb[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 365115 ) N ; + + - mprj_io_in_3v3[0] + NET mprj_io_in_3v3[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 367875 ) N ; + + - mprj_io_in[1] + NET mprj_io_in[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 520275 ) N ; + + - mprj_io_one[1] + NET mprj_io_one[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 526255 ) N ; + + - mprj_io_slow_sel[1] + NET mprj_io_slow_sel[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 529475 ) N ; + + - mprj_io_dm[4] + NET mprj_io_dm[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 538675 ) N ; + + - mprj_io_analog_en[1] + NET mprj_io_analog_en[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 544655 ) N ; + + - mprj_io_dm[3] + NET mprj_io_dm[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 547875 ) N ; + + - mprj_io_analog_pol[1] + NET mprj_io_analog_pol[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 551095 ) N ; + + - mprj_io_inp_dis[1] + NET mprj_io_inp_dis[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 553855 ) N ; + + - mprj_io_analog_sel[1] + NET mprj_io_analog_sel[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 566275 ) N ; + + - mprj_io_dm[5] + NET mprj_io_dm[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 569495 ) N ; + + - mprj_io_holdover[1] + NET mprj_io_holdover[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 572715 ) N ; + + - mprj_io_out[1] + NET mprj_io_out[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 575475 ) N ; + + - mprj_io_vtrip_sel[1] + NET mprj_io_vtrip_sel[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 584675 ) N ; + + - mprj_io_ib_mode_sel[1] + NET mprj_io_ib_mode_sel[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 587895 ) N ; + + - mprj_io_oeb[1] + NET mprj_io_oeb[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 591115 ) N ; + + - mprj_io_in_3v3[1] + NET mprj_io_in_3v3[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 593875 ) N ; + + - mprj_io_in[2] + NET mprj_io_in[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 745275 ) N ; + + - mprj_io_one[2] + NET mprj_io_one[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 751255 ) N ; + + - mprj_io_slow_sel[2] + NET mprj_io_slow_sel[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 754475 ) N ; + + - mprj_io_dm[7] + NET mprj_io_dm[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 763675 ) N ; + + - mprj_io_analog_en[2] + NET mprj_io_analog_en[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 769655 ) N ; + + - mprj_io_dm[6] + NET mprj_io_dm[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 772875 ) N ; + + - mprj_io_analog_pol[2] + NET mprj_io_analog_pol[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 776095 ) N ; + + - mprj_io_inp_dis[2] + NET mprj_io_inp_dis[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 778855 ) N ; + + - mprj_io_analog_sel[2] + NET mprj_io_analog_sel[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 791275 ) N ; + + - mprj_io_dm[8] + NET mprj_io_dm[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 794495 ) N ; + + - mprj_io_holdover[2] + NET mprj_io_holdover[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 797715 ) N ; + + - mprj_io_out[2] + NET mprj_io_out[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 800475 ) N ; + + - mprj_io_vtrip_sel[2] + NET mprj_io_vtrip_sel[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 809675 ) N ; + + - mprj_io_ib_mode_sel[2] + NET mprj_io_ib_mode_sel[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 812895 ) N ; + + - mprj_io_oeb[2] + NET mprj_io_oeb[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 816115 ) N ; + + - mprj_io_in_3v3[2] + NET mprj_io_in_3v3[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 818875 ) N ; + + - mprj_io_in[3] + NET mprj_io_in[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 971275 ) N ; + + - mprj_io_one[3] + NET mprj_io_one[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 977255 ) N ; + + - mprj_io_slow_sel[3] + NET mprj_io_slow_sel[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 980475 ) N ; + + - mprj_io_dm[10] + NET mprj_io_dm[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 989675 ) N ; + + - mprj_io_analog_en[3] + NET mprj_io_analog_en[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 995655 ) N ; + + - mprj_io_dm[9] + NET mprj_io_dm[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 998875 ) N ; + + - mprj_io_analog_pol[3] + NET mprj_io_analog_pol[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1002095 ) N ; + + - mprj_io_inp_dis[3] + NET mprj_io_inp_dis[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1004855 ) N ; + + - mprj_io_analog_sel[3] + NET mprj_io_analog_sel[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1017275 ) N ; + + - mprj_io_dm[11] + NET mprj_io_dm[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1020495 ) N ; + + - mprj_io_holdover[3] + NET mprj_io_holdover[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1023715 ) N ; + + - mprj_io_out[3] + NET mprj_io_out[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1026475 ) N ; + + - mprj_io_vtrip_sel[3] + NET mprj_io_vtrip_sel[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1035675 ) N ; + + - mprj_io_ib_mode_sel[3] + NET mprj_io_ib_mode_sel[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1038895 ) N ; + + - mprj_io_oeb[3] + NET mprj_io_oeb[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1042115 ) N ; + + - mprj_io_in_3v3[3] + NET mprj_io_in_3v3[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1044875 ) N ; + + - mprj_io_in[4] + NET mprj_io_in[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1196275 ) N ; + + - mprj_io_one[4] + NET mprj_io_one[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1202255 ) N ; + + - mprj_io_slow_sel[4] + NET mprj_io_slow_sel[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1205475 ) N ; + + - mprj_io_dm[13] + NET mprj_io_dm[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1214675 ) N ; + + - mprj_io_analog_en[4] + NET mprj_io_analog_en[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1220655 ) N ; + + - mprj_io_dm[12] + NET mprj_io_dm[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1223875 ) N ; + + - mprj_io_analog_pol[4] + NET mprj_io_analog_pol[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1227095 ) N ; + + - mprj_io_inp_dis[4] + NET mprj_io_inp_dis[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1229855 ) N ; + + - mprj_io_analog_sel[4] + NET mprj_io_analog_sel[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1242275 ) N ; + + - mprj_io_dm[14] + NET mprj_io_dm[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1245495 ) N ; + + - mprj_io_holdover[4] + NET mprj_io_holdover[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1248715 ) N ; + + - mprj_io_out[4] + NET mprj_io_out[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1251475 ) N ; + + - mprj_io_vtrip_sel[4] + NET mprj_io_vtrip_sel[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1260675 ) N ; + + - mprj_io_ib_mode_sel[4] + NET mprj_io_ib_mode_sel[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1263895 ) N ; + + - mprj_io_oeb[4] + NET mprj_io_oeb[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1267115 ) N ; + + - mprj_io_in_3v3[4] + NET mprj_io_in_3v3[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1269875 ) N ; + + - mprj_io_in[5] + NET mprj_io_in[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1421275 ) N ; + + - mprj_io_one[5] + NET mprj_io_one[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1427255 ) N ; + + - mprj_io_slow_sel[5] + NET mprj_io_slow_sel[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1430475 ) N ; + + - mprj_io_dm[16] + NET mprj_io_dm[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1439675 ) N ; + + - mprj_io_analog_en[5] + NET mprj_io_analog_en[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1445655 ) N ; + + - mprj_io_dm[15] + NET mprj_io_dm[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1448875 ) N ; + + - mprj_io_analog_pol[5] + NET mprj_io_analog_pol[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1452095 ) N ; + + - mprj_io_inp_dis[5] + NET mprj_io_inp_dis[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1454855 ) N ; + + - mprj_io_analog_sel[5] + NET mprj_io_analog_sel[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1467275 ) N ; + + - mprj_io_dm[17] + NET mprj_io_dm[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1470495 ) N ; + + - mprj_io_holdover[5] + NET mprj_io_holdover[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1473715 ) N ; + + - mprj_io_out[5] + NET mprj_io_out[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1476475 ) N ; + + - mprj_io_vtrip_sel[5] + NET mprj_io_vtrip_sel[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1485675 ) N ; + + - mprj_io_ib_mode_sel[5] + NET mprj_io_ib_mode_sel[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1488895 ) N ; + + - mprj_io_oeb[5] + NET mprj_io_oeb[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1492115 ) N ; + + - mprj_io_in_3v3[5] + NET mprj_io_in_3v3[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1494875 ) N ; + + - mprj_io_in[6] + NET mprj_io_in[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1647275 ) N ; + + - mprj_io_one[6] + NET mprj_io_one[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1653255 ) N ; + + - mprj_io_slow_sel[6] + NET mprj_io_slow_sel[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1656475 ) N ; + + - mprj_io_dm[19] + NET mprj_io_dm[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1665675 ) N ; + + - mprj_io_analog_en[6] + NET mprj_io_analog_en[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1671655 ) N ; + + - mprj_io_dm[18] + NET mprj_io_dm[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1674875 ) N ; + + - mprj_io_analog_pol[6] + NET mprj_io_analog_pol[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1678095 ) N ; + + - mprj_io_inp_dis[6] + NET mprj_io_inp_dis[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1680855 ) N ; + + - mprj_io_analog_sel[6] + NET mprj_io_analog_sel[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1693275 ) N ; + + - mprj_io_dm[20] + NET mprj_io_dm[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1696495 ) N ; + + - mprj_io_holdover[6] + NET mprj_io_holdover[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1699715 ) N ; + + - mprj_io_out[6] + NET mprj_io_out[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1702475 ) N ; + + - mprj_io_vtrip_sel[6] + NET mprj_io_vtrip_sel[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1711675 ) N ; + + - mprj_io_ib_mode_sel[6] + NET mprj_io_ib_mode_sel[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1714895 ) N ; + + - mprj_io_oeb[6] + NET mprj_io_oeb[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1718115 ) N ; + + - mprj_io_in_3v3[6] + NET mprj_io_in_3v3[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1720875 ) N ; + + - mprj_io_in[6] + NET mprj_io_in[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1647275 ) N ; + + - mprj_io_one[6] + NET mprj_io_one[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1653255 ) N ; + + - mprj_io_slow_sel[6] + NET mprj_io_slow_sel[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1656475 ) N ; + + - mprj_io_dm[19] + NET mprj_io_dm[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1665675 ) N ; + + - mprj_io_analog_en[6] + NET mprj_io_analog_en[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1671655 ) N ; + + - mprj_io_dm[18] + NET mprj_io_dm[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1674875 ) N ; + + - mprj_io_analog_pol[6] + NET mprj_io_analog_pol[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1678095 ) N ; + + - mprj_io_inp_dis[6] + NET mprj_io_inp_dis[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1680855 ) N ; + + - mprj_io_analog_sel[6] + NET mprj_io_analog_sel[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1693275 ) N ; + + - mprj_io_dm[20] + NET mprj_io_dm[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1696495 ) N ; + + - mprj_io_holdover[6] + NET mprj_io_holdover[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1699715 ) N ; + + - mprj_io_out[6] + NET mprj_io_out[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1702475 ) N ; + + - mprj_io_vtrip_sel[6] + NET mprj_io_vtrip_sel[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1711675 ) N ; + + - mprj_io_ib_mode_sel[6] + NET mprj_io_ib_mode_sel[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1714895 ) N ; + + - mprj_io_oeb[6] + NET mprj_io_oeb[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1718115 ) N ; + + - mprj_io_in_3v3[6] + NET mprj_io_in_3v3[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 1720875 ) N ; + + - mprj_io_in[7] + NET mprj_io_in[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2533275 ) N ; + + - mprj_io_one[7] + NET mprj_io_one[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2539255 ) N ; + + - mprj_io_slow_sel[7] + NET mprj_io_slow_sel[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2542475 ) N ; + + - user_gpio_analog[0] + NET user_gpio_analog[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2545695 ) N ; + + - mprj_io_dm[22] + NET mprj_io_dm[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2551675 ) N ; + + - user_gpio_noesd[0] + NET user_gpio_noesd[0] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2554895 ) N ; + + - mprj_io_analog_en[7] + NET mprj_io_analog_en[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2557655 ) N ; + + - mprj_io_dm[21] + NET mprj_io_dm[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2560875 ) N ; + + - mprj_io_analog_pol[7] + NET mprj_io_analog_pol[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2564095 ) N ; + + - mprj_io_inp_dis[7] + NET mprj_io_inp_dis[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2566855 ) N ; + + - mprj_io_analog_sel[7] + NET mprj_io_analog_sel[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2579275 ) N ; + + - mprj_io_dm[23] + NET mprj_io_dm[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2582495 ) N ; + + - mprj_io_holdover[7] + NET mprj_io_holdover[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2585715 ) N ; + + - mprj_io_out[7] + NET mprj_io_out[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2588475 ) N ; + + - mprj_io_vtrip_sel[7] + NET mprj_io_vtrip_sel[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2597675 ) N ; + + - mprj_io_ib_mode_sel[7] + NET mprj_io_ib_mode_sel[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2600895 ) N ; + + - mprj_io_oeb[7] + NET mprj_io_oeb[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2604115 ) N ; + + - mprj_io_in_3v3[7] + NET mprj_io_in_3v3[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2606875 ) N ; + + - mprj_io_in[8] + NET mprj_io_in[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2759275 ) N ; + + - mprj_io_one[8] + NET mprj_io_one[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2765255 ) N ; + + - mprj_io_slow_sel[8] + NET mprj_io_slow_sel[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2768475 ) N ; + + - user_gpio_analog[1] + NET user_gpio_analog[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2771695 ) N ; + + - mprj_io_dm[25] + NET mprj_io_dm[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2777675 ) N ; + + - user_gpio_noesd[1] + NET user_gpio_noesd[1] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2780895 ) N ; + + - mprj_io_analog_en[8] + NET mprj_io_analog_en[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2783655 ) N ; + + - mprj_io_dm[24] + NET mprj_io_dm[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2786875 ) N ; + + - mprj_io_analog_pol[8] + NET mprj_io_analog_pol[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2790095 ) N ; + + - mprj_io_inp_dis[8] + NET mprj_io_inp_dis[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2792855 ) N ; + + - mprj_io_analog_sel[8] + NET mprj_io_analog_sel[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2805275 ) N ; + + - mprj_io_dm[26] + NET mprj_io_dm[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2808495 ) N ; + + - mprj_io_holdover[8] + NET mprj_io_holdover[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2811715 ) N ; + + - mprj_io_out[8] + NET mprj_io_out[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2814475 ) N ; + + - mprj_io_vtrip_sel[8] + NET mprj_io_vtrip_sel[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2823675 ) N ; + + - mprj_io_ib_mode_sel[8] + NET mprj_io_ib_mode_sel[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2826895 ) N ; + + - mprj_io_oeb[8] + NET mprj_io_oeb[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2830115 ) N ; + + - mprj_io_in_3v3[8] + NET mprj_io_in_3v3[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2832875 ) N ; + + - mprj_io_in[9] + NET mprj_io_in[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2984275 ) N ; + + - mprj_io_one[9] + NET mprj_io_one[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2990255 ) N ; + + - mprj_io_slow_sel[9] + NET mprj_io_slow_sel[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2993475 ) N ; + + - user_gpio_analog[2] + NET user_gpio_analog[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 2996695 ) N ; + + - mprj_io_dm[28] + NET mprj_io_dm[28] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3002675 ) N ; + + - user_gpio_noesd[2] + NET user_gpio_noesd[2] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3005895 ) N ; + + - mprj_io_analog_en[9] + NET mprj_io_analog_en[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3008655 ) N ; + + - mprj_io_dm[27] + NET mprj_io_dm[27] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3011875 ) N ; + + - mprj_io_analog_pol[9] + NET mprj_io_analog_pol[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3015095 ) N ; + + - mprj_io_inp_dis[9] + NET mprj_io_inp_dis[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3017855 ) N ; + + - mprj_io_analog_sel[9] + NET mprj_io_analog_sel[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3030275 ) N ; + + - mprj_io_dm[29] + NET mprj_io_dm[29] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3033495 ) N ; + + - mprj_io_holdover[9] + NET mprj_io_holdover[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3036715 ) N ; + + - mprj_io_out[9] + NET mprj_io_out[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3039475 ) N ; + + - mprj_io_vtrip_sel[9] + NET mprj_io_vtrip_sel[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3048675 ) N ; + + - mprj_io_ib_mode_sel[9] + NET mprj_io_ib_mode_sel[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3051895 ) N ; + + - mprj_io_oeb[9] + NET mprj_io_oeb[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3055115 ) N ; + + - mprj_io_in_3v3[9] + NET mprj_io_in_3v3[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3057875 ) N ; + + - mprj_io_in[10] + NET mprj_io_in[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3210275 ) N ; + + - mprj_io_one[10] + NET mprj_io_one[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3216255 ) N ; + + - mprj_io_slow_sel[10] + NET mprj_io_slow_sel[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3219475 ) N ; + + - user_gpio_analog[3] + NET user_gpio_analog[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3222695 ) N ; + + - mprj_io_dm[31] + NET mprj_io_dm[31] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3228675 ) N ; + + - user_gpio_noesd[3] + NET user_gpio_noesd[3] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3231895 ) N ; + + - mprj_io_analog_en[10] + NET mprj_io_analog_en[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3234655 ) N ; + + - mprj_io_dm[30] + NET mprj_io_dm[30] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3237875 ) N ; + + - mprj_io_analog_pol[10] + NET mprj_io_analog_pol[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3241095 ) N ; + + - mprj_io_inp_dis[10] + NET mprj_io_inp_dis[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3243855 ) N ; + + - mprj_io_analog_sel[10] + NET mprj_io_analog_sel[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3256275 ) N ; + + - mprj_io_dm[32] + NET mprj_io_dm[32] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3259495 ) N ; + + - mprj_io_holdover[10] + NET mprj_io_holdover[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3262715 ) N ; + + - mprj_io_out[10] + NET mprj_io_out[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3265475 ) N ; + + - mprj_io_vtrip_sel[10] + NET mprj_io_vtrip_sel[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3274675 ) N ; + + - mprj_io_ib_mode_sel[10] + NET mprj_io_ib_mode_sel[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3277895 ) N ; + + - mprj_io_oeb[10] + NET mprj_io_oeb[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3281115 ) N ; + + - mprj_io_in_3v3[10] + NET mprj_io_in_3v3[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3283875 ) N ; + + - mprj_io_in[11] + NET mprj_io_in[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3435275 ) N ; + + - mprj_io_one[11] + NET mprj_io_one[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3441255 ) N ; + + - mprj_io_slow_sel[11] + NET mprj_io_slow_sel[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3444475 ) N ; + + - user_gpio_analog[4] + NET user_gpio_analog[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3447695 ) N ; + + - mprj_io_dm[34] + NET mprj_io_dm[34] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3453675 ) N ; + + - user_gpio_noesd[4] + NET user_gpio_noesd[4] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3456895 ) N ; + + - mprj_io_analog_en[11] + NET mprj_io_analog_en[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3459655 ) N ; + + - mprj_io_dm[33] + NET mprj_io_dm[33] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3462875 ) N ; + + - mprj_io_analog_pol[11] + NET mprj_io_analog_pol[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3466095 ) N ; + + - mprj_io_inp_dis[11] + NET mprj_io_inp_dis[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3468855 ) N ; + + - mprj_io_analog_sel[11] + NET mprj_io_analog_sel[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3481275 ) N ; + + - mprj_io_dm[35] + NET mprj_io_dm[35] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3484495 ) N ; + + - mprj_io_holdover[11] + NET mprj_io_holdover[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3487715 ) N ; + + - mprj_io_out[11] + NET mprj_io_out[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3490475 ) N ; + + - mprj_io_vtrip_sel[11] + NET mprj_io_vtrip_sel[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3499675 ) N ; + + - mprj_io_ib_mode_sel[11] + NET mprj_io_ib_mode_sel[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3502895 ) N ; + + - mprj_io_oeb[11] + NET mprj_io_oeb[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3506115 ) N ; + + - mprj_io_in_3v3[11] + NET mprj_io_in_3v3[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3508875 ) N ; + + - mprj_io_in[12] + NET mprj_io_in[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3660275 ) N ; + + - mprj_io_one[12] + NET mprj_io_one[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3666255 ) N ; + + - mprj_io_slow_sel[12] + NET mprj_io_slow_sel[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3669475 ) N ; + + - user_gpio_analog[5] + NET user_gpio_analog[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3672695 ) N ; + + - mprj_io_dm[37] + NET mprj_io_dm[37] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3678675 ) N ; + + - user_gpio_noesd[5] + NET user_gpio_noesd[5] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3681895 ) N ; + + - mprj_io_analog_en[12] + NET mprj_io_analog_en[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3684655 ) N ; + + - mprj_io_dm[36] + NET mprj_io_dm[36] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3687875 ) N ; + + - mprj_io_analog_pol[12] + NET mprj_io_analog_pol[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3691095 ) N ; + + - mprj_io_inp_dis[12] + NET mprj_io_inp_dis[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3693855 ) N ; + + - mprj_io_analog_sel[12] + NET mprj_io_analog_sel[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3706275 ) N ; + + - mprj_io_dm[38] + NET mprj_io_dm[38] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3709495 ) N ; + + - mprj_io_holdover[12] + NET mprj_io_holdover[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3712715 ) N ; + + - mprj_io_out[12] + NET mprj_io_out[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3715475 ) N ; + + - mprj_io_vtrip_sel[12] + NET mprj_io_vtrip_sel[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3724675 ) N ; + + - mprj_io_ib_mode_sel[12] + NET mprj_io_ib_mode_sel[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3727895 ) N ; + + - mprj_io_oeb[12] + NET mprj_io_oeb[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3731115 ) N ; + + - mprj_io_in_3v3[12] + NET mprj_io_in_3v3[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 3733875 ) N ; + + - mprj_io_in[13] + NET mprj_io_in[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4106275 ) N ; + + - mprj_io_one[13] + NET mprj_io_one[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4112255 ) N ; + + - mprj_io_slow_sel[13] + NET mprj_io_slow_sel[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4115475 ) N ; + + - user_gpio_analog[6] + NET user_gpio_analog[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4118695 ) N ; + + - mprj_io_dm[40] + NET mprj_io_dm[40] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4124675 ) N ; + + - user_gpio_noesd[6] + NET user_gpio_noesd[6] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4127895 ) N ; + + - mprj_io_analog_en[13] + NET mprj_io_analog_en[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4130655 ) N ; + + - mprj_io_dm[39] + NET mprj_io_dm[39] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4133875 ) N ; + + - mprj_io_analog_pol[13] + NET mprj_io_analog_pol[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4137095 ) N ; + + - mprj_io_inp_dis[13] + NET mprj_io_inp_dis[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4139855 ) N ; + + - mprj_io_analog_sel[13] + NET mprj_io_analog_sel[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4152275 ) N ; + + - mprj_io_dm[41] + NET mprj_io_dm[41] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4155495 ) N ; + + - mprj_io_holdover[13] + NET mprj_io_holdover[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4158715 ) N ; + + - mprj_io_out[13] + NET mprj_io_out[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4161475 ) N ; + + - mprj_io_vtrip_sel[13] + NET mprj_io_vtrip_sel[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4170675 ) N ; + + - mprj_io_ib_mode_sel[13] + NET mprj_io_ib_mode_sel[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4173895 ) N ; + + - mprj_io_oeb[13] + NET mprj_io_oeb[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4177115 ) N ; + + - mprj_io_in_3v3[13] + NET mprj_io_in_3v3[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 3164000 4179875 ) N ; + + - mprj_io_in[14] + NET mprj_io_in[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3786725 ) N ; + + - mprj_io_one[14] + NET mprj_io_one[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3780745 ) N ; + + - mprj_io_slow_sel[14] + NET mprj_io_slow_sel[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3777525 ) N ; + + - user_gpio_analog[7] + NET user_gpio_analog[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3774305 ) N ; + + - mprj_io_dm[43] + NET mprj_io_dm[43] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3768325 ) N ; + + - user_gpio_noesd[7] + NET user_gpio_noesd[7] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3765105 ) N ; + + - mprj_io_analog_en[14] + NET mprj_io_analog_en[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3762345 ) N ; + + - mprj_io_dm[42] + NET mprj_io_dm[42] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3759125 ) N ; + + - mprj_io_analog_pol[14] + NET mprj_io_analog_pol[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3755905 ) N ; + + - mprj_io_inp_dis[14] + NET mprj_io_inp_dis[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3753145 ) N ; + + - mprj_io_analog_sel[14] + NET mprj_io_analog_sel[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3740725 ) N ; + + - mprj_io_dm[44] + NET mprj_io_dm[44] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3737505 ) N ; + + - mprj_io_holdover[14] + NET mprj_io_holdover[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3734285 ) N ; + + - mprj_io_out[14] + NET mprj_io_out[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3731525 ) N ; + + - mprj_io_vtrip_sel[14] + NET mprj_io_vtrip_sel[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3722325 ) N ; + + - mprj_io_ib_mode_sel[14] + NET mprj_io_ib_mode_sel[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3719105 ) N ; + + - mprj_io_oeb[14] + NET mprj_io_oeb[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3715885 ) N ; + + - mprj_io_in_3v3[14] + NET mprj_io_in_3v3[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 3713125 ) N ; + + - mprj_io_in[15] + NET mprj_io_in[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3570725 ) N ; + + - mprj_io_one[15] + NET mprj_io_one[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3564745 ) N ; + + - mprj_io_slow_sel[15] + NET mprj_io_slow_sel[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3561525 ) N ; + + - user_gpio_analog[8] + NET user_gpio_analog[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3558305 ) N ; + + - mprj_io_dm[46] + NET mprj_io_dm[46] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3552325 ) N ; + + - user_gpio_noesd[8] + NET user_gpio_noesd[8] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3549105 ) N ; + + - mprj_io_analog_en[15] + NET mprj_io_analog_en[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3546345 ) N ; + + - mprj_io_dm[45] + NET mprj_io_dm[45] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3543125 ) N ; + + - mprj_io_analog_pol[15] + NET mprj_io_analog_pol[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3539905 ) N ; + + - mprj_io_inp_dis[15] + NET mprj_io_inp_dis[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3537145 ) N ; + + - mprj_io_analog_sel[15] + NET mprj_io_analog_sel[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3524725 ) N ; + + - mprj_io_dm[47] + NET mprj_io_dm[47] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3521505 ) N ; + + - mprj_io_holdover[15] + NET mprj_io_holdover[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3518285 ) N ; + + - mprj_io_out[15] + NET mprj_io_out[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3515525 ) N ; + + - mprj_io_vtrip_sel[15] + NET mprj_io_vtrip_sel[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3506325 ) N ; + + - mprj_io_ib_mode_sel[15] + NET mprj_io_ib_mode_sel[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3503105 ) N ; + + - mprj_io_oeb[15] + NET mprj_io_oeb[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3499885 ) N ; + + - mprj_io_in_3v3[15] + NET mprj_io_in_3v3[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 3497125 ) N ; + + - mprj_io_in[16] + NET mprj_io_in[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3354725 ) N ; + + - mprj_io_one[16] + NET mprj_io_one[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3348745 ) N ; + + - mprj_io_slow_sel[16] + NET mprj_io_slow_sel[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3345525 ) N ; + + - user_gpio_analog[9] + NET user_gpio_analog[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3342305 ) N ; + + - mprj_io_dm[49] + NET mprj_io_dm[49] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3336325 ) N ; + + - user_gpio_noesd[9] + NET user_gpio_noesd[9] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3333105 ) N ; + + - mprj_io_analog_en[16] + NET mprj_io_analog_en[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3330345 ) N ; + + - mprj_io_dm[48] + NET mprj_io_dm[48] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3327125 ) N ; + + - mprj_io_analog_pol[16] + NET mprj_io_analog_pol[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3323905 ) N ; + + - mprj_io_inp_dis[16] + NET mprj_io_inp_dis[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3321145 ) N ; + + - mprj_io_analog_sel[16] + NET mprj_io_analog_sel[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3308725 ) N ; + + - mprj_io_dm[50] + NET mprj_io_dm[50] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3305505 ) N ; + + - mprj_io_holdover[16] + NET mprj_io_holdover[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3302285 ) N ; + + - mprj_io_out[16] + NET mprj_io_out[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3299525 ) N ; + + - mprj_io_vtrip_sel[16] + NET mprj_io_vtrip_sel[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3290325 ) N ; + + - mprj_io_ib_mode_sel[16] + NET mprj_io_ib_mode_sel[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3287105 ) N ; + + - mprj_io_oeb[16] + NET mprj_io_oeb[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3283885 ) N ; + + - mprj_io_in_3v3[16] + NET mprj_io_in_3v3[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 3281125 ) N ; + + - mprj_io_in[17] + NET mprj_io_in[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3138725 ) N ; + + - mprj_io_one[17] + NET mprj_io_one[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3132745 ) N ; + + - mprj_io_slow_sel[17] + NET mprj_io_slow_sel[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3129525 ) N ; + + - user_gpio_analog[10] + NET user_gpio_analog[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3126305 ) N ; + + - mprj_io_dm[52] + NET mprj_io_dm[52] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3120325 ) N ; + + - user_gpio_noesd[10] + NET user_gpio_noesd[10] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3117105 ) N ; + + - mprj_io_analog_en[17] + NET mprj_io_analog_en[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3114345 ) N ; + + - mprj_io_dm[51] + NET mprj_io_dm[51] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3111125 ) N ; + + - mprj_io_analog_pol[17] + NET mprj_io_analog_pol[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3107905 ) N ; + + - mprj_io_inp_dis[17] + NET mprj_io_inp_dis[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3105145 ) N ; + + - mprj_io_analog_sel[17] + NET mprj_io_analog_sel[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3092725 ) N ; + + - mprj_io_dm[53] + NET mprj_io_dm[53] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3089505 ) N ; + + - mprj_io_holdover[17] + NET mprj_io_holdover[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3086285 ) N ; + + - mprj_io_out[17] + NET mprj_io_out[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3083525 ) N ; + + - mprj_io_vtrip_sel[17] + NET mprj_io_vtrip_sel[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3074325 ) N ; + + - mprj_io_ib_mode_sel[17] + NET mprj_io_ib_mode_sel[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3071105 ) N ; + + - mprj_io_oeb[17] + NET mprj_io_oeb[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 3067885 ) N ; + + - mprj_io_in_3v3[17] + NET mprj_io_in_3v3[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 3065125 ) N ; + + - mprj_io_in[18] + NET mprj_io_in[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2922725 ) N ; + + - mprj_io_one[18] + NET mprj_io_one[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2916745 ) N ; + + - mprj_io_slow_sel[18] + NET mprj_io_slow_sel[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2913525 ) N ; + + - user_gpio_analog[11] + NET user_gpio_analog[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2910305 ) N ; + + - mprj_io_dm[55] + NET mprj_io_dm[55] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2904325 ) N ; + + - user_gpio_noesd[11] + NET user_gpio_noesd[11] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2901105 ) N ; + + - mprj_io_analog_en[18] + NET mprj_io_analog_en[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2898345 ) N ; + + - mprj_io_dm[54] + NET mprj_io_dm[54] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2895125 ) N ; + + - mprj_io_analog_pol[18] + NET mprj_io_analog_pol[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2891905 ) N ; + + - mprj_io_inp_dis[18] + NET mprj_io_inp_dis[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2889145 ) N ; + + - mprj_io_analog_sel[18] + NET mprj_io_analog_sel[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2876725 ) N ; + + - mprj_io_dm[56] + NET mprj_io_dm[56] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2873505 ) N ; + + - mprj_io_holdover[18] + NET mprj_io_holdover[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2870285 ) N ; + + - mprj_io_out[18] + NET mprj_io_out[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2867525 ) N ; + + - mprj_io_vtrip_sel[18] + NET mprj_io_vtrip_sel[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2858325 ) N ; + + - mprj_io_ib_mode_sel[18] + NET mprj_io_ib_mode_sel[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2855105 ) N ; + + - mprj_io_oeb[18] + NET mprj_io_oeb[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2851885 ) N ; + + - mprj_io_in_3v3[18] + NET mprj_io_in_3v3[18] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 2849125 ) N ; + + - mprj_io_in[19] + NET mprj_io_in[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2706725 ) N ; + + - mprj_io_one[19] + NET mprj_io_one[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2700745 ) N ; + + - mprj_io_slow_sel[19] + NET mprj_io_slow_sel[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2697525 ) N ; + + - user_gpio_analog[12] + NET user_gpio_analog[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2694305 ) N ; + + - mprj_io_dm[58] + NET mprj_io_dm[58] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2688325 ) N ; + + - user_gpio_noesd[12] + NET user_gpio_noesd[12] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2685105 ) N ; + + - mprj_io_analog_en[19] + NET mprj_io_analog_en[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2682345 ) N ; + + - mprj_io_dm[57] + NET mprj_io_dm[57] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2679125 ) N ; + + - mprj_io_analog_pol[19] + NET mprj_io_analog_pol[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2675905 ) N ; + + - mprj_io_inp_dis[19] + NET mprj_io_inp_dis[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2673145 ) N ; + + - mprj_io_analog_sel[19] + NET mprj_io_analog_sel[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2660725 ) N ; + + - mprj_io_dm[59] + NET mprj_io_dm[59] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2657505 ) N ; + + - mprj_io_holdover[19] + NET mprj_io_holdover[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2654285 ) N ; + + - mprj_io_out[19] + NET mprj_io_out[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2651525 ) N ; + + - mprj_io_vtrip_sel[19] + NET mprj_io_vtrip_sel[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2642325 ) N ; + + - mprj_io_ib_mode_sel[19] + NET mprj_io_ib_mode_sel[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2639105 ) N ; + + - mprj_io_oeb[19] + NET mprj_io_oeb[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2635885 ) N ; + + - mprj_io_in_3v3[19] + NET mprj_io_in_3v3[19] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 2633125 ) N ; + + - mprj_io_in[20] + NET mprj_io_in[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2490725 ) N ; + + - mprj_io_one[20] + NET mprj_io_one[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2484745 ) N ; + + - mprj_io_slow_sel[20] + NET mprj_io_slow_sel[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2481525 ) N ; + + - user_gpio_analog[13] + NET user_gpio_analog[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2478305 ) N ; + + - mprj_io_dm[61] + NET mprj_io_dm[61] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2472325 ) N ; + + - user_gpio_noesd[13] + NET user_gpio_noesd[13] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2469105 ) N ; + + - mprj_io_analog_en[20] + NET mprj_io_analog_en[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2466345 ) N ; + + - mprj_io_dm[60] + NET mprj_io_dm[60] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2463125 ) N ; + + - mprj_io_analog_pol[20] + NET mprj_io_analog_pol[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2459905 ) N ; + + - mprj_io_inp_dis[20] + NET mprj_io_inp_dis[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2457145 ) N ; + + - mprj_io_analog_sel[20] + NET mprj_io_analog_sel[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2444725 ) N ; + + - mprj_io_dm[62] + NET mprj_io_dm[62] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2441505 ) N ; + + - mprj_io_holdover[20] + NET mprj_io_holdover[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2438285 ) N ; + + - mprj_io_out[20] + NET mprj_io_out[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2435525 ) N ; + + - mprj_io_vtrip_sel[20] + NET mprj_io_vtrip_sel[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2426325 ) N ; + + - mprj_io_ib_mode_sel[20] + NET mprj_io_ib_mode_sel[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2423105 ) N ; + + - mprj_io_oeb[20] + NET mprj_io_oeb[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 2419885 ) N ; + + - mprj_io_in_3v3[20] + NET mprj_io_in_3v3[20] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 2417125 ) N ; + + - mprj_io_in[21] + NET mprj_io_in[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1852725 ) N ; + + - mprj_io_one[21] + NET mprj_io_one[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1846745 ) N ; + + - mprj_io_slow_sel[21] + NET mprj_io_slow_sel[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1843525 ) N ; + + - user_gpio_analog[14] + NET user_gpio_analog[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1840305 ) N ; + + - mprj_io_dm[64] + NET mprj_io_dm[64] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1834325 ) N ; + + - user_gpio_noesd[14] + NET user_gpio_noesd[14] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1831105 ) N ; + + - mprj_io_analog_en[21] + NET mprj_io_analog_en[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1828345 ) N ; + + - mprj_io_dm[63] + NET mprj_io_dm[63] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1825125 ) N ; + + - mprj_io_analog_pol[21] + NET mprj_io_analog_pol[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1821905 ) N ; + + - mprj_io_inp_dis[21] + NET mprj_io_inp_dis[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1819145 ) N ; + + - mprj_io_analog_sel[21] + NET mprj_io_analog_sel[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1806725 ) N ; + + - mprj_io_dm[65] + NET mprj_io_dm[65] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1803505 ) N ; + + - mprj_io_holdover[21] + NET mprj_io_holdover[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1800285 ) N ; + + - mprj_io_out[21] + NET mprj_io_out[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1797525 ) N ; + + - mprj_io_vtrip_sel[21] + NET mprj_io_vtrip_sel[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1788325 ) N ; + + - mprj_io_ib_mode_sel[21] + NET mprj_io_ib_mode_sel[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1785105 ) N ; + + - mprj_io_oeb[21] + NET mprj_io_oeb[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1781885 ) N ; + + - mprj_io_in_3v3[21] + NET mprj_io_in_3v3[21] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 1779125 ) N ; + + - mprj_io_in[22] + NET mprj_io_in[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1636725 ) N ; + + - mprj_io_one[22] + NET mprj_io_one[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1630745 ) N ; + + - mprj_io_slow_sel[22] + NET mprj_io_slow_sel[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1627525 ) N ; + + - user_gpio_analog[15] + NET user_gpio_analog[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1624305 ) N ; + + - mprj_io_dm[67] + NET mprj_io_dm[67] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1618325 ) N ; + + - user_gpio_noesd[15] + NET user_gpio_noesd[15] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1615105 ) N ; + + - mprj_io_analog_en[22] + NET mprj_io_analog_en[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1612345 ) N ; + + - mprj_io_dm[66] + NET mprj_io_dm[66] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1609125 ) N ; + + - mprj_io_analog_pol[22] + NET mprj_io_analog_pol[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1605905 ) N ; + + - mprj_io_inp_dis[22] + NET mprj_io_inp_dis[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1603145 ) N ; + + - mprj_io_analog_sel[22] + NET mprj_io_analog_sel[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1590725 ) N ; + + - mprj_io_dm[68] + NET mprj_io_dm[68] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1587505 ) N ; + + - mprj_io_holdover[22] + NET mprj_io_holdover[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1584285 ) N ; + + - mprj_io_out[22] + NET mprj_io_out[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1581525 ) N ; + + - mprj_io_vtrip_sel[22] + NET mprj_io_vtrip_sel[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1572325 ) N ; + + - mprj_io_ib_mode_sel[22] + NET mprj_io_ib_mode_sel[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1569105 ) N ; + + - mprj_io_oeb[22] + NET mprj_io_oeb[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1565885 ) N ; + + - mprj_io_in_3v3[22] + NET mprj_io_in_3v3[22] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 1563125 ) N ; + + - mprj_io_in[23] + NET mprj_io_in[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1420725 ) N ; + + - mprj_io_one[23] + NET mprj_io_one[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1414745 ) N ; + + - mprj_io_slow_sel[23] + NET mprj_io_slow_sel[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1411525 ) N ; + + - user_gpio_analog[16] + NET user_gpio_analog[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1408305 ) N ; + + - mprj_io_dm[70] + NET mprj_io_dm[70] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1402325 ) N ; + + - user_gpio_noesd[16] + NET user_gpio_noesd[16] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1399105 ) N ; + + - mprj_io_analog_en[23] + NET mprj_io_analog_en[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1396345 ) N ; + + - mprj_io_dm[69] + NET mprj_io_dm[69] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1393125 ) N ; + + - mprj_io_analog_pol[23] + NET mprj_io_analog_pol[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1389905 ) N ; + + - mprj_io_inp_dis[23] + NET mprj_io_inp_dis[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1387145 ) N ; + + - mprj_io_analog_sel[23] + NET mprj_io_analog_sel[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1374725 ) N ; + + - mprj_io_dm[71] + NET mprj_io_dm[71] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1371505 ) N ; + + - mprj_io_holdover[23] + NET mprj_io_holdover[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1368285 ) N ; + + - mprj_io_out[23] + NET mprj_io_out[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1365525 ) N ; + + - mprj_io_vtrip_sel[23] + NET mprj_io_vtrip_sel[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1356325 ) N ; + + - mprj_io_ib_mode_sel[23] + NET mprj_io_ib_mode_sel[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1353105 ) N ; + + - mprj_io_oeb[23] + NET mprj_io_oeb[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1349885 ) N ; + + - mprj_io_in_3v3[23] + NET mprj_io_in_3v3[23] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 1347125 ) N ; + + - mprj_io_in[24] + NET mprj_io_in[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1204725 ) N ; + + - mprj_io_one[24] + NET mprj_io_one[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1198745 ) N ; + + - mprj_io_slow_sel[24] + NET mprj_io_slow_sel[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1195525 ) N ; + + - user_gpio_analog[17] + NET user_gpio_analog[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1192305 ) N ; + + - mprj_io_dm[73] + NET mprj_io_dm[73] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1186325 ) N ; + + - user_gpio_noesd[17] + NET user_gpio_noesd[17] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1183105 ) N ; + + - mprj_io_analog_en[24] + NET mprj_io_analog_en[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1180345 ) N ; + + - mprj_io_dm[72] + NET mprj_io_dm[72] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1177125 ) N ; + + - mprj_io_analog_pol[24] + NET mprj_io_analog_pol[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1173905 ) N ; + + - mprj_io_inp_dis[24] + NET mprj_io_inp_dis[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1171145 ) N ; + + - mprj_io_analog_sel[24] + NET mprj_io_analog_sel[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1158725 ) N ; + + - mprj_io_dm[74] + NET mprj_io_dm[74] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1155505 ) N ; + + - mprj_io_holdover[24] + NET mprj_io_holdover[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1152285 ) N ; + + - mprj_io_out[24] + NET mprj_io_out[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1149525 ) N ; + + - mprj_io_vtrip_sel[24] + NET mprj_io_vtrip_sel[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1140325 ) N ; + + - mprj_io_ib_mode_sel[24] + NET mprj_io_ib_mode_sel[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1137105 ) N ; + + - mprj_io_oeb[24] + NET mprj_io_oeb[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 1133885 ) N ; + + - mprj_io_in_3v3[24] + NET mprj_io_in_3v3[24] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 1131125 ) N ; + + - mprj_io_in[25] + NET mprj_io_in[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 988725 ) N ; + + - mprj_io_one[25] + NET mprj_io_one[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 982745 ) N ; + + - mprj_io_slow_sel[25] + NET mprj_io_slow_sel[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 979525 ) N ; + + - mprj_io_dm[76] + NET mprj_io_dm[76] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 970325 ) N ; + + - mprj_io_analog_en[25] + NET mprj_io_analog_en[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 964345 ) N ; + + - mprj_io_dm[75] + NET mprj_io_dm[75] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 961125 ) N ; + + - mprj_io_analog_pol[25] + NET mprj_io_analog_pol[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 957905 ) N ; + + - mprj_io_inp_dis[25] + NET mprj_io_inp_dis[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 955145 ) N ; + + - mprj_io_analog_sel[25] + NET mprj_io_analog_sel[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 942725 ) N ; + + - mprj_io_dm[77] + NET mprj_io_dm[77] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 939505 ) N ; + + - mprj_io_holdover[25] + NET mprj_io_holdover[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 936285 ) N ; + + - mprj_io_out[25] + NET mprj_io_out[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 933525 ) N ; + + - mprj_io_vtrip_sel[25] + NET mprj_io_vtrip_sel[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 924325 ) N ; + + - mprj_io_ib_mode_sel[25] + NET mprj_io_ib_mode_sel[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 921105 ) N ; + + - mprj_io_oeb[25] + NET mprj_io_oeb[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 917885 ) N ; + + - mprj_io_in_3v3[25] + NET mprj_io_in_3v3[25] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 915125 ) N ; + + - mprj_io_in[26] + NET mprj_io_in[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 772725 ) N ; + + - mprj_io_one[26] + NET mprj_io_one[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 766745 ) N ; + + - mprj_io_slow_sel[26] + NET mprj_io_slow_sel[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 763525 ) N ; + + - mprj_io_dm[79] + NET mprj_io_dm[79] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 754325 ) N ; + + - mprj_io_analog_en[26] + NET mprj_io_analog_en[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 748345 ) N ; + + - mprj_io_dm[78] + NET mprj_io_dm[78] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 745125 ) N ; + + - mprj_io_analog_pol[26] + NET mprj_io_analog_pol[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 741905 ) N ; + + - mprj_io_inp_dis[26] + NET mprj_io_inp_dis[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 739145 ) N ; + + - mprj_io_analog_sel[26] + NET mprj_io_analog_sel[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 726725 ) N ; + + - mprj_io_dm[80] + NET mprj_io_dm[80] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 723505 ) N ; + + - mprj_io_holdover[26] + NET mprj_io_holdover[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 720285 ) N ; + + - mprj_io_out[26] + NET mprj_io_out[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 717525 ) N ; + + - mprj_io_vtrip_sel[26] + NET mprj_io_vtrip_sel[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 708325 ) N ; + + - mprj_io_ib_mode_sel[26] + NET mprj_io_ib_mode_sel[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 705105 ) N ; + + - mprj_io_oeb[26] + NET mprj_io_oeb[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED ( 1000 701885 ) N ; + + - mprj_io_in_3v3[26] + NET mprj_io_in_3v3[26] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED ( 1000 699125 ) N ; + +END PINS +END DESIGN diff --git a/openlane/caravan_core/floorplan_configuration/io.py b/openlane/caravan_core/floorplan_configuration/io.py new file mode 100644 index 00000000..c57725d7 --- /dev/null +++ b/openlane/caravan_core/floorplan_configuration/io.py @@ -0,0 +1,536 @@ +def print_io_east(pad, offset_x, offset_y): + print(f''' + - mprj_io_in[''' + str(pad) + '''] + NET mprj_io_in[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_one[''' + str(pad) + '''] + NET mprj_io_one[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+5980), f''') N ;''') + print(f''' + - mprj_io_slow_sel[''' + str(pad) + '''] + NET mprj_io_slow_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+9200), f''') N ;''') + if (pad > 6) & (pad < 36): + print(f''' + - user_gpio_analog[''' + str(pad-7) + '''] + NET user_gpio_analog[''' + str(pad-7) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+12420), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3+1) + '''] + NET mprj_io_dm[''' + str(pad*3+1) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+18400), f''') N ;''') + if (pad > 6): + print(f''' + - user_gpio_noesd[''' + str(pad-7) + '''] + NET user_gpio_noesd[''' + str(pad-7) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+21620), f''') N ;''') + print(f''' + - mprj_io_analog_en[''' + str(pad) + '''] + NET mprj_io_analog_en[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+24380), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3) + '''] + NET mprj_io_dm[''' + str(pad*3) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+27600), f''') N ;''') + print(f''' + - mprj_io_analog_pol[''' + str(pad) + '''] + NET mprj_io_analog_pol[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+30820), f''') N ;''') + print(f''' + - mprj_io_inp_dis[''' + str(pad) + '''] + NET mprj_io_inp_dis[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+33580), f''') N ;''') + print(f''' + - mprj_io_analog_sel[''' + str(pad) + '''] + NET mprj_io_analog_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+46000), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3+2) + '''] + NET mprj_io_dm[''' + str(pad*3+2) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+49220), f''') N ;''') + print(f''' + - mprj_io_holdover[''' + str(pad) + '''] + NET mprj_io_holdover[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+52440), f''') N ;''') + print(f''' + - mprj_io_out[''' + str(pad) + '''] + NET mprj_io_out[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+55200), f''') N ;''') + print(f''' + - mprj_io_vtrip_sel[''' + str(pad) + '''] + NET mprj_io_vtrip_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+64400), f''') N ;''') + print(f''' + - mprj_io_ib_mode_sel[''' + str(pad) + '''] + NET mprj_io_ib_mode_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+67620), f''') N ;''') + print(f''' + - mprj_io_oeb[''' + str(pad) + '''] + NET mprj_io_oeb[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+70840), f''') N ;''') + print(f''' + - mprj_io_in_3v3[''' + str(pad) + '''] + NET mprj_io_in_3v3[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y+73600), f''') N ;''') + +def print_io_north(pad, offset_x, offset_y): + print(f''' + - mprj_io_in[''' + str(pad) + '''] + NET mprj_io_in[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_one[''' + str(pad) + '''] + NET mprj_io_one[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-5980), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_slow_sel[''' + str(pad) + '''] + NET mprj_io_slow_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-9200), str(offset_y), f''') N ;''') + if (pad > 6) & (pad < 29): + print(f''' + - user_gpio_analog[''' + str(pad-7) + '''] + NET user_gpio_analog[''' + str(pad-7) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-12420), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3+1) + '''] + NET mprj_io_dm[''' + str(pad*3+1) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-18400), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_analog_en[''' + str(pad) + '''] + NET mprj_io_analog_en[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-24380), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3) + '''] + NET mprj_io_dm[''' + str(pad*3) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-27600), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_analog_pol[''' + str(pad) + '''] + NET mprj_io_analog_pol[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-30820), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_inp_dis[''' + str(pad) + '''] + NET mprj_io_inp_dis[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-33580), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_analog_sel[''' + str(pad) + '''] + NET mprj_io_analog_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-46000), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3+2) + '''] + NET mprj_io_dm[''' + str(pad*3+2) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-49220), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_holdover[''' + str(pad) + '''] + NET mprj_io_holdover[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-52440), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_out[''' + str(pad) + '''] + NET mprj_io_out[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-55200), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_vtrip_sel[''' + str(pad) + '''] + NET mprj_io_vtrip_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-64400), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_ib_mode_sel[''' + str(pad) + '''] + NET mprj_io_ib_mode_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-67620), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_oeb[''' + str(pad) + '''] + NET mprj_io_oeb[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 2935 ) + + PLACED (''', str(offset_x-70840), str(offset_y), f''') N ;''') + +def print_io_west(pad, offset_x, offset_y): + print(f''' + - mprj_io_in[''' + str(pad) + '''] + NET mprj_io_in[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y), f''') N ;''') + print(f''' + - mprj_io_one[''' + str(pad) + '''] + NET mprj_io_one[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-5980), f''') N ;''') + print(f''' + - mprj_io_slow_sel[''' + str(pad) + '''] + NET mprj_io_slow_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-9200), f''') N ;''') + if (pad > 6) & (pad < 25): + print(f''' + - user_gpio_analog[''' + str(pad-7) + '''] + NET user_gpio_analog[''' + str(pad-7) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-12420), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3+1) + '''] + NET mprj_io_dm[''' + str(pad*3+1) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-18400), f''') N ;''') + if (pad > 6) & (pad < 25): + print(f''' + - user_gpio_noesd[''' + str(pad-7) + '''] + NET user_gpio_noesd[''' + str(pad-7) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-21620), f''') N ;''') + print(f''' + - mprj_io_analog_en[''' + str(pad) + '''] + NET mprj_io_analog_en[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-24380), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3) + '''] + NET mprj_io_dm[''' + str(pad*3) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-27600), f''') N ;''') + print(f''' + - mprj_io_analog_pol[''' + str(pad) + '''] + NET mprj_io_analog_pol[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-30820), f''') N ;''') + print(f''' + - mprj_io_inp_dis[''' + str(pad) + '''] + NET mprj_io_inp_dis[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-33580), f''') N ;''') + print(f''' + - mprj_io_analog_sel[''' + str(pad) + '''] + NET mprj_io_analog_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-46000), f''') N ;''') + print(f''' + - mprj_io_dm[''' + str(pad*3+2) + '''] + NET mprj_io_dm[''' + str(pad*3+2) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-49220), f''') N ;''') + print(f''' + - mprj_io_holdover[''' + str(pad) + '''] + NET mprj_io_holdover[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-52440), f''') N ;''') + print(f''' + - mprj_io_out[''' + str(pad) + '''] + NET mprj_io_out[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-55200), f''') N ;''') + print(f''' + - mprj_io_vtrip_sel[''' + str(pad) + '''] + NET mprj_io_vtrip_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-64400), f''') N ;''') + print(f''' + - mprj_io_ib_mode_sel[''' + str(pad) + '''] + NET mprj_io_ib_mode_sel[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-67620), f''') N ;''') + print(f''' + - mprj_io_oeb[''' + str(pad) + '''] + NET mprj_io_oeb[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3185 -300 ) ( 3000 300 ) + + PLACED (''', str(offset_x), str(offset_y-70840), f''') N ;''') + print(f''' + - mprj_io_in_3v3[''' + str(pad) + '''] + NET mprj_io_in_3v3[''' + str(pad) + '''] + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met3 ( -3000 -300 ) ( 3185 300 ) + + PLACED (''', str(offset_x), str(offset_y-73600), f''') N ;''') + + +print(f''' +VERSION 5.8 ; +DIVIDERCHAR "/" ; +BUSBITCHARS "[]" ; +DESIGN caravan_core ; +UNITS DISTANCE MICRONS 1000 ; +DIEAREA ( 0 0 ) ( 3165000 4767000 ) ; +PINS 621 ; + - clock_core + NET clock_core + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 725275 1000 ) N ; + - flash_clk_frame + NET flash_clk_frame + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1597475 1000 ) N ; + - flash_clk_oeb + NET flash_clk_oeb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1613115 1000 ) N ; + - flash_csb_frame + NET flash_csb_frame + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1323475 1000 ) N ; + - flash_csb_oeb + NET flash_csb_oeb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1339115 1000 ) N ; + - flash_io0_di + NET flash_io0_di + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1816275 1000 ) N ; + - flash_io0_do + NET flash_io0_do + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1871475 1000 ) N ; + - flash_io0_ieb + NET flash_io0_ieb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1849855 1000 ) N ; + - flash_io0_oeb + NET flash_io0_oeb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1887115 1000 ) N ; + - flash_io1_di + NET flash_io1_di + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2090275 1000 ) N ; + - flash_io1_do + NET flash_io1_do + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2145475 1000 ) N ; + - flash_io1_ieb + NET flash_io1_ieb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2123855 1000 ) N ; + - flash_io1_oeb + NET flash_io1_oeb + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2161115 1000 ) N ; + - gpio_in_core + NET gpio_in_core + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2364275 1000 ) N ; + - gpio_inenb_core + NET gpio_inenb_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2397855 1000 ) N ; + - gpio_mode0_core + NET gpio_mode0_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2391875 1000 ) N ; + - gpio_mode1_core + NET gpio_mode1_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2413495 1000 ) N ; + - gpio_out_core + NET gpio_out_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2419475 1000 ) N ; + - gpio_outenb_core + NET gpio_outenb_core + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 2435115 1000 ) N ; + - por_l + NET por_l + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 758855 1000 ) N ; + - porb_h + NET porb_h + DIRECTION OUTPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -3000 ) ( 140 3000 ) + + PLACED ( 1329915 1000 ) N ; + - rstb_h + NET rstb_h + DIRECTION INPUT + USE SIGNAL + + PORT + + LAYER met2 ( -140 -11525 ) ( 140 3000 ) + + PLACED ( 496975 1000 ) N ; + + - user_analog[0] + NET user_analog[0] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -300 ) ( 1000 200 ) + + PLACED ( 3164500 4585280 ) N ; + - user_analog[1] + NET user_analog[1] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 2966500 4767000 ) N ; + - user_analog[2] + NET user_analog[2] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 2451500 4767000 ) N ; + - user_analog[3] + NET user_analog[3] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 2194500 4767000 ) N ; + + - user_analog[4] + NET user_analog[4] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1706500 4767000 ) N ; + - user_clamp_high[0] + NET user_clamp_high[0] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1756500 4767000 ) N ; + - user_clamp_low[0] + NET user_clamp_low[0] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1743500 4767000 ) N ; + + - user_analog[5] + NET user_analog[5] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1287500 4767000 ) N ; + - user_clamp_high[1] + NET user_clamp_high[1] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1247500 4767000 ) N ; + - user_clamp_low[1] + NET user_clamp_low[1] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1234500 4767000 ) N ; + + - user_analog[6] + NET user_analog[6] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 1024500 4767000 ) N ; + - user_clamp_high[2] + NET user_clamp_high[2] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 984500 4767000 ) N ; + - user_clamp_low[2] + NET user_clamp_low[2] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 971500 4767000 ) N ; + + - user_analog[7] + NET user_analog[7] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 725500 4767000 ) N ; + - user_analog[8] + NET user_analog[8] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 468500 4767000 ) N ; + - user_analog[9] + NET user_analog[9] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( 0 -500 ) ( 500 500 ) + + PLACED ( 211500 4767000 ) N ; + - user_analog[10] + NET user_analog[10] + DIRECTION INOUT + USE SIGNAL + + PORT + + LAYER met3 ( -500 -300 ) ( 500 200 ) + + PLACED ( 0 4599000 ) N ; + + ''') + +## East pads +offset_x = 3164000 +offset_y = 294275 +pad = 0 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 226000 +pad = 1 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 225000 +pad = 2 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 226000 +pad = 3 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 225000 +pad = 4 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 225000 +pad = 5 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 226000 +pad = 6 +print_io_east(pad, offset_x, offset_y) +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 886000 +pad = 7 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 226000 +pad = 8 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 225000 +pad = 9 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 226000 +pad = 10 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 225000 +pad = 11 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 225000 +pad = 12 +print_io_east(pad, offset_x, offset_y) +offset_y = offset_y + 446000 +pad = 13 +print_io_east(pad, offset_x, offset_y) + +## West pads +offset_x = 1000 +offset_y = 4635725 +offset_y = offset_y - 849000 +pad = 14 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 15 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 16 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 17 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 18 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 19 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 20 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 638000 +pad = 21 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 22 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 23 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 24 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 25 +print_io_west(pad, offset_x, offset_y) +offset_y = offset_y - 216000 +pad = 26 +print_io_west(pad, offset_x, offset_y) + +print(f''' +END PINS +END DESIGN''') \ No newline at end of file diff --git a/openlane/caravan_core/floorplan_configuration/macro_placement.cfg b/openlane/caravan_core/floorplan_configuration/macro_placement.cfg new file mode 100644 index 00000000..66c87eb5 --- /dev/null +++ b/openlane/caravan_core/floorplan_configuration/macro_placement.cfg @@ -0,0 +1,83 @@ +user_id_value 2962.655 129.19 N +soc.core.RAM256.BANK128\[0\].RAM128 90.09 175 N +soc.core.RAM256.BANK128\[1\].RAM128 581.97 175 FN +soc.core.RAM128 1800 125 FN +mgmt_buffers.mprj_logic_high_inst 1190.94 1020 N +mgmt_buffers.powergood_check 1794 1020 N +mgmt_buffers.mprj2_logic_high_inst 823 1020 FN +por 1063.15 135 MX +mprj 115.04 1183.09 N +housekeeping 2650 190 N +spare_logic\[0\] 454 778.2 N +spare_logic\[1\] 1054 658.2 N +spare_logic\[2\] 1554 658.2 N +spare_logic\[3\] 2054 658.2 N +gpio_defaults_block_0 3137 230 N +gpio_defaults_block_1 3137 460 N +gpio_defaults_block_2 3137 680 N +gpio_defaults_block_3 3137 910 N +gpio_defaults_block_4 3137 1130 N +gpio_defaults_block_5 3137 1350 N +gpio_defaults_block_6 3137 1590 N +gpio_defaults_block_7 3137 2460 N +gpio_defaults_block_8 3137 2700 N +gpio_defaults_block_9 3137 2920 N +gpio_defaults_block_10 3137 3150 N +gpio_defaults_block_11 3137 3380 N +gpio_defaults_block_12 3137 3600 N +gpio_defaults_block_13 3137 4030 N +gpio_defaults_block_25 10 3680 FN +gpio_defaults_block_26 10 3460 FN +gpio_defaults_block_27 10 3240 FN +gpio_defaults_block_28 10 3030 FN +gpio_defaults_block_29 10 2800 FN +gpio_defaults_block_30 10 2600 FN +gpio_defaults_block_31 10 2390 FN +gpio_defaults_block_32 10 1740 FN +gpio_defaults_block_33 10 1530 FN +gpio_defaults_block_34 10 1300 FN +gpio_defaults_block_35 10 1090 FN +gpio_defaults_block_36 10 870 FN +gpio_defaults_block_37 10 660 FN +gpio_control_bidir_1\[0\].gpio_logic_high 3128.9 200 N +gpio_control_bidir_1\[1\].gpio_logic_high 3128.9 430 N +gpio_control_in_1a\[0\].gpio_logic_high 3128.9 650 N +gpio_control_in_1a\[1\].gpio_logic_high 3128.9 880 N +gpio_control_in_1a\[2\].gpio_logic_high 3128.9 1100 N +gpio_control_in_1a\[3\].gpio_logic_high 3128.9 1320 N +gpio_control_in_1a\[4\].gpio_logic_high 3128.9 1560 N +gpio_control_in_1a\[5\].gpio_logic_high 3128.9 2430 N +gpio_control_in_1\[0\].gpio_logic_high 3128.9 2670 N +gpio_control_in_1\[1\].gpio_logic_high 3128.9 2890 N +gpio_control_in_1\[2\].gpio_logic_high 3128.9 3120 N +gpio_control_in_1\[3\].gpio_logic_high 3128.9 3350 N +gpio_control_in_1\[4\].gpio_logic_high 3128.9 3570 N +gpio_control_in_1\[5\].gpio_logic_high 3128.9 4000 N +gpio_control_in_2\[0\].gpio_logic_high 11 3650 N +gpio_control_in_2\[1\].gpio_logic_high 11 3430 N +gpio_control_in_2\[2\].gpio_logic_high 11 3210 N +gpio_control_in_2\[3\].gpio_logic_high 11 3000 N +gpio_control_in_2\[4\].gpio_logic_high 11 2770 N +gpio_control_in_2\[5\].gpio_logic_high 11 2570 N +gpio_control_in_2\[6\].gpio_logic_high 11 2360 N +gpio_control_in_2\[7\].gpio_logic_high 11 1710 N +gpio_control_in_2\[8\].gpio_logic_high 11 1500 N +gpio_control_in_2\[9\].gpio_logic_high 11 1270 N +gpio_control_bidir_2\[0\].gpio_logic_high 11 1060 N +gpio_control_bidir_2\[1\].gpio_logic_high 11 840 N +gpio_control_bidir_2\[2\].gpio_logic_high 11 630 N +rstb_level 683.62 26 S +empty_macro_0 10.92 4194.6 N +clock_ctrl 1545 183 N +caravan_signal_routing 0 0 N +empty_macro_1 3042 1854 N +empty_macro_2 3042 2055 N +empty_macro_3 3042 2231 N +empty_macro_4 3042 3773 N +empty_macro_5 0 1983 N +empty_macro_6 0 2146 N +empty_macro_7 0 3904 N +empty_macro_8 0 4066 N +empty_macro_9 3042 4194.6 N +empty_macro_10 3042 4394.6 N +empty_macro_11 3042 4594.6 N \ No newline at end of file diff --git a/openlane/caravan_core/floorplan_configuration/pin_order.cfg b/openlane/caravan_core/floorplan_configuration/pin_order.cfg new file mode 100644 index 00000000..84d56365 --- /dev/null +++ b/openlane/caravan_core/floorplan_configuration/pin_order.cfg @@ -0,0 +1,686 @@ +#BUS_SORT +#NR +$20 +mprj_analog_io\[8\] +mprj_io_in\[15\] +mprj_io_slow_sel\[15\] +mprj_io_dm\[46\] +mprj_io_analog_en\[15\] +mprj_io_dm\[45\] +mprj_io_analog_pol\[15\] +mprj_io_inp_dis\[15\] +mprj_io_analog_sel\[15\] +mprj_io_dm\[47\] +mprj_io_holdover\[15\] +mprj_io_out\[15\] +mprj_io_vtrip_sel\[15\] +mprj_io_ib_mode_sel\[15\] +mprj_io_oeb\[15\] +mprj_io_one\[15\] +$84 +mprj_analog_io\[9\] +mprj_io_in\[16\] +mprj_io_slow_sel\[16\] +mprj_io_dm\[49\] +mprj_io_analog_en\[16\] +mprj_io_dm\[48\] +mprj_io_analog_pol\[16\] +mprj_io_inp_dis\[16\] +mprj_io_analog_sel\[16\] +mprj_io_dm\[50\] +mprj_io_holdover\[16\] +mprj_io_out\[16\] +mprj_io_vtrip_sel\[16\] +mprj_io_ib_mode_sel\[16\] +mprj_io_oeb\[16\] +mprj_io_one\[16\] +$35 +mprj_analog_io\[10\] +mprj_io_in\[17\] +mprj_io_slow_sel\[17\] +mprj_io_dm\[52\] +mprj_io_analog_en\[17\] +mprj_io_dm\[51\] +mprj_io_analog_pol\[17\] +mprj_io_inp_dis\[17\] +mprj_io_analog_sel\[17\] +mprj_io_dm\[53\] +mprj_io_holdover\[17\] +mprj_io_out\[17\] +mprj_io_vtrip_sel\[17\] +mprj_io_ib_mode_sel\[17\] +mprj_io_oeb\[17\] +mprj_io_one\[17\] +$73 +mprj_analog_io\[11\] +mprj_io_in\[18\] +mprj_io_slow_sel\[18\] +mprj_io_dm\[55\] +mprj_io_analog_en\[18\] +mprj_io_dm\[54\] +mprj_io_analog_pol\[18\] +mprj_io_inp_dis\[18\] +mprj_io_analog_sel\[18\] +mprj_io_dm\[56\] +mprj_io_holdover\[18\] +mprj_io_out\[18\] +mprj_io_vtrip_sel\[18\] +mprj_io_ib_mode_sel\[18\] +mprj_io_oeb\[18\] +mprj_io_one\[18\] +$85 +mprj_analog_io\[12\] +mprj_io_in\[19\] +mprj_io_slow_sel\[19\] +mprj_io_dm\[58\] +mprj_io_analog_en\[19\] +mprj_io_dm\[57\] +mprj_io_analog_pol\[19\] +mprj_io_inp_dis\[19\] +mprj_io_analog_sel\[19\] +mprj_io_dm\[59\] +mprj_io_holdover\[19\] +mprj_io_out\[19\] +mprj_io_vtrip_sel\[19\] +mprj_io_ib_mode_sel\[19\] +mprj_io_oeb\[19\] +mprj_io_one\[19\] +$35 +mprj_analog_io\[13\] +mprj_io_in\[20\] +mprj_io_slow_sel\[20\] +mprj_io_dm\[61\] +mprj_io_analog_en\[20\] +mprj_io_dm\[60\] +mprj_io_analog_pol\[20\] +mprj_io_inp_dis\[20\] +mprj_io_analog_sel\[20\] +mprj_io_dm\[62\] +mprj_io_holdover\[20\] +mprj_io_out\[20\] +mprj_io_vtrip_sel\[20\] +mprj_io_ib_mode_sel\[20\] +mprj_io_oeb\[20\] +mprj_io_one\[20\] +$35 +mprj_analog_io\[14\] +mprj_io_in\[21\] +mprj_io_slow_sel\[21\] +mprj_io_dm\[64\] +mprj_io_analog_en\[21\] +mprj_io_dm\[63\] +mprj_io_analog_pol\[21\] +mprj_io_inp_dis\[21\] +mprj_io_analog_sel\[21\] +mprj_io_dm\[65\] +mprj_io_holdover\[21\] +mprj_io_out\[21\] +mprj_io_vtrip_sel\[21\] +mprj_io_ib_mode_sel\[21\] +mprj_io_oeb\[21\] +mprj_io_one\[21\] +$35 +mprj_analog_io\[15\] +mprj_io_in\[22\] +mprj_io_slow_sel\[22\] +mprj_io_dm\[67\] +mprj_io_analog_en\[22\] +mprj_io_dm\[66\] +mprj_io_analog_pol\[22\] +mprj_io_inp_dis\[22\] +mprj_io_analog_sel\[22\] +mprj_io_dm\[68\] +mprj_io_holdover\[22\] +mprj_io_out\[22\] +mprj_io_vtrip_sel\[22\] +mprj_io_ib_mode_sel\[22\] +mprj_io_oeb\[22\] +mprj_io_one\[22\] +$35 +mprj_analog_io\[16\] +mprj_io_in\[23\] +mprj_io_slow_sel\[23\] +mprj_io_dm\[70\] +mprj_io_analog_en\[23\] +mprj_io_dm\[69\] +mprj_io_analog_pol\[23\] +mprj_io_inp_dis\[23\] +mprj_io_analog_sel\[23\] +mprj_io_dm\[71\] +mprj_io_holdover\[23\] +mprj_io_out\[23\] +mprj_io_vtrip_sel\[23\] +mprj_io_ib_mode_sel\[23\] +mprj_io_oeb\[23\] +mprj_io_one\[23\] +$20 + +#S +$43 +rstb_h +$21 +clock_core +$2 +por_l +$56 +flash_csb_frame +$1 +flash_csb_oeb +porb_h +$26 +flash_clk_frame +flash_clk_oeb +$19 +flash_io0_di +$2 +flash_io0_ieb +$1 +flash_io0_do +$1 +flash_io0_oeb +$19 +flash_io1_di +$1 +flash_io1_ieb +$1 +flash_io1_do +flash_io1_oeb +$19 +gpio_in_core +$2 +gpio_mode0_core +gpio_inenb_core +$1 +gpio_mode1_core +gpio_out_core +gpio_outenb_core +$63 + +#E +$40 +mprj_io_in\[0\] +mprj_io_slow_sel\[0\] +mprj_io_dm\[1\] +mprj_io_analog_en\[0\] +mprj_io_dm\[0\] +mprj_io_analog_pol\[0\] +mprj_io_inp_dis\[0\] +mprj_io_analog_sel\[0\] +mprj_io_dm\[2\] +mprj_io_holdover\[0\] +mprj_io_out\[0\] +mprj_io_vtrip_sel\[0\] +mprj_io_ib_mode_sel\[0\] +mprj_io_oeb\[0\] +mprj_io_one\[0\] +$32 +mprj_io_in\[1\] +mprj_io_slow_sel\[1\] +mprj_io_dm\[4\] +mprj_io_analog_en\[1\] +mprj_io_dm\[3\] +mprj_io_analog_pol\[1\] +mprj_io_inp_dis\[1\] +mprj_io_analog_sel\[1\] +mprj_io_dm\[5\] +mprj_io_holdover\[1\] +mprj_io_out\[1\] +mprj_io_vtrip_sel\[1\] +mprj_io_ib_mode_sel\[1\] +mprj_io_oeb\[1\] +mprj_io_one\[1\] +$32 +mprj_io_in\[2\] +mprj_io_slow_sel\[2\] +mprj_io_dm\[7\] +mprj_io_analog_en\[2\] +mprj_io_dm\[6\] +mprj_io_analog_pol\[2\] +mprj_io_inp_dis\[2\] +mprj_io_analog_sel\[2\] +mprj_io_dm\[8\] +mprj_io_holdover\[2\] +mprj_io_out\[2\] +mprj_io_vtrip_sel\[2\] +mprj_io_ib_mode_sel\[2\] +mprj_io_oeb\[2\] +mprj_io_one\[2\] +$32 +mprj_io_in\[3\] +mprj_io_slow_sel\[3\] +mprj_io_dm\[10\] +mprj_io_analog_en\[3\] +mprj_io_dm\[9\] +mprj_io_analog_pol\[3\] +mprj_io_inp_dis\[3\] +mprj_io_analog_sel\[3\] +mprj_io_dm\[11\] +mprj_io_holdover\[3\] +mprj_io_out\[3\] +mprj_io_vtrip_sel\[3\] +mprj_io_ib_mode_sel\[3\] +mprj_io_oeb\[3\] +mprj_io_one\[3\] +$32 +mprj_io_in\[4\] +mprj_io_slow_sel\[4\] +mprj_io_dm\[13\] +mprj_io_analog_en\[4\] +mprj_io_dm\[12\] +mprj_io_analog_pol\[4\] +mprj_io_inp_dis\[4\] +mprj_io_analog_sel\[4\] +mprj_io_dm\[14\] +mprj_io_holdover\[4\] +mprj_io_out\[4\] +mprj_io_vtrip_sel\[4\] +mprj_io_ib_mode_sel\[4\] +mprj_io_oeb\[4\] +mprj_io_one\[4\] +$32 +mprj_io_in\[5\] +mprj_io_slow_sel\[5\] +mprj_io_dm\[16\] +mprj_io_analog_en\[5\] +mprj_io_dm\[15\] +mprj_io_analog_pol\[5\] +mprj_io_inp_dis\[5\] +mprj_io_analog_sel\[5\] +mprj_io_dm\[17\] +mprj_io_holdover\[5\] +mprj_io_out\[5\] +mprj_io_vtrip_sel\[5\] +mprj_io_ib_mode_sel\[5\] +mprj_io_oeb\[5\] +mprj_io_one\[5\] +$32 +mprj_io_in\[6\] +mprj_io_slow_sel\[6\] +mprj_io_dm\[19\] +mprj_io_analog_en\[6\] +mprj_io_dm\[18\] +mprj_io_analog_pol\[6\] +mprj_io_inp_dis\[6\] +mprj_io_analog_sel\[6\] +mprj_io_dm\[20\] +mprj_io_holdover\[6\] +mprj_io_out\[6\] +mprj_io_vtrip_sel\[6\] +mprj_io_ib_mode_sel\[6\] +mprj_io_oeb\[6\] +mprj_io_one\[6\] +$172 +mprj_analog_io\[0\] +mprj_io_in\[7\] +mprj_io_slow_sel\[7\] +mprj_io_dm\[22\] +mprj_io_analog_en\[7\] +mprj_io_dm\[21\] +mprj_io_analog_pol\[7\] +mprj_io_inp_dis\[7\] +mprj_io_analog_sel\[7\] +mprj_io_dm\[23\] +mprj_io_holdover\[7\] +mprj_io_out\[7\] +mprj_io_vtrip_sel\[7\] +mprj_io_ib_mode_sel\[7\] +mprj_io_oeb\[7\] +mprj_io_one\[7\] +$32 +mprj_analog_io\[1\] +mprj_io_in\[8\] +mprj_io_slow_sel\[8\] +mprj_io_dm\[25\] +mprj_io_analog_en\[8\] +mprj_io_dm\[24\] +mprj_io_analog_pol\[8\] +mprj_io_inp_dis\[8\] +mprj_io_analog_sel\[8\] +mprj_io_dm\[26\] +mprj_io_holdover\[8\] +mprj_io_out\[8\] +mprj_io_vtrip_sel\[8\] +mprj_io_ib_mode_sel\[8\] +mprj_io_oeb\[8\] +mprj_io_one\[8\] +$32 +mprj_analog_io\[2\] +mprj_io_in\[9\] +mprj_io_slow_sel\[9\] +mprj_io_dm\[28\] +mprj_io_analog_en\[9\] +mprj_io_dm\[27\] +mprj_io_analog_pol\[9\] +mprj_io_inp_dis\[9\] +mprj_io_analog_sel\[9\] +mprj_io_dm\[29\] +mprj_io_holdover\[9\] +mprj_io_out\[9\] +mprj_io_vtrip_sel\[9\] +mprj_io_ib_mode_sel\[9\] +mprj_io_oeb\[9\] +mprj_io_one\[9\] +$32 +mprj_analog_io\[3\] +mprj_io_in\[10\] +mprj_io_slow_sel\[10\] +mprj_io_dm\[31\] +mprj_io_analog_en\[10\] +mprj_io_dm\[30\] +mprj_io_analog_pol\[10\] +mprj_io_inp_dis\[10\] +mprj_io_analog_sel\[10\] +mprj_io_dm\[32\] +mprj_io_holdover\[10\] +mprj_io_out\[10\] +mprj_io_vtrip_sel\[10\] +mprj_io_ib_mode_sel\[10\] +mprj_io_oeb\[10\] +mprj_io_one\[10\] +$32 +mprj_analog_io\[4\] +mprj_io_in\[11\] +mprj_io_slow_sel\[11\] +mprj_io_dm\[34\] +mprj_io_analog_en\[11\] +mprj_io_dm\[33\] +mprj_io_analog_pol\[11\] +mprj_io_inp_dis\[11\] +mprj_io_analog_sel\[11\] +mprj_io_dm\[35\] +mprj_io_holdover\[11\] +mprj_io_out\[11\] +mprj_io_vtrip_sel\[11\] +mprj_io_ib_mode_sel\[11\] +mprj_io_oeb\[11\] +mprj_io_one\[11\] +$32 +mprj_analog_io\[5\] +mprj_io_in\[12\] +mprj_io_slow_sel\[12\] +mprj_io_dm\[37\] +mprj_io_analog_en\[12\] +mprj_io_dm\[36\] +mprj_io_analog_pol\[12\] +mprj_io_inp_dis\[12\] +mprj_io_analog_sel\[12\] +mprj_io_dm\[38\] +mprj_io_holdover\[12\] +mprj_io_out\[12\] +mprj_io_vtrip_sel\[12\] +mprj_io_ib_mode_sel\[12\] +mprj_io_oeb\[12\] +mprj_io_one\[12\] +$76 +mprj_analog_io\[6\] +mprj_io_in\[13\] +mprj_io_slow_sel\[13\] +mprj_io_dm\[40\] +mprj_io_analog_en\[13\] +mprj_io_dm\[39\] +mprj_io_analog_pol\[13\] +mprj_io_inp_dis\[13\] +mprj_io_analog_sel\[13\] +mprj_io_dm\[41\] +mprj_io_holdover\[13\] +mprj_io_out\[13\] +mprj_io_vtrip_sel\[13\] +mprj_io_ib_mode_sel\[13\] +mprj_io_oeb\[13\] +mprj_io_one\[13\] +$76 +mprj_analog_io\[7\] +mprj_io_in\[14\] +mprj_io_slow_sel\[14\] +mprj_io_dm\[43\] +mprj_io_analog_en\[14\] +mprj_io_dm\[42\] +mprj_io_analog_pol\[14\] +mprj_io_inp_dis\[14\] +mprj_io_analog_sel\[14\] +mprj_io_dm\[44\] +mprj_io_holdover\[14\] +mprj_io_out\[14\] +mprj_io_vtrip_sel\[14\] +mprj_io_ib_mode_sel\[14\] +mprj_io_oeb\[14\] +mprj_io_one\[14\] +$15 + +#WR +mprj_io_in\[24\] +mprj_io_slow_sel\[24\] +mprj_analog_io\[17\] +mprj_io_dm\[73\] +mprj_io_analog_en\[24\] +mprj_io_dm\[72\] +mprj_io_analog_pol\[24\] +mprj_io_inp_dis\[24\] +mprj_io_analog_sel\[24\] +mprj_io_dm\[74\] +mprj_io_holdover\[24\] +mprj_io_out\[24\] +mprj_io_vtrip_sel\[24\] +mprj_io_ib_mode_sel\[24\] +mprj_io_oeb\[24\] +mprj_io_one\[24\] +$160 +mprj_analog_io\[18\] +mprj_io_in\[25\] +mprj_io_slow_sel\[25\] +mprj_io_dm\[76\] +mprj_io_analog_en\[25\] +mprj_io_dm\[75\] +mprj_io_analog_pol\[25\] +mprj_io_inp_dis\[25\] +mprj_io_analog_sel\[25\] +mprj_io_dm\[77\] +mprj_io_holdover\[25\] +mprj_io_out\[25\] +mprj_io_vtrip_sel\[25\] +mprj_io_ib_mode_sel\[25\] +mprj_io_oeb\[25\] +mprj_io_one\[25\] +$28 +mprj_analog_io\[19\] +mprj_io_in\[26\] +mprj_io_slow_sel\[26\] +mprj_io_dm\[79\] +mprj_io_analog_en\[26\] +mprj_io_dm\[78\] +mprj_io_analog_pol\[26\] +mprj_io_inp_dis\[26\] +mprj_io_analog_sel\[26\] +mprj_io_dm\[80\] +mprj_io_holdover\[26\] +mprj_io_out\[26\] +mprj_io_vtrip_sel\[26\] +mprj_io_ib_mode_sel\[26\] +mprj_io_oeb\[26\] +mprj_io_one\[26\] +$28 +mprj_analog_io\[20\] +mprj_io_in\[27\] +mprj_io_slow_sel\[27\] +mprj_io_dm\[82\] +mprj_io_analog_en\[27\] +mprj_io_dm\[81\] +mprj_io_analog_pol\[27\] +mprj_io_inp_dis\[27\] +mprj_io_analog_sel\[27\] +mprj_io_dm\[83\] +mprj_io_holdover\[27\] +mprj_io_out\[27\] +mprj_io_vtrip_sel\[27\] +mprj_io_ib_mode_sel\[27\] +mprj_io_oeb\[27\] +mprj_io_one\[27\] +$28 +mprj_analog_io\[21\] +mprj_io_in\[28\] +mprj_io_slow_sel\[28\] +mprj_io_dm\[85\] +mprj_io_analog_en\[28\] +mprj_io_dm\[84\] +mprj_io_analog_pol\[28\] +mprj_io_inp_dis\[28\] +mprj_io_analog_sel\[28\] +mprj_io_dm\[86\] +mprj_io_holdover\[28\] +mprj_io_out\[28\] +mprj_io_vtrip_sel\[28\] +mprj_io_ib_mode_sel\[28\] +mprj_io_oeb\[28\] +mprj_io_one\[28\] +$28 +mprj_analog_io\[22\] +mprj_io_in\[29\] +mprj_io_slow_sel\[29\] +mprj_io_dm\[88\] +mprj_io_analog_en\[29\] +mprj_io_dm\[87\] +mprj_io_analog_pol\[29\] +mprj_io_inp_dis\[29\] +mprj_io_analog_sel\[29\] +mprj_io_dm\[89\] +mprj_io_holdover\[29\] +mprj_io_out\[29\] +mprj_io_vtrip_sel\[29\] +mprj_io_ib_mode_sel\[29\] +mprj_io_oeb\[29\] +mprj_io_one\[29\] +$28 +mprj_analog_io\[23\] +mprj_io_in\[30\] +mprj_io_slow_sel\[30\] +mprj_io_dm\[91\] +mprj_io_analog_en\[30\] +mprj_io_dm\[90\] +mprj_io_analog_pol\[30\] +mprj_io_inp_dis\[30\] +mprj_io_analog_sel\[30\] +mprj_io_dm\[92\] +mprj_io_holdover\[30\] +mprj_io_out\[30\] +mprj_io_vtrip_sel\[30\] +mprj_io_ib_mode_sel\[30\] +mprj_io_oeb\[30\] +mprj_io_one\[30\] +$28 +mprj_analog_io\[24\] +mprj_io_in\[31\] +mprj_io_slow_sel\[31\] +mprj_io_dm\[94\] +mprj_io_analog_en\[31\] +mprj_io_dm\[93\] +mprj_io_analog_pol\[31\] +mprj_io_inp_dis\[31\] +mprj_io_analog_sel\[31\] +mprj_io_dm\[95\] +mprj_io_holdover\[31\] +mprj_io_out\[31\] +mprj_io_vtrip_sel\[31\] +mprj_io_ib_mode_sel\[31\] +mprj_io_oeb\[31\] +mprj_io_one\[31\] +$122 +mprj_analog_io\[25\] +mprj_io_in\[32\] +mprj_io_slow_sel\[32\] +mprj_io_dm\[97\] +mprj_io_analog_en\[32\] +mprj_io_dm\[96\] +mprj_io_analog_pol\[32\] +mprj_io_inp_dis\[32\] +mprj_io_analog_sel\[32\] +mprj_io_dm\[98\] +mprj_io_holdover\[32\] +mprj_io_out\[32\] +mprj_io_vtrip_sel\[32\] +mprj_io_ib_mode_sel\[32\] +mprj_io_oeb\[32\] +mprj_io_one\[32\] +$28 +mprj_analog_io\[26\] +mprj_io_in\[33\] +mprj_io_slow_sel\[33\] +mprj_io_dm\[100\] +mprj_io_analog_en\[33\] +mprj_io_dm\[99\] +mprj_io_analog_pol\[33\] +mprj_io_inp_dis\[33\] +mprj_io_analog_sel\[33\] +mprj_io_dm\[101\] +mprj_io_holdover\[33\] +mprj_io_out\[33\] +mprj_io_vtrip_sel\[33\] +mprj_io_ib_mode_sel\[33\] +mprj_io_oeb\[33\] +mprj_io_one\[33\] +$28 +mprj_analog_io\[27\] +mprj_io_in\[34\] +mprj_io_slow_sel\[34\] +mprj_io_dm\[103\] +mprj_io_analog_en\[34\] +mprj_io_dm\[102\] +mprj_io_analog_pol\[34\] +mprj_io_inp_dis\[34\] +mprj_io_analog_sel\[34\] +mprj_io_dm\[104\] +mprj_io_holdover\[34\] +mprj_io_out\[34\] +mprj_io_vtrip_sel\[34\] +mprj_io_ib_mode_sel\[34\] +mprj_io_oeb\[34\] +mprj_io_one\[34\] +$28 +mprj_analog_io\[28\] +mprj_io_in\[35\] +mprj_io_slow_sel\[35\] +mprj_io_dm\[106\] +mprj_io_analog_en\[35\] +mprj_io_dm\[105\] +mprj_io_analog_pol\[35\] +mprj_io_inp_dis\[35\] +mprj_io_analog_sel\[35\] +mprj_io_dm\[107\] +mprj_io_holdover\[35\] +mprj_io_out\[35\] +mprj_io_vtrip_sel\[35\] +mprj_io_ib_mode_sel\[35\] +mprj_io_oeb\[35\] +mprj_io_one\[35\] +$28 +mprj_io_in\[36\] +mprj_io_slow_sel\[36\] +mprj_io_dm\[109\] +mprj_io_analog_en\[36\] +mprj_io_dm\[108\] +mprj_io_analog_pol\[36\] +mprj_io_inp_dis\[36\] +mprj_io_analog_sel\[36\] +mprj_io_dm\[110\] +mprj_io_holdover\[36\] +mprj_io_out\[36\] +mprj_io_vtrip_sel\[36\] +mprj_io_ib_mode_sel\[36\] +mprj_io_oeb\[36\] +mprj_io_one\[36\] +$28 +mprj_io_in\[37\] +mprj_io_slow_sel\[37\] +mprj_io_dm\[112\] +mprj_io_analog_en\[37\] +mprj_io_dm\[111\] +mprj_io_analog_pol\[37\] +mprj_io_inp_dis\[37\] +mprj_io_analog_sel\[37\] +mprj_io_dm\[113\] +mprj_io_holdover\[37\] +mprj_io_out\[37\] +mprj_io_vtrip_sel\[37\] +mprj_io_ib_mode_sel\[37\] +mprj_io_oeb\[37\] +mprj_io_one\[37\] +$117 \ No newline at end of file diff --git a/openlane/caravan_core/interactive.tcl b/openlane/caravan_core/interactive.tcl new file mode 100755 index 00000000..d991a3de --- /dev/null +++ b/openlane/caravan_core/interactive.tcl @@ -0,0 +1,165 @@ +package require openlane +variable SCRIPT_DIR [file dirname [file normalize [info script]]] +# prep -ignore_mismatches -design $SCRIPT_DIR -tag techlef_for_antenna -overwrite -verbose 0 +prep -ignore_mismatches -design $SCRIPT_DIR -tag $::env(OPENLANE_RUN_TAG) -overwrite -verbose 0 + +set save_path $::env(CARAVEL_ROOT) + +################ Synthesis ################ +run_synthesis +# set_netlist $::env(DESIGN_DIR)/synth_configuration/caravel_core.v +# set ::env(CURRENT_SDC) $::env(DESIGN_DIR)/sdc_files/base.sdc + +################ Floorplan ################ +init_floorplan +apply_def_template + +# Placing the macros in the core area and marking them fixed +file copy -force $::env(MACRO_PLACEMENT_CFG) $::env(placement_tmpfiles)/macro_placement.cfg +manual_macro_placement -f + +# Tap/Decap insertion +tap_decap_or + +# +set ::env(GRT_OBS) "\ + pwell 0 4195 3165 4767, \ + nwell 0 4195 3165 4767, \ + li1 0 4195 3165 4767, \ + met1 0 4195 3165 4767, \ + met2 0 4195 3165 4767, \ + met3 0 4195 3165 4767, \ + met4 0 4195 3165 4767, \ + met5 0 4195 3165 4767, \ + met5 59.52 1183.09 3103.58 4703.09, \ + met5 1943 1153 1944 1155, \ + met5 1815 1130 1816 1132 \ +" +add_route_obs + +run_power_grid_generation + +# run_magic +# save_final_views +# save_views -save_path .. -tag $::env(OPENLANE_RUN_TAG) +################ placement ################ +set ::env(PL_TARGET_DENSITY) 0.20 +run_placement + +################ CTS ################ +run_cts +run_resizer_timing + +################ Global Routing Optmization ################ +run_resizer_design_routing +run_resizer_timing_routing + +## Placement again ## +set ::env(PL_TARGET_DENSITY) 0.25 +run_placement +run_cts + +run_resizer_timing + +## Routing Optmization ## +run_resizer_design_routing +run_resizer_timing_routing + +################ Place and route on the optmized netlist ################ +set ::env(PL_TARGET_DENSITY) 0.27 +set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0 +set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0 +set ::env(GLB_RESIZER_DESIGN_OPTIMIZATIONS) 0 +set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 0 +run_placement +run_cts + +# Adding met4/5 routing obstructions over the the RAMs and housekeeping to prevent routing DRCs +set ::env(GRT_OBS) "\ + met5 90 175.0 496.18 612.92, \ + met5 582.00 175.00 988.18 612.92, \ + met5 1800 125.00 2206.18 562.92, \ + met5 2650 190 3060.23 740.95, \ + met4 90 175.0 496.18 612.92, \ + met4 582.00 175.00 988.18 612.92, \ + met4 1800 125.00 2206.18 562.92, \ + met4 2650 190 3060.23 740.95 \ +" +# adding hk_serial_clock and hk_serial_load as clocks after CTS by changing +# the sdc file to another one which they are defined as clocks in it. +set ::env(CURRENT_SDC) $::env(DESIGN_DIR)/sdc_files/base_2.sdc + +# set ::env(GRT_ALLOW_CONGESTION) 0 +run_routing +################ RCX sta ################ +run_parasitics_sta + +################ IR drop ################ +# run_irdrop_report + +################ Antenna check ################ +run_antenna_check + +################ magic ################ +run_magic + +################ LVS ################ +# run_magic_spice_export; +# run_lvs; + +############### DRC ################ +# run_magic_drc + +################ Saving views and reports ################ +save_final_views +save_views -save_path .. -tag $::env(OPENLANE_RUN_TAG) +## + calc_total_runtime + save_state + generate_final_summary_report + check_timing_violations + if { [info exists arg_values(-save_path)]\ + && $arg_values(-save_path) != "" } { + set ::env(HOOK_OUTPUT_PATH) "[file normalize $arg_values(-save_path)]" + } else { + set ::env(HOOK_OUTPUT_PATH) $::env(RESULTS_DIR)/final + } + if {[info exists flags_map(-run_hooks)]} { + run_post_run_hooks + } + puts_success "Flow complete." + show_warnings "Note that the following warnings have been generated:" + +################ Copying reports ################ +set run_dir $::env(DESIGN_DIR)/runs/$::env(RUN_TAG) +## copying signoff reports +set sourceDir $run_dir/reports/signoff +set targetDir $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN_NAME)/openlane-signoff/ +foreach f [glob -directory $sourceDir -nocomplain *] { + file copy -force $f $targetDir +} +## copying spefs +set sourceDir $run_dir/results/routing/mca/spef/ +set targetDir $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN_NAME)/openlane-signoff/spef/ +foreach f [glob -directory $sourceDir -nocomplain *] { + file copy -force $f $targetDir +} +## copying sdf +set sourceDir $run_dir/results/routing/mca/sdf/nom/ +set targetDir $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN_NAME)/openlane-signoff/sdf/nom/ +foreach f [glob -directory $sourceDir -nocomplain *] { + file copy -force $f $targetDir +} +set sourceDir $run_dir/results/routing/mca/sdf/min/ +set targetDir $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN_NAME)/openlane-signoff/sdf/min/ +foreach f [glob -directory $sourceDir -nocomplain *] { + file copy -force $f $targetDir +} +set sourceDir $run_dir/results/routing/mca/sdf/max/ +set targetDir $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN_NAME)/openlane-signoff/sdf/max/ +foreach f [glob -directory $sourceDir -nocomplain *] { + file copy -force $f $targetDir +} +## coping other files +set flist [list $run_dir/config.tcl $run_dir/openlane.log $run_dir/runtime.yaml $run_dir/warnings.log] +file copy -force {*}$flist $::env(CARAVEL_ROOT)/signoff/$::env(DESIGN_NAME)/ \ No newline at end of file diff --git a/openlane/caravan_core/pdn_configuration/pdn.tcl b/openlane/caravan_core/pdn_configuration/pdn.tcl new file mode 100644 index 00000000..c5a53241 --- /dev/null +++ b/openlane/caravan_core/pdn_configuration/pdn.tcl @@ -0,0 +1,227 @@ +# Copyright 2020-2022 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + +source $::env(SCRIPTS_DIR)/openroad/common/set_global_connections.tcl +set_global_connections + +set secondary [] +foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) { + if { $vdd != $::env(VDD_NET)} { + lappend secondary $vdd + + set db_net [[ord::get_db_block] findNet $vdd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $vdd] + $net setSpecial + $net setSigType "POWER" + } + } + + if { $gnd != $::env(GND_NET)} { + lappend secondary $gnd + + set db_net [[ord::get_db_block] findNet $gnd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $gnd] + $net setSpecial + $net setSigType "GROUND" + } + } +} + +set_voltage_domain -name CORE -power $::env(VDD_NET) -ground $::env(GND_NET) \ + -secondary_power $secondary + + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins "met4 met5" + +#### core ring #### +add_pdn_stripe \ + -grid stdcell_grid \ + -layer met4 \ + -width 5 \ + -pitch 120 \ + -offset 3.3 \ + -spacing 1 \ + -number_of_straps 1 \ + -nets "vssd vccd vccd1 vssd1 vssd2 vccd2 vccd vssd" \ + -starts_with POWER +add_pdn_stripe \ + -grid stdcell_grid \ + -layer met4 \ + -width 5 \ + -pitch 120 \ + -offset 3097.56 \ + -spacing 1 \ + -number_of_straps 1 \ + -nets "vccd vssd vccd2 vssd2 vssd1 vccd1 vccd vssd" \ + -starts_with POWER +add_pdn_stripe \ + -grid stdcell_grid \ + -layer met5 \ + -width 16 \ + -pitch 120 \ + -offset 5 \ + -spacing 1.6 \ + -number_of_straps 1 \ + -nets "vccd vssd vccd1 vssd1 vssd2 vccd2" \ + -starts_with POWER +add_pdn_stripe \ + -grid stdcell_grid \ + -layer met5 \ + -width 10 \ + -pitch 146 \ + -offset 1045.5 \ + -spacing 1.6 \ + -number_of_straps 1 \ + -nets "vccd vccd2 vccd1 vssd vssd1 vssd2 vdda1 vssa1 vdda2 vssa2" \ + -starts_with POWER + +#### std cells stripes #### + #Metal4 + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met4 \ + -width 6.4 \ + -pitch 100 \ + -offset 117 \ + -spacing 1.2 \ + -nets "vccd vssd" \ + -starts_with POWER + + #Metal5 + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met5 \ + -width 6.4 \ + -pitch 120 \ + -offset 181 \ + -spacing 2.4 \ + -nets "vccd vssd" \ + -starts_with POWER + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met5 \ + -width 14.4 \ + -pitch 120 \ + -offset 239 \ + -spacing 2.4 \ + -number_of_straps 7 \ + -nets "vccd vssd" \ + -starts_with POWER + +#### mgmt_protect macros stripes #### + #Metal4 + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met4 \ + -width 4.8 \ + -pitch 50 \ + -offset 843 \ + -spacing 3.2 \ + -number_of_straps 2 \ + -nets "vccd2 vssd2" \ + -starts_with POWER + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met4 \ + -width 4.8 \ + -pitch 100 \ + -offset 1268 \ + -spacing 3.2 \ + -number_of_straps 3 \ + -nets "vccd1 vssd1" \ + -starts_with POWER + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met4 \ + -width 4.8 \ + -pitch 40 \ + -offset 1836 \ + -spacing 3.2 \ + -number_of_straps 2 \ + -nets "vdda1 vssa1 vdda2 vssa2" \ + -starts_with POWER + +#### user_id_programming + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met4 \ + -width 1.6 \ + -pitch 120 \ + -offset 2958.855 \ + -spacing 21.18 \ + -number_of_straps 1 \ + -nets "vccd vssd" \ + -starts_with POWER + +#### vssio and vddio stripes #### + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met5 \ + -width 5 \ + -pitch 14 \ + -offset 137 \ + -spacing 2 \ + -number_of_straps 2 \ + -nets "vddio vssio" \ + -starts_with POWER + add_pdn_stripe \ + -grid stdcell_grid \ + -layer met4 \ + -width 4.8 \ + -pitch 386 \ + -offset 647 \ + -spacing 2 \ + -number_of_straps 2 \ + -nets "vddio vssio" \ + -starts_with POWER + + +add_pdn_connect \ + -grid stdcell_grid \ + -layers "met4 met5" + +# Adds the standard cell rails if enabled. +if { $::env(FP_PDN_ENABLE_RAILS) == 1 } { + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_RAIL_LAYER) \ + -width $::env(FP_PDN_RAIL_WIDTH) \ + -followpins \ + -starts_with POWER + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_RAIL_LAYER) met4" +} + +define_pdn_grid \ + -macro \ + -default \ + -name macro \ + -starts_with POWER \ + -halo "$::env(FP_PDN_HORIZONTAL_HALO) $::env(FP_PDN_VERTICAL_HALO)" + +add_pdn_connect \ + -grid macro \ + -layers "met3 met4" + +add_pdn_connect \ + -grid macro \ + -layers "met4 met5" + diff --git a/openlane/caravan_core/scripts/antenna_3.tcl b/openlane/caravan_core/scripts/antenna_3.tcl new file mode 100644 index 00000000..13f50209 --- /dev/null +++ b/openlane/caravan_core/scripts/antenna_3.tcl @@ -0,0 +1,4 @@ +repair_antennas "$::env(DIODE_CELL)" -iterations $::env(GRT_ANT_ITERS) -ratio_margin 25 +check_placement + +write \ No newline at end of file diff --git a/openlane/caravan_core/scripts/pin_order.py b/openlane/caravan_core/scripts/pin_order.py new file mode 100644 index 00000000..dfe6881c --- /dev/null +++ b/openlane/caravan_core/scripts/pin_order.py @@ -0,0 +1,18 @@ +for i in range(0,38): + if i in range(7,36): + print(f"mprj_analog_io\[" + str(i-7) + "\]") + print(f"mprj_io_in\[" + str(i) + "\]") + print(f"mprj_io_slow_sel\[" + str(i) + "\]") + print(f"mprj_io_dm\[" + str(i*3+1) + "\]") + print(f"mprj_io_analog_en\[" + str(i) + "\]") + print(f"mprj_io_dm\[" + str(i*3+0) + "\]") + print(f"mprj_io_analog_pol\[" + str(i) + "\]") + print(f"mprj_io_inp_dis\[" + str(i) + "\]") + print(f"mprj_io_analog_sel\[" + str(i) + "\]") + print(f"mprj_io_dm\[" + str(i*3+2) + "\]") + print(f"mprj_io_holdover\[" + str(i) + "\]") + print(f"mprj_io_out\[" + str(i) + "\]") + print(f"mprj_io_vtrip_sel\[" + str(i) + "\]") + print(f"mprj_io_ib_mode_sel\[" + str(i) + "\]") + print(f"mprj_io_oeb\[" + str(i) + "\]") + print(f"mprj_io_one\[" + str(i) + "\]") \ No newline at end of file diff --git a/openlane/caravan_core/sdc_files/base.sdc b/openlane/caravan_core/sdc_files/base.sdc index 11e3bfe2..ae37db2a 100644 --- a/openlane/caravan_core/sdc_files/base.sdc +++ b/openlane/caravan_core/sdc_files/base.sdc @@ -6,7 +6,7 @@ create_clock -name clk -period 18 [get_pins {clock_ctrl/core_clk}] # create_clock -name clk -period 25 [get_ports {clock_core}] -set_clock_uncertainty 0.5 [get_clocks {clk}] +set_clock_uncertainty 0.55 [get_clocks {clk}] set_propagated_clock [get_clocks {clk}] diff --git a/openlane/caravan_core/synth_configuration/caravel_core.v b/openlane/caravan_core/synth_configuration/caravel_core.v new file mode 100644 index 00000000..4abc5b9e --- /dev/null +++ b/openlane/caravan_core/synth_configuration/caravel_core.v @@ -0,0 +1,159901 @@ +/* Generated by Yosys 0.22 (git sha1 f109fa3d4c5, gcc 8.3.1 -fPIC -Os) */ + +module caravel_core(porb_h, por_l, rstb_h, clock_core, gpio_out_core, gpio_in_core, gpio_mode0_core, gpio_mode1_core, gpio_outenb_core, gpio_inenb_core, flash_csb_frame, flash_clk_frame, flash_csb_oeb, flash_clk_oeb, flash_io0_oeb, flash_io1_oeb, flash_io0_ieb, flash_io1_ieb, flash_io0_do, flash_io1_do, flash_io0_di +, flash_io1_di, mprj_io_in, mprj_io_out, mprj_io_oeb, mprj_io_inp_dis, mprj_io_ib_mode_sel, mprj_io_vtrip_sel, mprj_io_slow_sel, mprj_io_holdover, mprj_io_analog_en, mprj_io_analog_sel, mprj_io_analog_pol, mprj_io_dm, mprj_io_one, mprj_analog_io); + wire _00000_; + wire _00001_; + wire _00002_; + wire _00003_; + wire _00004_; + wire _00005_; + wire _00006_; + wire _00007_; + wire _00008_; + wire _00009_; + wire _00010_; + wire _00011_; + wire _00012_; + wire _00013_; + wire _00014_; + wire _00015_; + wire _00016_; + wire _00017_; + wire _00018_; + wire _00019_; + wire _00020_; + wire _00021_; + wire _00022_; + wire _00023_; + wire _00024_; + wire _00025_; + wire _00026_; + wire _00027_; + wire _00028_; + wire _00029_; + wire _00030_; + wire _00031_; + wire _00032_; + wire _00033_; + wire _00034_; + wire _00035_; + wire _00036_; + wire _00037_; + wire _00038_; + wire _00039_; + wire _00040_; + wire _00041_; + wire _00042_; + wire _00043_; + wire _00044_; + wire _00045_; + wire _00046_; + wire _00047_; + wire _00048_; + wire _00049_; + wire _00050_; + wire _00051_; + wire _00052_; + wire _00053_; + wire _00054_; + wire _00055_; + wire _00056_; + wire _00057_; + wire _00058_; + wire _00059_; + wire _00060_; + wire _00061_; + wire _00062_; + wire _00063_; + wire _00064_; + wire _00065_; + wire _00066_; + wire _00067_; + wire _00068_; + wire _00069_; + wire _00070_; + wire _00071_; + wire _00072_; + wire _00073_; + wire _00074_; + wire _00075_; + wire _00076_; + wire _00077_; + wire _00078_; + wire _00079_; + wire _00080_; + wire _00081_; + wire _00082_; + wire _00083_; + wire _00084_; + wire _00085_; + wire _00086_; + wire _00087_; + wire _00088_; + wire _00089_; + wire _00090_; + wire _00091_; + wire _00092_; + wire _00093_; + wire _00094_; + wire _00095_; + wire _00096_; + wire _00097_; + wire _00098_; + wire _00099_; + wire _00100_; + wire _00101_; + wire _00102_; + wire _00103_; + wire _00104_; + wire _00105_; + wire _00106_; + wire _00107_; + wire _00108_; + wire _00109_; + wire _00110_; + wire _00111_; + wire _00112_; + wire _00113_; + wire _00114_; + wire _00115_; + wire _00116_; + wire _00117_; + wire _00118_; + wire _00119_; + wire _00120_; + wire _00121_; + wire _00122_; + wire _00123_; + wire _00124_; + wire _00125_; + wire _00126_; + wire _00127_; + wire _00128_; + wire _00129_; + wire _00130_; + wire _00131_; + wire _00132_; + wire _00133_; + wire _00134_; + wire _00135_; + wire _00136_; + wire _00137_; + wire _00138_; + wire _00139_; + wire _00140_; + wire _00141_; + wire _00142_; + wire _00143_; + wire _00144_; + wire _00145_; + wire _00146_; + wire _00147_; + wire _00148_; + wire _00149_; + wire _00150_; + wire _00151_; + wire _00152_; + wire _00153_; + wire _00154_; + wire _00155_; + wire _00156_; + wire _00157_; + wire _00158_; + wire _00159_; + wire _00160_; + wire _00161_; + wire _00162_; + wire _00163_; + wire _00164_; + wire _00165_; + wire _00166_; + wire _00167_; + wire _00168_; + wire _00169_; + wire _00170_; + wire _00171_; + wire _00172_; + wire _00173_; + wire _00174_; + wire _00175_; + wire _00176_; + wire _00177_; + wire _00178_; + wire _00179_; + wire _00180_; + wire _00181_; + wire _00182_; + wire _00183_; + wire _00184_; + wire _00185_; + wire _00186_; + wire _00187_; + wire _00188_; + wire _00189_; + wire _00190_; + wire _00191_; + wire _00192_; + wire _00193_; + wire _00194_; + wire _00195_; + wire _00196_; + wire _00197_; + wire _00198_; + wire _00199_; + wire _00200_; + wire _00201_; + wire _00202_; + wire _00203_; + wire _00204_; + wire _00205_; + wire _00206_; + wire _00207_; + wire _00208_; + wire _00209_; + wire _00210_; + wire _00211_; + wire _00212_; + wire _00213_; + wire _00214_; + wire _00215_; + wire _00216_; + wire _00217_; + wire _00218_; + wire _00219_; + wire _00220_; + wire _00221_; + wire _00222_; + wire _00223_; + wire _00224_; + wire _00225_; + wire _00226_; + wire _00227_; + wire _00228_; + wire _00229_; + wire _00230_; + wire _00231_; + wire _00232_; + wire _00233_; + wire _00234_; + wire _00235_; + wire _00236_; + wire _00237_; + wire _00238_; + wire _00239_; + wire _00240_; + wire _00241_; + wire _00242_; + wire _00243_; + wire _00244_; + wire _00245_; + wire _00246_; + wire _00247_; + wire _00248_; + wire _00249_; + wire _00250_; + wire _00251_; + wire _00252_; + wire _00253_; + wire _00254_; + wire _00255_; + wire _00256_; + wire _00257_; + wire _00258_; + wire _00259_; + wire _00260_; + wire _00261_; + wire _00262_; + wire _00263_; + wire _00264_; + wire _00265_; + wire _00266_; + wire _00267_; + wire _00268_; + wire _00269_; + wire _00270_; + wire _00271_; + wire _00272_; + wire _00273_; + wire _00274_; + wire _00275_; + wire _00276_; + wire _00277_; + wire _00278_; + wire _00279_; + wire _00280_; + wire _00281_; + wire _00282_; + wire _00283_; + wire _00284_; + wire _00285_; + wire _00286_; + wire _00287_; + wire _00288_; + wire _00289_; + wire _00290_; + wire _00291_; + wire _00292_; + wire _00293_; + wire _00294_; + wire _00295_; + wire _00296_; + wire _00297_; + wire _00298_; + wire _00299_; + wire _00300_; + wire _00301_; + wire _00302_; + wire _00303_; + wire _00304_; + wire _00305_; + wire _00306_; + wire _00307_; + wire _00308_; + wire _00309_; + wire _00310_; + wire _00311_; + wire _00312_; + wire _00313_; + wire _00314_; + wire _00315_; + wire _00316_; + wire _00317_; + wire _00318_; + wire _00319_; + wire _00320_; + wire _00321_; + wire _00322_; + wire _00323_; + wire _00324_; + wire _00325_; + wire _00326_; + wire _00327_; + wire _00328_; + wire _00329_; + wire _00330_; + wire _00331_; + wire _00332_; + wire _00333_; + wire _00334_; + wire _00335_; + wire _00336_; + wire _00337_; + wire _00338_; + wire _00339_; + wire _00340_; + wire _00341_; + wire _00342_; + wire _00343_; + wire _00344_; + wire _00345_; + wire _00346_; + wire _00347_; + wire _00348_; + wire _00349_; + wire _00350_; + wire _00351_; + wire _00352_; + wire _00353_; + wire _00354_; + wire _00355_; + wire _00356_; + wire _00357_; + wire _00358_; + wire _00359_; + wire _00360_; + wire _00361_; + wire _00362_; + wire _00363_; + wire _00364_; + wire _00365_; + wire _00366_; + wire _00367_; + wire _00368_; + wire _00369_; + wire _00370_; + wire _00371_; + wire _00372_; + wire _00373_; + wire _00374_; + wire _00375_; + wire _00376_; + wire _00377_; + wire _00378_; + wire _00379_; + wire _00380_; + wire _00381_; + wire _00382_; + wire _00383_; + wire _00384_; + wire _00385_; + wire _00386_; + wire _00387_; + wire _00388_; + wire _00389_; + wire _00390_; + wire _00391_; + wire _00392_; + wire _00393_; + wire _00394_; + wire _00395_; + wire _00396_; + wire _00397_; + wire _00398_; + wire _00399_; + wire _00400_; + wire _00401_; + wire _00402_; + wire _00403_; + wire _00404_; + wire _00405_; + wire _00406_; + wire _00407_; + wire _00408_; + wire _00409_; + wire _00410_; + wire _00411_; + wire _00412_; + wire _00413_; + wire _00414_; + wire _00415_; + wire _00416_; + wire _00417_; + wire _00418_; + wire _00419_; + wire _00420_; + wire _00421_; + wire _00422_; + wire _00423_; + wire _00424_; + wire _00425_; + wire _00426_; + wire _00427_; + wire _00428_; + wire _00429_; + wire _00430_; + wire _00431_; + wire _00432_; + wire _00433_; + wire _00434_; + wire _00435_; + wire _00436_; + wire _00437_; + wire _00438_; + wire _00439_; + wire _00440_; + wire _00441_; + wire _00442_; + wire _00443_; + wire _00444_; + wire _00445_; + wire _00446_; + wire _00447_; + wire _00448_; + wire _00449_; + wire _00450_; + wire _00451_; + wire _00452_; + wire _00453_; + wire _00454_; + wire _00455_; + wire _00456_; + wire _00457_; + wire _00458_; + wire _00459_; + wire _00460_; + wire _00461_; + wire _00462_; + wire _00463_; + wire _00464_; + wire _00465_; + wire _00466_; + wire _00467_; + wire _00468_; + wire _00469_; + wire _00470_; + wire _00471_; + wire _00472_; + wire _00473_; + wire _00474_; + wire _00475_; + wire _00476_; + wire _00477_; + wire _00478_; + wire _00479_; + wire _00480_; + wire _00481_; + wire _00482_; + wire _00483_; + wire _00484_; + wire _00485_; + wire _00486_; + wire _00487_; + wire _00488_; + wire _00489_; + wire _00490_; + wire _00491_; + wire _00492_; + wire _00493_; + wire _00494_; + wire _00495_; + wire _00496_; + wire _00497_; + wire _00498_; + wire _00499_; + wire _00500_; + wire _00501_; + wire _00502_; + wire _00503_; + wire _00504_; + wire _00505_; + wire _00506_; + wire _00507_; + wire _00508_; + wire _00509_; + wire _00510_; + wire _00511_; + wire _00512_; + wire _00513_; + wire _00514_; + wire _00515_; + wire _00516_; + wire _00517_; + wire _00518_; + wire _00519_; + wire _00520_; + wire _00521_; + wire _00522_; + wire _00523_; + wire _00524_; + wire _00525_; + wire _00526_; + wire _00527_; + wire _00528_; + wire _00529_; + wire _00530_; + wire _00531_; + wire _00532_; + wire _00533_; + wire _00534_; + wire _00535_; + wire _00536_; + wire _00537_; + wire _00538_; + wire _00539_; + wire _00540_; + wire _00541_; + wire _00542_; + wire _00543_; + wire _00544_; + wire _00545_; + wire _00546_; + wire _00547_; + wire _00548_; + wire _00549_; + wire _00550_; + wire _00551_; + wire _00552_; + wire _00553_; + wire _00554_; + wire _00555_; + wire _00556_; + wire _00557_; + wire _00558_; + wire _00559_; + wire _00560_; + wire _00561_; + wire _00562_; + wire _00563_; + wire _00564_; + wire _00565_; + wire _00566_; + wire _00567_; + wire _00568_; + wire _00569_; + wire _00570_; + wire _00571_; + wire _00572_; + wire _00573_; + wire _00574_; + wire _00575_; + wire _00576_; + wire _00577_; + wire _00578_; + wire _00579_; + wire _00580_; + wire _00581_; + wire _00582_; + wire _00583_; + wire _00584_; + wire _00585_; + wire _00586_; + wire _00587_; + wire _00588_; + wire _00589_; + wire _00590_; + wire _00591_; + wire _00592_; + wire _00593_; + wire _00594_; + wire _00595_; + wire _00596_; + wire _00597_; + wire _00598_; + wire _00599_; + wire _00600_; + wire _00601_; + wire _00602_; + wire _00603_; + wire _00604_; + wire _00605_; + wire _00606_; + wire _00607_; + wire _00608_; + wire _00609_; + wire _00610_; + wire _00611_; + wire _00612_; + wire _00613_; + wire _00614_; + wire _00615_; + wire _00616_; + wire _00617_; + wire _00618_; + wire _00619_; + wire _00620_; + wire _00621_; + wire _00622_; + wire _00623_; + wire _00624_; + wire _00625_; + wire _00626_; + wire _00627_; + wire _00628_; + wire _00629_; + wire _00630_; + wire _00631_; + wire _00632_; + wire _00633_; + wire _00634_; + wire _00635_; + wire _00636_; + wire _00637_; + wire _00638_; + wire _00639_; + wire _00640_; + wire _00641_; + wire _00642_; + wire _00643_; + wire _00644_; + wire _00645_; + wire _00646_; + wire _00647_; + wire _00648_; + wire _00649_; + wire _00650_; + wire _00651_; + wire _00652_; + wire _00653_; + wire _00654_; + wire _00655_; + wire _00656_; + wire _00657_; + wire _00658_; + wire _00659_; + wire _00660_; + wire _00661_; + wire _00662_; + wire _00663_; + wire _00664_; + wire _00665_; + wire _00666_; + wire _00667_; + wire _00668_; + wire _00669_; + wire _00670_; + wire _00671_; + wire _00672_; + wire _00673_; + wire _00674_; + wire _00675_; + wire _00676_; + wire _00677_; + wire _00678_; + wire _00679_; + wire _00680_; + wire _00681_; + wire _00682_; + wire _00683_; + wire _00684_; + wire _00685_; + wire _00686_; + wire _00687_; + wire _00688_; + wire _00689_; + wire _00690_; + wire _00691_; + wire _00692_; + wire _00693_; + wire _00694_; + wire _00695_; + wire _00696_; + wire _00697_; + wire _00698_; + wire _00699_; + wire _00700_; + wire _00701_; + wire _00702_; + wire _00703_; + wire _00704_; + wire _00705_; + wire _00706_; + wire _00707_; + wire _00708_; + wire _00709_; + wire _00710_; + wire _00711_; + wire _00712_; + wire _00713_; + wire _00714_; + wire _00715_; + wire _00716_; + wire _00717_; + wire _00718_; + wire _00719_; + wire _00720_; + wire _00721_; + wire _00722_; + wire _00723_; + wire _00724_; + wire _00725_; + wire _00726_; + wire _00727_; + wire _00728_; + wire _00729_; + wire _00730_; + wire _00731_; + wire _00732_; + wire _00733_; + wire _00734_; + wire _00735_; + wire _00736_; + wire _00737_; + wire _00738_; + wire _00739_; + wire _00740_; + wire _00741_; + wire _00742_; + wire _00743_; + wire _00744_; + wire _00745_; + wire _00746_; + wire _00747_; + wire _00748_; + wire _00749_; + wire _00750_; + wire _00751_; + wire _00752_; + wire _00753_; + wire _00754_; + wire _00755_; + wire _00756_; + wire _00757_; + wire _00758_; + wire _00759_; + wire _00760_; + wire _00761_; + wire _00762_; + wire _00763_; + wire _00764_; + wire _00765_; + wire _00766_; + wire _00767_; + wire _00768_; + wire _00769_; + wire _00770_; + wire _00771_; + wire _00772_; + wire _00773_; + wire _00774_; + wire _00775_; + wire _00776_; + wire _00777_; + wire _00778_; + wire _00779_; + wire _00780_; + wire _00781_; + wire _00782_; + wire _00783_; + wire _00784_; + wire _00785_; + wire _00786_; + wire _00787_; + wire _00788_; + wire _00789_; + wire _00790_; + wire _00791_; + wire _00792_; + wire _00793_; + wire _00794_; + wire _00795_; + wire _00796_; + wire _00797_; + wire _00798_; + wire _00799_; + wire _00800_; + wire _00801_; + wire _00802_; + wire _00803_; + wire _00804_; + wire _00805_; + wire _00806_; + wire _00807_; + wire _00808_; + wire _00809_; + wire _00810_; + wire _00811_; + wire _00812_; + wire _00813_; + wire _00814_; + wire _00815_; + wire _00816_; + wire _00817_; + wire _00818_; + wire _00819_; + wire _00820_; + wire _00821_; + wire _00822_; + wire _00823_; + wire _00824_; + wire _00825_; + wire _00826_; + wire _00827_; + wire _00828_; + wire _00829_; + wire _00830_; + wire _00831_; + wire _00832_; + wire _00833_; + wire _00834_; + wire _00835_; + wire _00836_; + wire _00837_; + wire _00838_; + wire _00839_; + wire _00840_; + wire _00841_; + wire _00842_; + wire _00843_; + wire _00844_; + wire _00845_; + wire _00846_; + wire _00847_; + wire _00848_; + wire _00849_; + wire _00850_; + wire _00851_; + wire _00852_; + wire _00853_; + wire _00854_; + wire _00855_; + wire _00856_; + wire _00857_; + wire _00858_; + wire _00859_; + wire _00860_; + wire _00861_; + wire _00862_; + wire _00863_; + wire _00864_; + wire _00865_; + wire _00866_; + wire _00867_; + wire _00868_; + wire _00869_; + wire _00870_; + wire _00871_; + wire _00872_; + wire _00873_; + wire _00874_; + wire _00875_; + wire _00876_; + wire _00877_; + wire _00878_; + wire _00879_; + wire _00880_; + wire _00881_; + wire _00882_; + wire _00883_; + wire _00884_; + wire _00885_; + wire _00886_; + wire _00887_; + wire _00888_; + wire _00889_; + wire _00890_; + wire _00891_; + wire _00892_; + wire _00893_; + wire _00894_; + wire _00895_; + wire _00896_; + wire _00897_; + wire _00898_; + wire _00899_; + wire _00900_; + wire _00901_; + wire _00902_; + wire _00903_; + wire _00904_; + wire _00905_; + wire _00906_; + wire _00907_; + wire _00908_; + wire _00909_; + wire _00910_; + wire _00911_; + wire _00912_; + wire _00913_; + wire _00914_; + wire _00915_; + wire _00916_; + wire _00917_; + wire _00918_; + wire _00919_; + wire _00920_; + wire _00921_; + wire _00922_; + wire _00923_; + wire _00924_; + wire _00925_; + wire _00926_; + wire _00927_; + wire _00928_; + wire _00929_; + wire _00930_; + wire _00931_; + wire _00932_; + wire _00933_; + wire _00934_; + wire _00935_; + wire _00936_; + wire _00937_; + wire _00938_; + wire _00939_; + wire _00940_; + wire _00941_; + wire _00942_; + wire _00943_; + wire _00944_; + wire _00945_; + wire _00946_; + wire _00947_; + wire _00948_; + wire _00949_; + wire _00950_; + wire _00951_; + wire _00952_; + wire _00953_; + wire _00954_; + wire _00955_; + wire _00956_; + wire _00957_; + wire _00958_; + wire _00959_; + wire _00960_; + wire _00961_; + wire _00962_; + wire _00963_; + wire _00964_; + wire _00965_; + wire _00966_; + wire _00967_; + wire _00968_; + wire _00969_; + wire _00970_; + wire _00971_; + wire _00972_; + wire _00973_; + wire _00974_; + wire _00975_; + wire _00976_; + wire _00977_; + wire _00978_; + wire _00979_; + wire _00980_; + wire _00981_; + wire _00982_; + wire _00983_; + wire _00984_; + wire _00985_; + wire _00986_; + wire _00987_; + wire _00988_; + wire _00989_; + wire _00990_; + wire _00991_; + wire _00992_; + wire _00993_; + wire _00994_; + wire _00995_; + wire _00996_; + wire _00997_; + wire _00998_; + wire _00999_; + wire _01000_; + wire _01001_; + wire _01002_; + wire _01003_; + wire _01004_; + wire _01005_; + wire _01006_; + wire _01007_; + wire _01008_; + wire _01009_; + wire _01010_; + wire _01011_; + wire _01012_; + wire _01013_; + wire _01014_; + wire _01015_; + wire _01016_; + wire _01017_; + wire _01018_; + wire _01019_; + wire _01020_; + wire _01021_; + wire _01022_; + wire _01023_; + wire _01024_; + wire _01025_; + wire _01026_; + wire _01027_; + wire _01028_; + wire _01029_; + wire _01030_; + wire _01031_; + wire _01032_; + wire _01033_; + wire _01034_; + wire _01035_; + wire _01036_; + wire _01037_; + wire _01038_; + wire _01039_; + wire _01040_; + wire _01041_; + wire _01042_; + wire _01043_; + wire _01044_; + wire _01045_; + wire _01046_; + wire _01047_; + wire _01048_; + wire _01049_; + wire _01050_; + wire _01051_; + wire _01052_; + wire _01053_; + wire _01054_; + wire _01055_; + wire _01056_; + wire _01057_; + wire _01058_; + wire _01059_; + wire _01060_; + wire _01061_; + wire _01062_; + wire _01063_; + wire _01064_; + wire _01065_; + wire _01066_; + wire _01067_; + wire _01068_; + wire _01069_; + wire _01070_; + wire _01071_; + wire _01072_; + wire _01073_; + wire _01074_; + wire _01075_; + wire _01076_; + wire _01077_; + wire _01078_; + wire _01079_; + wire _01080_; + wire _01081_; + wire _01082_; + wire _01083_; + wire _01084_; + wire _01085_; + wire _01086_; + wire _01087_; + wire _01088_; + wire _01089_; + wire _01090_; + wire _01091_; + wire _01092_; + wire _01093_; + wire _01094_; + wire _01095_; + wire _01096_; + wire _01097_; + wire _01098_; + wire _01099_; + wire _01100_; + wire _01101_; + wire _01102_; + wire _01103_; + wire _01104_; + wire _01105_; + wire _01106_; + wire _01107_; + wire _01108_; + wire _01109_; + wire _01110_; + wire _01111_; + wire _01112_; + wire _01113_; + wire _01114_; + wire _01115_; + wire _01116_; + wire _01117_; + wire _01118_; + wire _01119_; + wire _01120_; + wire _01121_; + wire _01122_; + wire _01123_; + wire _01124_; + wire _01125_; + wire _01126_; + wire _01127_; + wire _01128_; + wire _01129_; + wire _01130_; + wire _01131_; + wire _01132_; + wire _01133_; + wire _01134_; + wire _01135_; + wire _01136_; + wire _01137_; + wire _01138_; + wire _01139_; + wire _01140_; + wire _01141_; + wire _01142_; + wire _01143_; + wire _01144_; + wire _01145_; + wire _01146_; + wire _01147_; + wire _01148_; + wire _01149_; + wire _01150_; + wire _01151_; + wire _01152_; + wire _01153_; + wire _01154_; + wire _01155_; + wire _01156_; + wire _01157_; + wire _01158_; + wire _01159_; + wire _01160_; + wire _01161_; + wire _01162_; + wire _01163_; + wire _01164_; + wire _01165_; + wire _01166_; + wire _01167_; + wire _01168_; + wire _01169_; + wire _01170_; + wire _01171_; + wire _01172_; + wire _01173_; + wire _01174_; + wire _01175_; + wire _01176_; + wire _01177_; + wire _01178_; + wire _01179_; + wire _01180_; + wire _01181_; + wire _01182_; + wire _01183_; + wire _01184_; + wire _01185_; + wire _01186_; + wire _01187_; + wire _01188_; + wire _01189_; + wire _01190_; + wire _01191_; + wire _01192_; + wire _01193_; + wire _01194_; + wire _01195_; + wire _01196_; + wire _01197_; + wire _01198_; + wire _01199_; + wire _01200_; + wire _01201_; + wire _01202_; + wire _01203_; + wire _01204_; + wire _01205_; + wire _01206_; + wire _01207_; + wire _01208_; + wire _01209_; + wire _01210_; + wire _01211_; + wire _01212_; + wire _01213_; + wire _01214_; + wire _01215_; + wire _01216_; + wire _01217_; + wire _01218_; + wire _01219_; + wire _01220_; + wire _01221_; + wire _01222_; + wire _01223_; + wire _01224_; + wire _01225_; + wire _01226_; + wire _01227_; + wire _01228_; + wire _01229_; + wire _01230_; + wire _01231_; + wire _01232_; + wire _01233_; + wire _01234_; + wire _01235_; + wire _01236_; + wire _01237_; + wire _01238_; + wire _01239_; + wire _01240_; + wire _01241_; + wire _01242_; + wire _01243_; + wire _01244_; + wire _01245_; + wire _01246_; + wire _01247_; + wire _01248_; + wire _01249_; + wire _01250_; + wire _01251_; + wire _01252_; + wire _01253_; + wire _01254_; + wire _01255_; + wire _01256_; + wire _01257_; + wire _01258_; + wire _01259_; + wire _01260_; + wire _01261_; + wire _01262_; + wire _01263_; + wire _01264_; + wire _01265_; + wire _01266_; + wire _01267_; + wire _01268_; + wire _01269_; + wire _01270_; + wire _01271_; + wire _01272_; + wire _01273_; + wire _01274_; + wire _01275_; + wire _01276_; + wire _01277_; + wire _01278_; + wire _01279_; + wire _01280_; + wire _01281_; + wire _01282_; + wire _01283_; + wire _01284_; + wire _01285_; + wire _01286_; + wire _01287_; + wire _01288_; + wire _01289_; + wire _01290_; + wire _01291_; + wire _01292_; + wire _01293_; + wire _01294_; + wire _01295_; + wire _01296_; + wire _01297_; + wire _01298_; + wire _01299_; + wire _01300_; + wire _01301_; + wire _01302_; + wire _01303_; + wire _01304_; + wire _01305_; + wire _01306_; + wire _01307_; + wire _01308_; + wire _01309_; + wire _01310_; + wire _01311_; + wire _01312_; + wire _01313_; + wire _01314_; + wire _01315_; + wire _01316_; + wire _01317_; + wire _01318_; + wire _01319_; + wire _01320_; + wire _01321_; + wire _01322_; + wire _01323_; + wire _01324_; + wire _01325_; + wire _01326_; + wire _01327_; + wire _01328_; + wire _01329_; + wire _01330_; + wire _01331_; + wire _01332_; + wire _01333_; + wire _01334_; + wire _01335_; + wire _01336_; + wire _01337_; + wire _01338_; + wire _01339_; + wire _01340_; + wire _01341_; + wire _01342_; + wire _01343_; + wire _01344_; + wire _01345_; + wire _01346_; + wire _01347_; + wire _01348_; + wire _01349_; + wire _01350_; + wire _01351_; + wire _01352_; + wire _01353_; + wire _01354_; + wire _01355_; + wire _01356_; + wire _01357_; + wire _01358_; + wire _01359_; + wire _01360_; + wire _01361_; + wire _01362_; + wire _01363_; + wire _01364_; + wire _01365_; + wire _01366_; + wire _01367_; + wire _01368_; + wire _01369_; + wire _01370_; + wire _01371_; + wire _01372_; + wire _01373_; + wire _01374_; + wire _01375_; + wire _01376_; + wire _01377_; + wire _01378_; + wire _01379_; + wire _01380_; + wire _01381_; + wire _01382_; + wire _01383_; + wire _01384_; + wire _01385_; + wire _01386_; + wire _01387_; + wire _01388_; + wire _01389_; + wire _01390_; + wire _01391_; + wire _01392_; + wire _01393_; + wire _01394_; + wire _01395_; + wire _01396_; + wire _01397_; + wire _01398_; + wire _01399_; + wire _01400_; + wire _01401_; + wire _01402_; + wire _01403_; + wire _01404_; + wire _01405_; + wire _01406_; + wire _01407_; + wire _01408_; + wire _01409_; + wire _01410_; + wire _01411_; + wire _01412_; + wire _01413_; + wire _01414_; + wire _01415_; + wire _01416_; + wire _01417_; + wire _01418_; + wire _01419_; + wire _01420_; + wire _01421_; + wire _01422_; + wire _01423_; + wire _01424_; + wire _01425_; + wire _01426_; + wire _01427_; + wire _01428_; + wire _01429_; + wire _01430_; + wire _01431_; + wire _01432_; + wire _01433_; + wire _01434_; + wire _01435_; + wire _01436_; + wire _01437_; + wire _01438_; + wire _01439_; + wire _01440_; + wire _01441_; + wire _01442_; + wire _01443_; + wire _01444_; + wire _01445_; + wire _01446_; + wire _01447_; + wire _01448_; + wire _01449_; + wire _01450_; + wire _01451_; + wire _01452_; + wire _01453_; + wire _01454_; + wire _01455_; + wire _01456_; + wire _01457_; + wire _01458_; + wire _01459_; + wire _01460_; + wire _01461_; + wire _01462_; + wire _01463_; + wire _01464_; + wire _01465_; + wire _01466_; + wire _01467_; + wire _01468_; + wire _01469_; + wire _01470_; + wire _01471_; + wire _01472_; + wire _01473_; + wire _01474_; + wire _01475_; + wire _01476_; + wire _01477_; + wire _01478_; + wire _01479_; + wire _01480_; + wire _01481_; + wire _01482_; + wire _01483_; + wire _01484_; + wire _01485_; + wire _01486_; + wire _01487_; + wire _01488_; + wire _01489_; + wire _01490_; + wire _01491_; + wire _01492_; + wire _01493_; + wire _01494_; + wire _01495_; + wire _01496_; + wire _01497_; + wire _01498_; + wire _01499_; + wire _01500_; + wire _01501_; + wire _01502_; + wire _01503_; + wire _01504_; + wire _01505_; + wire _01506_; + wire _01507_; + wire _01508_; + wire _01509_; + wire _01510_; + wire _01511_; + wire _01512_; + wire _01513_; + wire _01514_; + wire _01515_; + wire _01516_; + wire _01517_; + wire _01518_; + wire _01519_; + wire _01520_; + wire _01521_; + wire _01522_; + wire _01523_; + wire _01524_; + wire _01525_; + wire _01526_; + wire _01527_; + wire _01528_; + wire _01529_; + wire _01530_; + wire _01531_; + wire _01532_; + wire _01533_; + wire _01534_; + wire _01535_; + wire _01536_; + wire _01537_; + wire _01538_; + wire _01539_; + wire _01540_; + wire _01541_; + wire _01542_; + wire _01543_; + wire _01544_; + wire _01545_; + wire _01546_; + wire _01547_; + wire _01548_; + wire _01549_; + wire _01550_; + wire _01551_; + wire _01552_; + wire _01553_; + wire _01554_; + wire _01555_; + wire _01556_; + wire _01557_; + wire _01558_; + wire _01559_; + wire _01560_; + wire _01561_; + wire _01562_; + wire _01563_; + wire _01564_; + wire _01565_; + wire _01566_; + wire _01567_; + wire _01568_; + wire _01569_; + wire _01570_; + wire _01571_; + wire _01572_; + wire _01573_; + wire _01574_; + wire _01575_; + wire _01576_; + wire _01577_; + wire _01578_; + wire _01579_; + wire _01580_; + wire _01581_; + wire _01582_; + wire _01583_; + wire _01584_; + wire _01585_; + wire _01586_; + wire _01587_; + wire _01588_; + wire _01589_; + wire _01590_; + wire _01591_; + wire _01592_; + wire _01593_; + wire _01594_; + wire _01595_; + wire _01596_; + wire _01597_; + wire _01598_; + wire _01599_; + wire _01600_; + wire _01601_; + wire _01602_; + wire _01603_; + wire _01604_; + wire _01605_; + wire _01606_; + wire _01607_; + wire _01608_; + wire _01609_; + wire _01610_; + wire _01611_; + wire _01612_; + wire _01613_; + wire _01614_; + wire _01615_; + wire _01616_; + wire _01617_; + wire _01618_; + wire _01619_; + wire _01620_; + wire _01621_; + wire _01622_; + wire _01623_; + wire _01624_; + wire _01625_; + wire _01626_; + wire _01627_; + wire _01628_; + wire _01629_; + wire _01630_; + wire _01631_; + wire _01632_; + wire _01633_; + wire _01634_; + wire _01635_; + wire _01636_; + wire _01637_; + wire _01638_; + wire _01639_; + wire _01640_; + wire _01641_; + wire _01642_; + wire _01643_; + wire _01644_; + wire _01645_; + wire _01646_; + wire _01647_; + wire _01648_; + wire _01649_; + wire _01650_; + wire _01651_; + wire _01652_; + wire _01653_; + wire _01654_; + wire _01655_; + wire _01656_; + wire _01657_; + wire _01658_; + wire _01659_; + wire _01660_; + wire _01661_; + wire _01662_; + wire _01663_; + wire _01664_; + wire _01665_; + wire _01666_; + wire _01667_; + wire _01668_; + wire _01669_; + wire _01670_; + wire _01671_; + wire _01672_; + wire _01673_; + wire _01674_; + wire _01675_; + wire _01676_; + wire _01677_; + wire _01678_; + wire _01679_; + wire _01680_; + wire _01681_; + wire _01682_; + wire _01683_; + wire _01684_; + wire _01685_; + wire _01686_; + wire _01687_; + wire _01688_; + wire _01689_; + wire _01690_; + wire _01691_; + wire _01692_; + wire _01693_; + wire _01694_; + wire _01695_; + wire _01696_; + wire _01697_; + wire _01698_; + wire _01699_; + wire _01700_; + wire _01701_; + wire _01702_; + wire _01703_; + wire _01704_; + wire _01705_; + wire _01706_; + wire _01707_; + wire _01708_; + wire _01709_; + wire _01710_; + wire _01711_; + wire _01712_; + wire _01713_; + wire _01714_; + wire _01715_; + wire _01716_; + wire _01717_; + wire _01718_; + wire _01719_; + wire _01720_; + wire _01721_; + wire _01722_; + wire _01723_; + wire _01724_; + wire _01725_; + wire _01726_; + wire _01727_; + wire _01728_; + wire _01729_; + wire _01730_; + wire _01731_; + wire _01732_; + wire _01733_; + wire _01734_; + wire _01735_; + wire _01736_; + wire _01737_; + wire _01738_; + wire _01739_; + wire _01740_; + wire _01741_; + wire _01742_; + wire _01743_; + wire _01744_; + wire _01745_; + wire _01746_; + wire _01747_; + wire _01748_; + wire _01749_; + wire _01750_; + wire _01751_; + wire _01752_; + wire _01753_; + wire _01754_; + wire _01755_; + wire _01756_; + wire _01757_; + wire _01758_; + wire _01759_; + wire _01760_; + wire _01761_; + wire _01762_; + wire _01763_; + wire _01764_; + wire _01765_; + wire _01766_; + wire _01767_; + wire _01768_; + wire _01769_; + wire _01770_; + wire _01771_; + wire _01772_; + wire _01773_; + wire _01774_; + wire _01775_; + wire _01776_; + wire _01777_; + wire _01778_; + wire _01779_; + wire _01780_; + wire _01781_; + wire _01782_; + wire _01783_; + wire _01784_; + wire _01785_; + wire _01786_; + wire _01787_; + wire _01788_; + wire _01789_; + wire _01790_; + wire _01791_; + wire _01792_; + wire _01793_; + wire _01794_; + wire _01795_; + wire _01796_; + wire _01797_; + wire _01798_; + wire _01799_; + wire _01800_; + wire _01801_; + wire _01802_; + wire _01803_; + wire _01804_; + wire _01805_; + wire _01806_; + wire _01807_; + wire _01808_; + wire _01809_; + wire _01810_; + wire _01811_; + wire _01812_; + wire _01813_; + wire _01814_; + wire _01815_; + wire _01816_; + wire _01817_; + wire _01818_; + wire _01819_; + wire _01820_; + wire _01821_; + wire _01822_; + wire _01823_; + wire _01824_; + wire _01825_; + wire _01826_; + wire _01827_; + wire _01828_; + wire _01829_; + wire _01830_; + wire _01831_; + wire _01832_; + wire _01833_; + wire _01834_; + wire _01835_; + wire _01836_; + wire _01837_; + wire _01838_; + wire _01839_; + wire _01840_; + wire _01841_; + wire _01842_; + wire _01843_; + wire _01844_; + wire _01845_; + wire _01846_; + wire _01847_; + wire _01848_; + wire _01849_; + wire _01850_; + wire _01851_; + wire _01852_; + wire _01853_; + wire _01854_; + wire _01855_; + wire _01856_; + wire _01857_; + wire _01858_; + wire _01859_; + wire _01860_; + wire _01861_; + wire _01862_; + wire _01863_; + wire _01864_; + wire _01865_; + wire _01866_; + wire _01867_; + wire _01868_; + wire _01869_; + wire _01870_; + wire _01871_; + wire _01872_; + wire _01873_; + wire _01874_; + wire _01875_; + wire _01876_; + wire _01877_; + wire _01878_; + wire _01879_; + wire _01880_; + wire _01881_; + wire _01882_; + wire _01883_; + wire _01884_; + wire _01885_; + wire _01886_; + wire _01887_; + wire _01888_; + wire _01889_; + wire _01890_; + wire _01891_; + wire _01892_; + wire _01893_; + wire _01894_; + wire _01895_; + wire _01896_; + wire _01897_; + wire _01898_; + wire _01899_; + wire _01900_; + wire _01901_; + wire _01902_; + wire _01903_; + wire _01904_; + wire _01905_; + wire _01906_; + wire _01907_; + wire _01908_; + wire _01909_; + wire _01910_; + wire _01911_; + wire _01912_; + wire _01913_; + wire _01914_; + wire _01915_; + wire _01916_; + wire _01917_; + wire _01918_; + wire _01919_; + wire _01920_; + wire _01921_; + wire _01922_; + wire _01923_; + wire _01924_; + wire _01925_; + wire _01926_; + wire _01927_; + wire _01928_; + wire _01929_; + wire _01930_; + wire _01931_; + wire _01932_; + wire _01933_; + wire _01934_; + wire _01935_; + wire _01936_; + wire _01937_; + wire _01938_; + wire _01939_; + wire _01940_; + wire _01941_; + wire _01942_; + wire _01943_; + wire _01944_; + wire _01945_; + wire _01946_; + wire _01947_; + wire _01948_; + wire _01949_; + wire _01950_; + wire _01951_; + wire _01952_; + wire _01953_; + wire _01954_; + wire _01955_; + wire _01956_; + wire _01957_; + wire _01958_; + wire _01959_; + wire _01960_; + wire _01961_; + wire _01962_; + wire _01963_; + wire _01964_; + wire _01965_; + wire _01966_; + wire _01967_; + wire _01968_; + wire _01969_; + wire _01970_; + wire _01971_; + wire _01972_; + wire _01973_; + wire _01974_; + wire _01975_; + wire _01976_; + wire _01977_; + wire _01978_; + wire _01979_; + wire _01980_; + wire _01981_; + wire _01982_; + wire _01983_; + wire _01984_; + wire _01985_; + wire _01986_; + wire _01987_; + wire _01988_; + wire _01989_; + wire _01990_; + wire _01991_; + wire _01992_; + wire _01993_; + wire _01994_; + wire _01995_; + wire _01996_; + wire _01997_; + wire _01998_; + wire _01999_; + wire _02000_; + wire _02001_; + wire _02002_; + wire _02003_; + wire _02004_; + wire _02005_; + wire _02006_; + wire _02007_; + wire _02008_; + wire _02009_; + wire _02010_; + wire _02011_; + wire _02012_; + wire _02013_; + wire _02014_; + wire _02015_; + wire _02016_; + wire _02017_; + wire _02018_; + wire _02019_; + wire _02020_; + wire _02021_; + wire _02022_; + wire _02023_; + wire _02024_; + wire _02025_; + wire _02026_; + wire _02027_; + wire _02028_; + wire _02029_; + wire _02030_; + wire _02031_; + wire _02032_; + wire _02033_; + wire _02034_; + wire _02035_; + wire _02036_; + wire _02037_; + wire _02038_; + wire _02039_; + wire _02040_; + wire _02041_; + wire _02042_; + wire _02043_; + wire _02044_; + wire _02045_; + wire _02046_; + wire _02047_; + wire _02048_; + wire _02049_; + wire _02050_; + wire _02051_; + wire _02052_; + wire _02053_; + wire _02054_; + wire _02055_; + wire _02056_; + wire _02057_; + wire _02058_; + wire _02059_; + wire _02060_; + wire _02061_; + wire _02062_; + wire _02063_; + wire _02064_; + wire _02065_; + wire _02066_; + wire _02067_; + wire _02068_; + wire _02069_; + wire _02070_; + wire _02071_; + wire _02072_; + wire _02073_; + wire _02074_; + wire _02075_; + wire _02076_; + wire _02077_; + wire _02078_; + wire _02079_; + wire _02080_; + wire _02081_; + wire _02082_; + wire _02083_; + wire _02084_; + wire _02085_; + wire _02086_; + wire _02087_; + wire _02088_; + wire _02089_; + wire _02090_; + wire _02091_; + wire _02092_; + wire _02093_; + wire _02094_; + wire _02095_; + wire _02096_; + wire _02097_; + wire _02098_; + wire _02099_; + wire _02100_; + wire _02101_; + wire _02102_; + wire _02103_; + wire _02104_; + wire _02105_; + wire _02106_; + wire _02107_; + wire _02108_; + wire _02109_; + wire _02110_; + wire _02111_; + wire _02112_; + wire _02113_; + wire _02114_; + wire _02115_; + wire _02116_; + wire _02117_; + wire _02118_; + wire _02119_; + wire _02120_; + wire _02121_; + wire _02122_; + wire _02123_; + wire _02124_; + wire _02125_; + wire _02126_; + wire _02127_; + wire _02128_; + wire _02129_; + wire _02130_; + wire _02131_; + wire _02132_; + wire _02133_; + wire _02134_; + wire _02135_; + wire _02136_; + wire _02137_; + wire _02138_; + wire _02139_; + wire _02140_; + wire _02141_; + wire _02142_; + wire _02143_; + wire _02144_; + wire _02145_; + wire _02146_; + wire _02147_; + wire _02148_; + wire _02149_; + wire _02150_; + wire _02151_; + wire _02152_; + wire _02153_; + wire _02154_; + wire _02155_; + wire _02156_; + wire _02157_; + wire _02158_; + wire _02159_; + wire _02160_; + wire _02161_; + wire _02162_; + wire _02163_; + wire _02164_; + wire _02165_; + wire _02166_; + wire _02167_; + wire _02168_; + wire _02169_; + wire _02170_; + wire _02171_; + wire _02172_; + wire _02173_; + wire _02174_; + wire _02175_; + wire _02176_; + wire _02177_; + wire _02178_; + wire _02179_; + wire _02180_; + wire _02181_; + wire _02182_; + wire _02183_; + wire _02184_; + wire _02185_; + wire _02186_; + wire _02187_; + wire _02188_; + wire _02189_; + wire _02190_; + wire _02191_; + wire _02192_; + wire _02193_; + wire _02194_; + wire _02195_; + wire _02196_; + wire _02197_; + wire _02198_; + wire _02199_; + wire _02200_; + wire _02201_; + wire _02202_; + wire _02203_; + wire _02204_; + wire _02205_; + wire _02206_; + wire _02207_; + wire _02208_; + wire _02209_; + wire _02210_; + wire _02211_; + wire _02212_; + wire _02213_; + wire _02214_; + wire _02215_; + wire _02216_; + wire _02217_; + wire _02218_; + wire _02219_; + wire _02220_; + wire _02221_; + wire _02222_; + wire _02223_; + wire _02224_; + wire _02225_; + wire _02226_; + wire _02227_; + wire _02228_; + wire _02229_; + wire _02230_; + wire _02231_; + wire _02232_; + wire _02233_; + wire _02234_; + wire _02235_; + wire _02236_; + wire _02237_; + wire _02238_; + wire _02239_; + wire _02240_; + wire _02241_; + wire _02242_; + wire _02243_; + wire _02244_; + wire _02245_; + wire _02246_; + wire _02247_; + wire _02248_; + wire _02249_; + wire _02250_; + wire _02251_; + wire _02252_; + wire _02253_; + wire _02254_; + wire _02255_; + wire _02256_; + wire _02257_; + wire _02258_; + wire _02259_; + wire _02260_; + wire _02261_; + wire _02262_; + wire _02263_; + wire _02264_; + wire _02265_; + wire _02266_; + wire _02267_; + wire _02268_; + wire _02269_; + wire _02270_; + wire _02271_; + wire _02272_; + wire _02273_; + wire _02274_; + wire _02275_; + wire _02276_; + wire _02277_; + wire _02278_; + wire _02279_; + wire _02280_; + wire _02281_; + wire _02282_; + wire _02283_; + wire _02284_; + wire _02285_; + wire _02286_; + wire _02287_; + wire _02288_; + wire _02289_; + wire _02290_; + wire _02291_; + wire _02292_; + wire _02293_; + wire _02294_; + wire _02295_; + wire _02296_; + wire _02297_; + wire _02298_; + wire _02299_; + wire _02300_; + wire _02301_; + wire _02302_; + wire _02303_; + wire _02304_; + wire _02305_; + wire _02306_; + wire _02307_; + wire _02308_; + wire _02309_; + wire _02310_; + wire _02311_; + wire _02312_; + wire _02313_; + wire _02314_; + wire _02315_; + wire _02316_; + wire _02317_; + wire _02318_; + wire _02319_; + wire _02320_; + wire _02321_; + wire _02322_; + wire _02323_; + wire _02324_; + wire _02325_; + wire _02326_; + wire _02327_; + wire _02328_; + wire _02329_; + wire _02330_; + wire _02331_; + wire _02332_; + wire _02333_; + wire _02334_; + wire _02335_; + wire _02336_; + wire _02337_; + wire _02338_; + wire _02339_; + wire _02340_; + wire _02341_; + wire _02342_; + wire _02343_; + wire _02344_; + wire _02345_; + wire _02346_; + wire _02347_; + wire _02348_; + wire _02349_; + wire _02350_; + wire _02351_; + wire _02352_; + wire _02353_; + wire _02354_; + wire _02355_; + wire _02356_; + wire _02357_; + wire _02358_; + wire _02359_; + wire _02360_; + wire _02361_; + wire _02362_; + wire _02363_; + wire _02364_; + wire _02365_; + wire _02366_; + wire _02367_; + wire _02368_; + wire _02369_; + wire _02370_; + wire _02371_; + wire _02372_; + wire _02373_; + wire _02374_; + wire _02375_; + wire _02376_; + wire _02377_; + wire _02378_; + wire _02379_; + wire _02380_; + wire _02381_; + wire _02382_; + wire _02383_; + wire _02384_; + wire _02385_; + wire _02386_; + wire _02387_; + wire _02388_; + wire _02389_; + wire _02390_; + wire _02391_; + wire _02392_; + wire _02393_; + wire _02394_; + wire _02395_; + wire _02396_; + wire _02397_; + wire _02398_; + wire _02399_; + wire _02400_; + wire _02401_; + wire _02402_; + wire _02403_; + wire _02404_; + wire _02405_; + wire _02406_; + wire _02407_; + wire _02408_; + wire _02409_; + wire _02410_; + wire _02411_; + wire _02412_; + wire _02413_; + wire _02414_; + wire _02415_; + wire _02416_; + wire _02417_; + wire _02418_; + wire _02419_; + wire _02420_; + wire _02421_; + wire _02422_; + wire _02423_; + wire _02424_; + wire _02425_; + wire _02426_; + wire _02427_; + wire _02428_; + wire _02429_; + wire _02430_; + wire _02431_; + wire _02432_; + wire _02433_; + wire _02434_; + wire _02435_; + wire _02436_; + wire _02437_; + wire _02438_; + wire _02439_; + wire _02440_; + wire _02441_; + wire _02442_; + wire _02443_; + wire _02444_; + wire _02445_; + wire _02446_; + wire _02447_; + wire _02448_; + wire _02449_; + wire _02450_; + wire _02451_; + wire _02452_; + wire _02453_; + wire _02454_; + wire _02455_; + wire _02456_; + wire _02457_; + wire _02458_; + wire _02459_; + wire _02460_; + wire _02461_; + wire _02462_; + wire _02463_; + wire _02464_; + wire _02465_; + wire _02466_; + wire _02467_; + wire _02468_; + wire _02469_; + wire _02470_; + wire _02471_; + wire _02472_; + wire _02473_; + wire _02474_; + wire _02475_; + wire _02476_; + wire _02477_; + wire _02478_; + wire _02479_; + wire _02480_; + wire _02481_; + wire _02482_; + wire _02483_; + wire _02484_; + wire _02485_; + wire _02486_; + wire _02487_; + wire _02488_; + wire _02489_; + wire _02490_; + wire _02491_; + wire _02492_; + wire _02493_; + wire _02494_; + wire _02495_; + wire _02496_; + wire _02497_; + wire _02498_; + wire _02499_; + wire _02500_; + wire _02501_; + wire _02502_; + wire _02503_; + wire _02504_; + wire _02505_; + wire _02506_; + wire _02507_; + wire _02508_; + wire _02509_; + wire _02510_; + wire _02511_; + wire _02512_; + wire _02513_; + wire _02514_; + wire _02515_; + wire _02516_; + wire _02517_; + wire _02518_; + wire _02519_; + wire _02520_; + wire _02521_; + wire _02522_; + wire _02523_; + wire _02524_; + wire _02525_; + wire _02526_; + wire _02527_; + wire _02528_; + wire _02529_; + wire _02530_; + wire _02531_; + wire _02532_; + wire _02533_; + wire _02534_; + wire _02535_; + wire _02536_; + wire _02537_; + wire _02538_; + wire _02539_; + wire _02540_; + wire _02541_; + wire _02542_; + wire _02543_; + wire _02544_; + wire _02545_; + wire _02546_; + wire _02547_; + wire _02548_; + wire _02549_; + wire _02550_; + wire _02551_; + wire _02552_; + wire _02553_; + wire _02554_; + wire _02555_; + wire _02556_; + wire _02557_; + wire _02558_; + wire _02559_; + wire _02560_; + wire _02561_; + wire _02562_; + wire _02563_; + wire _02564_; + wire _02565_; + wire _02566_; + wire _02567_; + wire _02568_; + wire _02569_; + wire _02570_; + wire _02571_; + wire _02572_; + wire _02573_; + wire _02574_; + wire _02575_; + wire _02576_; + wire _02577_; + wire _02578_; + wire _02579_; + wire _02580_; + wire _02581_; + wire _02582_; + wire _02583_; + wire _02584_; + wire _02585_; + wire _02586_; + wire _02587_; + wire _02588_; + wire _02589_; + wire _02590_; + wire _02591_; + wire _02592_; + wire _02593_; + wire _02594_; + wire _02595_; + wire _02596_; + wire _02597_; + wire _02598_; + wire _02599_; + wire _02600_; + wire _02601_; + wire _02602_; + wire _02603_; + wire _02604_; + wire _02605_; + wire _02606_; + wire _02607_; + wire _02608_; + wire _02609_; + wire _02610_; + wire _02611_; + wire _02612_; + wire _02613_; + wire _02614_; + wire _02615_; + wire _02616_; + wire _02617_; + wire _02618_; + wire _02619_; + wire _02620_; + wire _02621_; + wire _02622_; + wire _02623_; + wire _02624_; + wire _02625_; + wire _02626_; + wire _02627_; + wire _02628_; + wire _02629_; + wire _02630_; + wire _02631_; + wire _02632_; + wire _02633_; + wire _02634_; + wire _02635_; + wire _02636_; + wire _02637_; + wire _02638_; + wire _02639_; + wire _02640_; + wire _02641_; + wire _02642_; + wire _02643_; + wire _02644_; + wire _02645_; + wire _02646_; + wire _02647_; + wire _02648_; + wire _02649_; + wire _02650_; + wire _02651_; + wire _02652_; + wire _02653_; + wire _02654_; + wire _02655_; + wire _02656_; + wire _02657_; + wire _02658_; + wire _02659_; + wire _02660_; + wire _02661_; + wire _02662_; + wire _02663_; + wire _02664_; + wire _02665_; + wire _02666_; + wire _02667_; + wire _02668_; + wire _02669_; + wire _02670_; + wire _02671_; + wire _02672_; + wire _02673_; + wire _02674_; + wire _02675_; + wire _02676_; + wire _02677_; + wire _02678_; + wire _02679_; + wire _02680_; + wire _02681_; + wire _02682_; + wire _02683_; + wire _02684_; + wire _02685_; + wire _02686_; + wire _02687_; + wire _02688_; + wire _02689_; + wire _02690_; + wire _02691_; + wire _02692_; + wire _02693_; + wire _02694_; + wire _02695_; + wire _02696_; + wire _02697_; + wire _02698_; + wire _02699_; + wire _02700_; + wire _02701_; + wire _02702_; + wire _02703_; + wire _02704_; + wire _02705_; + wire _02706_; + wire _02707_; + wire _02708_; + wire _02709_; + wire _02710_; + wire _02711_; + wire _02712_; + wire _02713_; + wire _02714_; + wire _02715_; + wire _02716_; + wire _02717_; + wire _02718_; + wire _02719_; + wire _02720_; + wire _02721_; + wire _02722_; + wire _02723_; + wire _02724_; + wire _02725_; + wire _02726_; + wire _02727_; + wire _02728_; + wire _02729_; + wire _02730_; + wire _02731_; + wire _02732_; + wire _02733_; + wire _02734_; + wire _02735_; + wire _02736_; + wire _02737_; + wire _02738_; + wire _02739_; + wire _02740_; + wire _02741_; + wire _02742_; + wire _02743_; + wire _02744_; + wire _02745_; + wire _02746_; + wire _02747_; + wire _02748_; + wire _02749_; + wire _02750_; + wire _02751_; + wire _02752_; + wire _02753_; + wire _02754_; + wire _02755_; + wire _02756_; + wire _02757_; + wire _02758_; + wire _02759_; + wire _02760_; + wire _02761_; + wire _02762_; + wire _02763_; + wire _02764_; + wire _02765_; + wire _02766_; + wire _02767_; + wire _02768_; + wire _02769_; + wire _02770_; + wire _02771_; + wire _02772_; + wire _02773_; + wire _02774_; + wire _02775_; + wire _02776_; + wire _02777_; + wire _02778_; + wire _02779_; + wire _02780_; + wire _02781_; + wire _02782_; + wire _02783_; + wire _02784_; + wire _02785_; + wire _02786_; + wire _02787_; + wire _02788_; + wire _02789_; + wire _02790_; + wire _02791_; + wire _02792_; + wire _02793_; + wire _02794_; + wire _02795_; + wire _02796_; + wire _02797_; + wire _02798_; + wire _02799_; + wire _02800_; + wire _02801_; + wire _02802_; + wire _02803_; + wire _02804_; + wire _02805_; + wire _02806_; + wire _02807_; + wire _02808_; + wire _02809_; + wire _02810_; + wire _02811_; + wire _02812_; + wire _02813_; + wire _02814_; + wire _02815_; + wire _02816_; + wire _02817_; + wire _02818_; + wire _02819_; + wire _02820_; + wire _02821_; + wire _02822_; + wire _02823_; + wire _02824_; + wire _02825_; + wire _02826_; + wire _02827_; + wire _02828_; + wire _02829_; + wire _02830_; + wire _02831_; + wire _02832_; + wire _02833_; + wire _02834_; + wire _02835_; + wire _02836_; + wire _02837_; + wire _02838_; + wire _02839_; + wire _02840_; + wire _02841_; + wire _02842_; + wire _02843_; + wire _02844_; + wire _02845_; + wire _02846_; + wire _02847_; + wire _02848_; + wire _02849_; + wire _02850_; + wire _02851_; + wire _02852_; + wire _02853_; + wire _02854_; + wire _02855_; + wire _02856_; + wire _02857_; + wire _02858_; + wire _02859_; + wire _02860_; + wire _02861_; + wire _02862_; + wire _02863_; + wire _02864_; + wire _02865_; + wire _02866_; + wire _02867_; + wire _02868_; + wire _02869_; + wire _02870_; + wire _02871_; + wire _02872_; + wire _02873_; + wire _02874_; + wire _02875_; + wire _02876_; + wire _02877_; + wire _02878_; + wire _02879_; + wire _02880_; + wire _02881_; + wire _02882_; + wire _02883_; + wire _02884_; + wire _02885_; + wire _02886_; + wire _02887_; + wire _02888_; + wire _02889_; + wire _02890_; + wire _02891_; + wire _02892_; + wire _02893_; + wire _02894_; + wire _02895_; + wire _02896_; + wire _02897_; + wire _02898_; + wire _02899_; + wire _02900_; + wire _02901_; + wire _02902_; + wire _02903_; + wire _02904_; + wire _02905_; + wire _02906_; + wire _02907_; + wire _02908_; + wire _02909_; + wire _02910_; + wire _02911_; + wire _02912_; + wire _02913_; + wire _02914_; + wire _02915_; + wire _02916_; + wire _02917_; + wire _02918_; + wire _02919_; + wire _02920_; + wire _02921_; + wire _02922_; + wire _02923_; + wire _02924_; + wire _02925_; + wire _02926_; + wire _02927_; + wire _02928_; + wire _02929_; + wire _02930_; + wire _02931_; + wire _02932_; + wire _02933_; + wire _02934_; + wire _02935_; + wire _02936_; + wire _02937_; + wire _02938_; + wire _02939_; + wire _02940_; + wire _02941_; + wire _02942_; + wire _02943_; + wire _02944_; + wire _02945_; + wire _02946_; + wire _02947_; + wire _02948_; + wire _02949_; + wire _02950_; + wire _02951_; + wire _02952_; + wire _02953_; + wire _02954_; + wire _02955_; + wire _02956_; + wire _02957_; + wire _02958_; + wire _02959_; + wire _02960_; + wire _02961_; + wire _02962_; + wire _02963_; + wire _02964_; + wire _02965_; + wire _02966_; + wire _02967_; + wire _02968_; + wire _02969_; + wire _02970_; + wire _02971_; + wire _02972_; + wire _02973_; + wire _02974_; + wire _02975_; + wire _02976_; + wire _02977_; + wire _02978_; + wire _02979_; + wire _02980_; + wire _02981_; + wire _02982_; + wire _02983_; + wire _02984_; + wire _02985_; + wire _02986_; + wire _02987_; + wire _02988_; + wire _02989_; + wire _02990_; + wire _02991_; + wire _02992_; + wire _02993_; + wire _02994_; + wire _02995_; + wire _02996_; + wire _02997_; + wire _02998_; + wire _02999_; + wire _03000_; + wire _03001_; + wire _03002_; + wire _03003_; + wire _03004_; + wire _03005_; + wire _03006_; + wire _03007_; + wire _03008_; + wire _03009_; + wire _03010_; + wire _03011_; + wire _03012_; + wire _03013_; + wire _03014_; + wire _03015_; + wire _03016_; + wire _03017_; + wire _03018_; + wire _03019_; + wire _03020_; + wire _03021_; + wire _03022_; + wire _03023_; + wire _03024_; + wire _03025_; + wire _03026_; + wire _03027_; + wire _03028_; + wire _03029_; + wire _03030_; + wire _03031_; + wire _03032_; + wire _03033_; + wire _03034_; + wire _03035_; + wire _03036_; + wire _03037_; + wire _03038_; + wire _03039_; + wire _03040_; + wire _03041_; + wire _03042_; + wire _03043_; + wire _03044_; + wire _03045_; + wire _03046_; + wire _03047_; + wire _03048_; + wire _03049_; + wire _03050_; + wire _03051_; + wire _03052_; + wire _03053_; + wire _03054_; + wire _03055_; + wire _03056_; + wire _03057_; + wire _03058_; + wire _03059_; + wire _03060_; + wire _03061_; + wire _03062_; + wire _03063_; + wire _03064_; + wire _03065_; + wire _03066_; + wire _03067_; + wire _03068_; + wire _03069_; + wire _03070_; + wire _03071_; + wire _03072_; + wire _03073_; + wire _03074_; + wire _03075_; + wire _03076_; + wire _03077_; + wire _03078_; + wire _03079_; + wire _03080_; + wire _03081_; + wire _03082_; + wire _03083_; + wire _03084_; + wire _03085_; + wire _03086_; + wire _03087_; + wire _03088_; + wire _03089_; + wire _03090_; + wire _03091_; + wire _03092_; + wire _03093_; + wire _03094_; + wire _03095_; + wire _03096_; + wire _03097_; + wire _03098_; + wire _03099_; + wire _03100_; + wire _03101_; + wire _03102_; + wire _03103_; + wire _03104_; + wire _03105_; + wire _03106_; + wire _03107_; + wire _03108_; + wire _03109_; + wire _03110_; + wire _03111_; + wire _03112_; + wire _03113_; + wire _03114_; + wire _03115_; + wire _03116_; + wire _03117_; + wire _03118_; + wire _03119_; + wire _03120_; + wire _03121_; + wire _03122_; + wire _03123_; + wire _03124_; + wire _03125_; + wire _03126_; + wire _03127_; + wire _03128_; + wire _03129_; + wire _03130_; + wire _03131_; + wire _03132_; + wire _03133_; + wire _03134_; + wire _03135_; + wire _03136_; + wire _03137_; + wire _03138_; + wire _03139_; + wire _03140_; + wire _03141_; + wire _03142_; + wire _03143_; + wire _03144_; + wire _03145_; + wire _03146_; + wire _03147_; + wire _03148_; + wire _03149_; + wire _03150_; + wire _03151_; + wire _03152_; + wire _03153_; + wire _03154_; + wire _03155_; + wire _03156_; + wire _03157_; + wire _03158_; + wire _03159_; + wire _03160_; + wire _03161_; + wire _03162_; + wire _03163_; + wire _03164_; + wire _03165_; + wire _03166_; + wire _03167_; + wire _03168_; + wire _03169_; + wire _03170_; + wire _03171_; + wire _03172_; + wire _03173_; + wire _03174_; + wire _03175_; + wire _03176_; + wire _03177_; + wire _03178_; + wire _03179_; + wire _03180_; + wire _03181_; + wire _03182_; + wire _03183_; + wire _03184_; + wire _03185_; + wire _03186_; + wire _03187_; + wire _03188_; + wire _03189_; + wire _03190_; + wire _03191_; + wire _03192_; + wire _03193_; + wire _03194_; + wire _03195_; + wire _03196_; + wire _03197_; + wire _03198_; + wire _03199_; + wire _03200_; + wire _03201_; + wire _03202_; + wire _03203_; + wire _03204_; + wire _03205_; + wire _03206_; + wire _03207_; + wire _03208_; + wire _03209_; + wire _03210_; + wire _03211_; + wire _03212_; + wire _03213_; + wire _03214_; + wire _03215_; + wire _03216_; + wire _03217_; + wire _03218_; + wire _03219_; + wire _03220_; + wire _03221_; + wire _03222_; + wire _03223_; + wire _03224_; + wire _03225_; + wire _03226_; + wire _03227_; + wire _03228_; + wire _03229_; + wire _03230_; + wire _03231_; + wire _03232_; + wire _03233_; + wire _03234_; + wire _03235_; + wire _03236_; + wire _03237_; + wire _03238_; + wire _03239_; + wire _03240_; + wire _03241_; + wire _03242_; + wire _03243_; + wire _03244_; + wire _03245_; + wire _03246_; + wire _03247_; + wire _03248_; + wire _03249_; + wire _03250_; + wire _03251_; + wire _03252_; + wire _03253_; + wire _03254_; + wire _03255_; + wire _03256_; + wire _03257_; + wire _03258_; + wire _03259_; + wire _03260_; + wire _03261_; + wire _03262_; + wire _03263_; + wire _03264_; + wire _03265_; + wire _03266_; + wire _03267_; + wire _03268_; + wire _03269_; + wire _03270_; + wire _03271_; + wire _03272_; + wire _03273_; + wire _03274_; + wire _03275_; + wire _03276_; + wire _03277_; + wire _03278_; + wire _03279_; + wire _03280_; + wire _03281_; + wire _03282_; + wire _03283_; + wire _03284_; + wire _03285_; + wire _03286_; + wire _03287_; + wire _03288_; + wire _03289_; + wire _03290_; + wire _03291_; + wire _03292_; + wire _03293_; + wire _03294_; + wire _03295_; + wire _03296_; + wire _03297_; + wire _03298_; + wire _03299_; + wire _03300_; + wire _03301_; + wire _03302_; + wire _03303_; + wire _03304_; + wire _03305_; + wire _03306_; + wire _03307_; + wire _03308_; + wire _03309_; + wire _03310_; + wire _03311_; + wire _03312_; + wire _03313_; + wire _03314_; + wire _03315_; + wire _03316_; + wire _03317_; + wire _03318_; + wire _03319_; + wire _03320_; + wire _03321_; + wire _03322_; + wire _03323_; + wire _03324_; + wire _03325_; + wire _03326_; + wire _03327_; + wire _03328_; + wire _03329_; + wire _03330_; + wire _03331_; + wire _03332_; + wire _03333_; + wire _03334_; + wire _03335_; + wire _03336_; + wire _03337_; + wire _03338_; + wire _03339_; + wire _03340_; + wire _03341_; + wire _03342_; + wire _03343_; + wire _03344_; + wire _03345_; + wire _03346_; + wire _03347_; + wire _03348_; + wire _03349_; + wire _03350_; + wire _03351_; + wire _03352_; + wire _03353_; + wire _03354_; + wire _03355_; + wire _03356_; + wire _03357_; + wire _03358_; + wire _03359_; + wire _03360_; + wire _03361_; + wire _03362_; + wire _03363_; + wire _03364_; + wire _03365_; + wire _03366_; + wire _03367_; + wire _03368_; + wire _03369_; + wire _03370_; + wire _03371_; + wire _03372_; + wire _03373_; + wire _03374_; + wire _03375_; + wire _03376_; + wire _03377_; + wire _03378_; + wire _03379_; + wire _03380_; + wire _03381_; + wire _03382_; + wire _03383_; + wire _03384_; + wire _03385_; + wire _03386_; + wire _03387_; + wire _03388_; + wire _03389_; + wire _03390_; + wire _03391_; + wire _03392_; + wire _03393_; + wire _03394_; + wire _03395_; + wire _03396_; + wire _03397_; + wire _03398_; + wire _03399_; + wire _03400_; + wire _03401_; + wire _03402_; + wire _03403_; + wire _03404_; + wire _03405_; + wire _03406_; + wire _03407_; + wire _03408_; + wire _03409_; + wire _03410_; + wire _03411_; + wire _03412_; + wire _03413_; + wire _03414_; + wire _03415_; + wire _03416_; + wire _03417_; + wire _03418_; + wire _03419_; + wire _03420_; + wire _03421_; + wire _03422_; + wire _03423_; + wire _03424_; + wire _03425_; + wire _03426_; + wire _03427_; + wire _03428_; + wire _03429_; + wire _03430_; + wire _03431_; + wire _03432_; + wire _03433_; + wire _03434_; + wire _03435_; + wire _03436_; + wire _03437_; + wire _03438_; + wire _03439_; + wire _03440_; + wire _03441_; + wire _03442_; + wire _03443_; + wire _03444_; + wire _03445_; + wire _03446_; + wire _03447_; + wire _03448_; + wire _03449_; + wire _03450_; + wire _03451_; + wire _03452_; + wire _03453_; + wire _03454_; + wire _03455_; + wire _03456_; + wire _03457_; + wire _03458_; + wire _03459_; + wire _03460_; + wire _03461_; + wire _03462_; + wire _03463_; + wire _03464_; + wire _03465_; + wire _03466_; + wire _03467_; + wire _03468_; + wire _03469_; + wire _03470_; + wire _03471_; + wire _03472_; + wire _03473_; + wire _03474_; + wire _03475_; + wire _03476_; + wire _03477_; + wire _03478_; + wire _03479_; + wire _03480_; + wire _03481_; + wire _03482_; + wire _03483_; + wire _03484_; + wire _03485_; + wire _03486_; + wire _03487_; + wire _03488_; + wire _03489_; + wire _03490_; + wire _03491_; + wire _03492_; + wire _03493_; + wire _03494_; + wire _03495_; + wire _03496_; + wire _03497_; + wire _03498_; + wire _03499_; + wire _03500_; + wire _03501_; + wire _03502_; + wire _03503_; + wire _03504_; + wire _03505_; + wire _03506_; + wire _03507_; + wire _03508_; + wire _03509_; + wire _03510_; + wire _03511_; + wire _03512_; + wire _03513_; + wire _03514_; + wire _03515_; + wire _03516_; + wire _03517_; + wire _03518_; + wire _03519_; + wire _03520_; + wire _03521_; + wire _03522_; + wire _03523_; + wire _03524_; + wire _03525_; + wire _03526_; + wire _03527_; + wire _03528_; + wire _03529_; + wire _03530_; + wire _03531_; + wire _03532_; + wire _03533_; + wire _03534_; + wire _03535_; + wire _03536_; + wire _03537_; + wire _03538_; + wire _03539_; + wire _03540_; + wire _03541_; + wire _03542_; + wire _03543_; + wire _03544_; + wire _03545_; + wire _03546_; + wire _03547_; + wire _03548_; + wire _03549_; + wire _03550_; + wire _03551_; + wire _03552_; + wire _03553_; + wire _03554_; + wire _03555_; + wire _03556_; + wire _03557_; + wire _03558_; + wire _03559_; + wire _03560_; + wire _03561_; + wire _03562_; + wire _03563_; + wire _03564_; + wire _03565_; + wire _03566_; + wire _03567_; + wire _03568_; + wire _03569_; + wire _03570_; + wire _03571_; + wire _03572_; + wire _03573_; + wire _03574_; + wire _03575_; + wire _03576_; + wire _03577_; + wire _03578_; + wire _03579_; + wire _03580_; + wire _03581_; + wire _03582_; + wire _03583_; + wire _03584_; + wire _03585_; + wire _03586_; + wire _03587_; + wire _03588_; + wire _03589_; + wire _03590_; + wire _03591_; + wire _03592_; + wire _03593_; + wire _03594_; + wire _03595_; + wire _03596_; + wire _03597_; + wire _03598_; + wire _03599_; + wire _03600_; + wire _03601_; + wire _03602_; + wire _03603_; + wire _03604_; + wire _03605_; + wire _03606_; + wire _03607_; + wire _03608_; + wire _03609_; + wire _03610_; + wire _03611_; + wire _03612_; + wire _03613_; + wire _03614_; + wire _03615_; + wire _03616_; + wire _03617_; + wire _03618_; + wire _03619_; + wire _03620_; + wire _03621_; + wire _03622_; + wire _03623_; + wire _03624_; + wire _03625_; + wire _03626_; + wire _03627_; + wire _03628_; + wire _03629_; + wire _03630_; + wire _03631_; + wire _03632_; + wire _03633_; + wire _03634_; + wire _03635_; + wire _03636_; + wire _03637_; + wire _03638_; + wire _03639_; + wire _03640_; + wire _03641_; + wire _03642_; + wire _03643_; + wire _03644_; + wire _03645_; + wire _03646_; + wire _03647_; + wire _03648_; + wire _03649_; + wire _03650_; + wire _03651_; + wire _03652_; + wire _03653_; + wire _03654_; + wire _03655_; + wire _03656_; + wire _03657_; + wire _03658_; + wire _03659_; + wire _03660_; + wire _03661_; + wire _03662_; + wire _03663_; + wire _03664_; + wire _03665_; + wire _03666_; + wire _03667_; + wire _03668_; + wire _03669_; + wire _03670_; + wire _03671_; + wire _03672_; + wire _03673_; + wire _03674_; + wire _03675_; + wire _03676_; + wire _03677_; + wire _03678_; + wire _03679_; + wire _03680_; + wire _03681_; + wire _03682_; + wire _03683_; + wire _03684_; + wire _03685_; + wire _03686_; + wire _03687_; + wire _03688_; + wire _03689_; + wire _03690_; + wire _03691_; + wire _03692_; + wire _03693_; + wire _03694_; + wire _03695_; + wire _03696_; + wire _03697_; + wire _03698_; + wire _03699_; + wire _03700_; + wire _03701_; + wire _03702_; + wire _03703_; + wire _03704_; + wire _03705_; + wire _03706_; + wire _03707_; + wire _03708_; + wire _03709_; + wire _03710_; + wire _03711_; + wire _03712_; + wire _03713_; + wire _03714_; + wire _03715_; + wire _03716_; + wire _03717_; + wire _03718_; + wire _03719_; + wire _03720_; + wire _03721_; + wire _03722_; + wire _03723_; + wire _03724_; + wire _03725_; + wire _03726_; + wire _03727_; + wire _03728_; + wire _03729_; + wire _03730_; + wire _03731_; + wire _03732_; + wire _03733_; + wire _03734_; + wire _03735_; + wire _03736_; + wire _03737_; + wire _03738_; + wire _03739_; + wire _03740_; + wire _03741_; + wire _03742_; + wire _03743_; + wire _03744_; + wire _03745_; + wire _03746_; + wire _03747_; + wire _03748_; + wire _03749_; + wire _03750_; + wire _03751_; + wire _03752_; + wire _03753_; + wire _03754_; + wire _03755_; + wire _03756_; + wire _03757_; + wire _03758_; + wire _03759_; + wire _03760_; + wire _03761_; + wire _03762_; + wire _03763_; + wire _03764_; + wire _03765_; + wire _03766_; + wire _03767_; + wire _03768_; + wire _03769_; + wire _03770_; + wire _03771_; + wire _03772_; + wire _03773_; + wire _03774_; + wire _03775_; + wire _03776_; + wire _03777_; + wire _03778_; + wire _03779_; + wire _03780_; + wire _03781_; + wire _03782_; + wire _03783_; + wire _03784_; + wire _03785_; + wire _03786_; + wire _03787_; + wire _03788_; + wire _03789_; + wire _03790_; + wire _03791_; + wire _03792_; + wire _03793_; + wire _03794_; + wire _03795_; + wire _03796_; + wire _03797_; + wire _03798_; + wire _03799_; + wire _03800_; + wire _03801_; + wire _03802_; + wire _03803_; + wire _03804_; + wire _03805_; + wire _03806_; + wire _03807_; + wire _03808_; + wire _03809_; + wire _03810_; + wire _03811_; + wire _03812_; + wire _03813_; + wire _03814_; + wire _03815_; + wire _03816_; + wire _03817_; + wire _03818_; + wire _03819_; + wire _03820_; + wire _03821_; + wire _03822_; + wire _03823_; + wire _03824_; + wire _03825_; + wire _03826_; + wire _03827_; + wire _03828_; + wire _03829_; + wire _03830_; + wire _03831_; + wire _03832_; + wire _03833_; + wire _03834_; + wire _03835_; + wire _03836_; + wire _03837_; + wire _03838_; + wire _03839_; + wire _03840_; + wire _03841_; + wire _03842_; + wire _03843_; + wire _03844_; + wire _03845_; + wire _03846_; + wire _03847_; + wire _03848_; + wire _03849_; + wire _03850_; + wire _03851_; + wire _03852_; + wire _03853_; + wire _03854_; + wire _03855_; + wire _03856_; + wire _03857_; + wire _03858_; + wire _03859_; + wire _03860_; + wire _03861_; + wire _03862_; + wire _03863_; + wire _03864_; + wire _03865_; + wire _03866_; + wire _03867_; + wire _03868_; + wire _03869_; + wire _03870_; + wire _03871_; + wire _03872_; + wire _03873_; + wire _03874_; + wire _03875_; + wire _03876_; + wire _03877_; + wire _03878_; + wire _03879_; + wire _03880_; + wire _03881_; + wire _03882_; + wire _03883_; + wire _03884_; + wire _03885_; + wire _03886_; + wire _03887_; + wire _03888_; + wire _03889_; + wire _03890_; + wire _03891_; + wire _03892_; + wire _03893_; + wire _03894_; + wire _03895_; + wire _03896_; + wire _03897_; + wire _03898_; + wire _03899_; + wire _03900_; + wire _03901_; + wire _03902_; + wire _03903_; + wire _03904_; + wire _03905_; + wire _03906_; + wire _03907_; + wire _03908_; + wire _03909_; + wire _03910_; + wire _03911_; + wire _03912_; + wire _03913_; + wire _03914_; + wire _03915_; + wire _03916_; + wire _03917_; + wire _03918_; + wire _03919_; + wire _03920_; + wire _03921_; + wire _03922_; + wire _03923_; + wire _03924_; + wire _03925_; + wire _03926_; + wire _03927_; + wire _03928_; + wire _03929_; + wire _03930_; + wire _03931_; + wire _03932_; + wire _03933_; + wire _03934_; + wire _03935_; + wire _03936_; + wire _03937_; + wire _03938_; + wire _03939_; + wire _03940_; + wire _03941_; + wire _03942_; + wire _03943_; + wire _03944_; + wire _03945_; + wire _03946_; + wire _03947_; + wire _03948_; + wire _03949_; + wire _03950_; + wire _03951_; + wire _03952_; + wire _03953_; + wire _03954_; + wire _03955_; + wire _03956_; + wire _03957_; + wire _03958_; + wire _03959_; + wire _03960_; + wire _03961_; + wire _03962_; + wire _03963_; + wire _03964_; + wire _03965_; + wire _03966_; + wire _03967_; + wire _03968_; + wire _03969_; + wire _03970_; + wire _03971_; + wire _03972_; + wire _03973_; + wire _03974_; + wire _03975_; + wire _03976_; + wire _03977_; + wire _03978_; + wire _03979_; + wire _03980_; + wire _03981_; + wire _03982_; + wire _03983_; + wire _03984_; + wire _03985_; + wire _03986_; + wire _03987_; + wire _03988_; + wire _03989_; + wire _03990_; + wire _03991_; + wire _03992_; + wire _03993_; + wire _03994_; + wire _03995_; + wire _03996_; + wire _03997_; + wire _03998_; + wire _03999_; + wire _04000_; + wire _04001_; + wire _04002_; + wire _04003_; + wire _04004_; + wire _04005_; + wire _04006_; + wire _04007_; + wire _04008_; + wire _04009_; + wire _04010_; + wire _04011_; + wire _04012_; + wire _04013_; + wire _04014_; + wire _04015_; + wire _04016_; + wire _04017_; + wire _04018_; + wire _04019_; + wire _04020_; + wire _04021_; + wire _04022_; + wire _04023_; + wire _04024_; + wire _04025_; + wire _04026_; + wire _04027_; + wire _04028_; + wire _04029_; + wire _04030_; + wire _04031_; + wire _04032_; + wire _04033_; + wire _04034_; + wire _04035_; + wire _04036_; + wire _04037_; + wire _04038_; + wire _04039_; + wire _04040_; + wire _04041_; + wire _04042_; + wire _04043_; + wire _04044_; + wire _04045_; + wire _04046_; + wire _04047_; + wire _04048_; + wire _04049_; + wire _04050_; + wire _04051_; + wire _04052_; + wire _04053_; + wire _04054_; + wire _04055_; + wire _04056_; + wire _04057_; + wire _04058_; + wire _04059_; + wire _04060_; + wire _04061_; + wire _04062_; + wire _04063_; + wire _04064_; + wire _04065_; + wire _04066_; + wire _04067_; + wire _04068_; + wire _04069_; + wire _04070_; + wire _04071_; + wire _04072_; + wire _04073_; + wire _04074_; + wire _04075_; + wire _04076_; + wire _04077_; + wire _04078_; + wire _04079_; + wire _04080_; + wire _04081_; + wire _04082_; + wire _04083_; + wire _04084_; + wire _04085_; + wire _04086_; + wire _04087_; + wire _04088_; + wire _04089_; + wire _04090_; + wire _04091_; + wire _04092_; + wire _04093_; + wire _04094_; + wire _04095_; + wire _04096_; + wire _04097_; + wire _04098_; + wire _04099_; + wire _04100_; + wire _04101_; + wire _04102_; + wire _04103_; + wire _04104_; + wire _04105_; + wire _04106_; + wire _04107_; + wire _04108_; + wire _04109_; + wire _04110_; + wire _04111_; + wire _04112_; + wire _04113_; + wire _04114_; + wire _04115_; + wire _04116_; + wire _04117_; + wire _04118_; + wire _04119_; + wire _04120_; + wire _04121_; + wire _04122_; + wire _04123_; + wire _04124_; + wire _04125_; + wire _04126_; + wire _04127_; + wire _04128_; + wire _04129_; + wire _04130_; + wire _04131_; + wire _04132_; + wire _04133_; + wire _04134_; + wire _04135_; + wire _04136_; + wire _04137_; + wire _04138_; + wire _04139_; + wire _04140_; + wire _04141_; + wire _04142_; + wire _04143_; + wire _04144_; + wire _04145_; + wire _04146_; + wire _04147_; + wire _04148_; + wire _04149_; + wire _04150_; + wire _04151_; + wire _04152_; + wire _04153_; + wire _04154_; + wire _04155_; + wire _04156_; + wire _04157_; + wire _04158_; + wire _04159_; + wire _04160_; + wire _04161_; + wire _04162_; + wire _04163_; + wire _04164_; + wire _04165_; + wire _04166_; + wire _04167_; + wire _04168_; + wire _04169_; + wire _04170_; + wire _04171_; + wire _04172_; + wire _04173_; + wire _04174_; + wire _04175_; + wire _04176_; + wire _04177_; + wire _04178_; + wire _04179_; + wire _04180_; + wire _04181_; + wire _04182_; + wire _04183_; + wire _04184_; + wire _04185_; + wire _04186_; + wire _04187_; + wire _04188_; + wire _04189_; + wire _04190_; + wire _04191_; + wire _04192_; + wire _04193_; + wire _04194_; + wire _04195_; + wire _04196_; + wire _04197_; + wire _04198_; + wire _04199_; + wire _04200_; + wire _04201_; + wire _04202_; + wire _04203_; + wire _04204_; + wire _04205_; + wire _04206_; + wire _04207_; + wire _04208_; + wire _04209_; + wire _04210_; + wire _04211_; + wire _04212_; + wire _04213_; + wire _04214_; + wire _04215_; + wire _04216_; + wire _04217_; + wire _04218_; + wire _04219_; + wire _04220_; + wire _04221_; + wire _04222_; + wire _04223_; + wire _04224_; + wire _04225_; + wire _04226_; + wire _04227_; + wire _04228_; + wire _04229_; + wire _04230_; + wire _04231_; + wire _04232_; + wire _04233_; + wire _04234_; + wire _04235_; + wire _04236_; + wire _04237_; + wire _04238_; + wire _04239_; + wire _04240_; + wire _04241_; + wire _04242_; + wire _04243_; + wire _04244_; + wire _04245_; + wire _04246_; + wire _04247_; + wire _04248_; + wire _04249_; + wire _04250_; + wire _04251_; + wire _04252_; + wire _04253_; + wire _04254_; + wire _04255_; + wire _04256_; + wire _04257_; + wire _04258_; + wire _04259_; + wire _04260_; + wire _04261_; + wire _04262_; + wire _04263_; + wire _04264_; + wire _04265_; + wire _04266_; + wire _04267_; + wire _04268_; + wire _04269_; + wire _04270_; + wire _04271_; + wire _04272_; + wire _04273_; + wire _04274_; + wire _04275_; + wire _04276_; + wire _04277_; + wire _04278_; + wire _04279_; + wire _04280_; + wire _04281_; + wire _04282_; + wire _04283_; + wire _04284_; + wire _04285_; + wire _04286_; + wire _04287_; + wire _04288_; + wire _04289_; + wire _04290_; + wire _04291_; + wire _04292_; + wire _04293_; + wire _04294_; + wire _04295_; + wire _04296_; + wire _04297_; + wire _04298_; + wire _04299_; + wire _04300_; + wire _04301_; + wire _04302_; + wire _04303_; + wire _04304_; + wire _04305_; + wire _04306_; + wire _04307_; + wire _04308_; + wire _04309_; + wire _04310_; + wire _04311_; + wire _04312_; + wire _04313_; + wire _04314_; + wire _04315_; + wire _04316_; + wire _04317_; + wire _04318_; + wire _04319_; + wire _04320_; + wire _04321_; + wire _04322_; + wire _04323_; + wire _04324_; + wire _04325_; + wire _04326_; + wire _04327_; + wire _04328_; + wire _04329_; + wire _04330_; + wire _04331_; + wire _04332_; + wire _04333_; + wire _04334_; + wire _04335_; + wire _04336_; + wire _04337_; + wire _04338_; + wire _04339_; + wire _04340_; + wire _04341_; + wire _04342_; + wire _04343_; + wire _04344_; + wire _04345_; + wire _04346_; + wire _04347_; + wire _04348_; + wire _04349_; + wire _04350_; + wire _04351_; + wire _04352_; + wire _04353_; + wire _04354_; + wire _04355_; + wire _04356_; + wire _04357_; + wire _04358_; + wire _04359_; + wire _04360_; + wire _04361_; + wire _04362_; + wire _04363_; + wire _04364_; + wire _04365_; + wire _04366_; + wire _04367_; + wire _04368_; + wire _04369_; + wire _04370_; + wire _04371_; + wire _04372_; + wire _04373_; + wire _04374_; + wire _04375_; + wire _04376_; + wire _04377_; + wire _04378_; + wire _04379_; + wire _04380_; + wire _04381_; + wire _04382_; + wire _04383_; + wire _04384_; + wire _04385_; + wire _04386_; + wire _04387_; + wire _04388_; + wire _04389_; + wire _04390_; + wire _04391_; + wire _04392_; + wire _04393_; + wire _04394_; + wire _04395_; + wire _04396_; + wire _04397_; + wire _04398_; + wire _04399_; + wire _04400_; + wire _04401_; + wire _04402_; + wire _04403_; + wire _04404_; + wire _04405_; + wire _04406_; + wire _04407_; + wire _04408_; + wire _04409_; + wire _04410_; + wire _04411_; + wire _04412_; + wire _04413_; + wire _04414_; + wire _04415_; + wire _04416_; + wire _04417_; + wire _04418_; + wire _04419_; + wire _04420_; + wire _04421_; + wire _04422_; + wire _04423_; + wire _04424_; + wire _04425_; + wire _04426_; + wire _04427_; + wire _04428_; + wire _04429_; + wire _04430_; + wire _04431_; + wire _04432_; + wire _04433_; + wire _04434_; + wire _04435_; + wire _04436_; + wire _04437_; + wire _04438_; + wire _04439_; + wire _04440_; + wire _04441_; + wire _04442_; + wire _04443_; + wire _04444_; + wire _04445_; + wire _04446_; + wire _04447_; + wire _04448_; + wire _04449_; + wire _04450_; + wire _04451_; + wire _04452_; + wire _04453_; + wire _04454_; + wire _04455_; + wire _04456_; + wire _04457_; + wire _04458_; + wire _04459_; + wire _04460_; + wire _04461_; + wire _04462_; + wire _04463_; + wire _04464_; + wire _04465_; + wire _04466_; + wire _04467_; + wire _04468_; + wire _04469_; + wire _04470_; + wire _04471_; + wire _04472_; + wire _04473_; + wire _04474_; + wire _04475_; + wire _04476_; + wire _04477_; + wire _04478_; + wire _04479_; + wire _04480_; + wire _04481_; + wire _04482_; + wire _04483_; + wire _04484_; + wire _04485_; + wire _04486_; + wire _04487_; + wire _04488_; + wire _04489_; + wire _04490_; + wire _04491_; + wire _04492_; + wire _04493_; + wire _04494_; + wire _04495_; + wire _04496_; + wire _04497_; + wire _04498_; + wire _04499_; + wire _04500_; + wire _04501_; + wire _04502_; + wire _04503_; + wire _04504_; + wire _04505_; + wire _04506_; + wire _04507_; + wire _04508_; + wire _04509_; + wire _04510_; + wire _04511_; + wire _04512_; + wire _04513_; + wire _04514_; + wire _04515_; + wire _04516_; + wire _04517_; + wire _04518_; + wire _04519_; + wire _04520_; + wire _04521_; + wire _04522_; + wire _04523_; + wire _04524_; + wire _04525_; + wire _04526_; + wire _04527_; + wire _04528_; + wire _04529_; + wire _04530_; + wire _04531_; + wire _04532_; + wire _04533_; + wire _04534_; + wire _04535_; + wire _04536_; + wire _04537_; + wire _04538_; + wire _04539_; + wire _04540_; + wire _04541_; + wire _04542_; + wire _04543_; + wire _04544_; + wire _04545_; + wire _04546_; + wire _04547_; + wire _04548_; + wire _04549_; + wire _04550_; + wire _04551_; + wire _04552_; + wire _04553_; + wire _04554_; + wire _04555_; + wire _04556_; + wire _04557_; + wire _04558_; + wire _04559_; + wire _04560_; + wire _04561_; + wire _04562_; + wire _04563_; + wire _04564_; + wire _04565_; + wire _04566_; + wire _04567_; + wire _04568_; + wire _04569_; + wire _04570_; + wire _04571_; + wire _04572_; + wire _04573_; + wire _04574_; + wire _04575_; + wire _04576_; + wire _04577_; + wire _04578_; + wire _04579_; + wire _04580_; + wire _04581_; + wire _04582_; + wire _04583_; + wire _04584_; + wire _04585_; + wire _04586_; + wire _04587_; + wire _04588_; + wire _04589_; + wire _04590_; + wire _04591_; + wire _04592_; + wire _04593_; + wire _04594_; + wire _04595_; + wire _04596_; + wire _04597_; + wire _04598_; + wire _04599_; + wire _04600_; + wire _04601_; + wire _04602_; + wire _04603_; + wire _04604_; + wire _04605_; + wire _04606_; + wire _04607_; + wire _04608_; + wire _04609_; + wire _04610_; + wire _04611_; + wire _04612_; + wire _04613_; + wire _04614_; + wire _04615_; + wire _04616_; + wire _04617_; + wire _04618_; + wire _04619_; + wire _04620_; + wire _04621_; + wire _04622_; + wire _04623_; + wire _04624_; + wire _04625_; + wire _04626_; + wire _04627_; + wire _04628_; + wire _04629_; + wire _04630_; + wire _04631_; + wire _04632_; + wire _04633_; + wire _04634_; + wire _04635_; + wire _04636_; + wire _04637_; + wire _04638_; + wire _04639_; + wire _04640_; + wire _04641_; + wire _04642_; + wire _04643_; + wire _04644_; + wire _04645_; + wire _04646_; + wire _04647_; + wire _04648_; + wire _04649_; + wire _04650_; + wire _04651_; + wire _04652_; + wire _04653_; + wire _04654_; + wire _04655_; + wire _04656_; + wire _04657_; + wire _04658_; + wire _04659_; + wire _04660_; + wire _04661_; + wire _04662_; + wire _04663_; + wire _04664_; + wire _04665_; + wire _04666_; + wire _04667_; + wire _04668_; + wire _04669_; + wire _04670_; + wire _04671_; + wire _04672_; + wire _04673_; + wire _04674_; + wire _04675_; + wire _04676_; + wire _04677_; + wire _04678_; + wire _04679_; + wire _04680_; + wire _04681_; + wire _04682_; + wire _04683_; + wire _04684_; + wire _04685_; + wire _04686_; + wire _04687_; + wire _04688_; + wire _04689_; + wire _04690_; + wire _04691_; + wire _04692_; + wire _04693_; + wire _04694_; + wire _04695_; + wire _04696_; + wire _04697_; + wire _04698_; + wire _04699_; + wire _04700_; + wire _04701_; + wire _04702_; + wire _04703_; + wire _04704_; + wire _04705_; + wire _04706_; + wire _04707_; + wire _04708_; + wire _04709_; + wire _04710_; + wire _04711_; + wire _04712_; + wire _04713_; + wire _04714_; + wire _04715_; + wire _04716_; + wire _04717_; + wire _04718_; + wire _04719_; + wire _04720_; + wire _04721_; + wire _04722_; + wire _04723_; + wire _04724_; + wire _04725_; + wire _04726_; + wire _04727_; + wire _04728_; + wire _04729_; + wire _04730_; + wire _04731_; + wire _04732_; + wire _04733_; + wire _04734_; + wire _04735_; + wire _04736_; + wire _04737_; + wire _04738_; + wire _04739_; + wire _04740_; + wire _04741_; + wire _04742_; + wire _04743_; + wire _04744_; + wire _04745_; + wire _04746_; + wire _04747_; + wire _04748_; + wire _04749_; + wire _04750_; + wire _04751_; + wire _04752_; + wire _04753_; + wire _04754_; + wire _04755_; + wire _04756_; + wire _04757_; + wire _04758_; + wire _04759_; + wire _04760_; + wire _04761_; + wire _04762_; + wire _04763_; + wire _04764_; + wire _04765_; + wire _04766_; + wire _04767_; + wire _04768_; + wire _04769_; + wire _04770_; + wire _04771_; + wire _04772_; + wire _04773_; + wire _04774_; + wire _04775_; + wire _04776_; + wire _04777_; + wire _04778_; + wire _04779_; + wire _04780_; + wire _04781_; + wire _04782_; + wire _04783_; + wire _04784_; + wire _04785_; + wire _04786_; + wire _04787_; + wire _04788_; + wire _04789_; + wire _04790_; + wire _04791_; + wire _04792_; + wire _04793_; + wire _04794_; + wire _04795_; + wire _04796_; + wire _04797_; + wire _04798_; + wire _04799_; + wire _04800_; + wire _04801_; + wire _04802_; + wire _04803_; + wire _04804_; + wire _04805_; + wire _04806_; + wire _04807_; + wire _04808_; + wire _04809_; + wire _04810_; + wire _04811_; + wire _04812_; + wire _04813_; + wire _04814_; + wire _04815_; + wire _04816_; + wire _04817_; + wire _04818_; + wire _04819_; + wire _04820_; + wire _04821_; + wire _04822_; + wire _04823_; + wire _04824_; + wire _04825_; + wire _04826_; + wire _04827_; + wire _04828_; + wire _04829_; + wire _04830_; + wire _04831_; + wire _04832_; + wire _04833_; + wire _04834_; + wire _04835_; + wire _04836_; + wire _04837_; + wire _04838_; + wire _04839_; + wire _04840_; + wire _04841_; + wire _04842_; + wire _04843_; + wire _04844_; + wire _04845_; + wire _04846_; + wire _04847_; + wire _04848_; + wire _04849_; + wire _04850_; + wire _04851_; + wire _04852_; + wire _04853_; + wire _04854_; + wire _04855_; + wire _04856_; + wire _04857_; + wire _04858_; + wire _04859_; + wire _04860_; + wire _04861_; + wire _04862_; + wire _04863_; + wire _04864_; + wire _04865_; + wire _04866_; + wire _04867_; + wire _04868_; + wire _04869_; + wire _04870_; + wire _04871_; + wire _04872_; + wire _04873_; + wire _04874_; + wire _04875_; + wire _04876_; + wire _04877_; + wire _04878_; + wire _04879_; + wire _04880_; + wire _04881_; + wire _04882_; + wire _04883_; + wire _04884_; + wire _04885_; + wire _04886_; + wire _04887_; + wire _04888_; + wire _04889_; + wire _04890_; + wire _04891_; + wire _04892_; + wire _04893_; + wire _04894_; + wire _04895_; + wire _04896_; + wire _04897_; + wire _04898_; + wire _04899_; + wire _04900_; + wire _04901_; + wire _04902_; + wire _04903_; + wire _04904_; + wire _04905_; + wire _04906_; + wire _04907_; + wire _04908_; + wire _04909_; + wire _04910_; + wire _04911_; + wire _04912_; + wire _04913_; + wire _04914_; + wire _04915_; + wire _04916_; + wire _04917_; + wire _04918_; + wire _04919_; + wire _04920_; + wire _04921_; + wire _04922_; + wire _04923_; + wire _04924_; + wire _04925_; + wire _04926_; + wire _04927_; + wire _04928_; + wire _04929_; + wire _04930_; + wire _04931_; + wire _04932_; + wire _04933_; + wire _04934_; + wire _04935_; + wire _04936_; + wire _04937_; + wire _04938_; + wire _04939_; + wire _04940_; + wire _04941_; + wire _04942_; + wire _04943_; + wire _04944_; + wire _04945_; + wire _04946_; + wire _04947_; + wire _04948_; + wire _04949_; + wire _04950_; + wire _04951_; + wire _04952_; + wire _04953_; + wire _04954_; + wire _04955_; + wire _04956_; + wire _04957_; + wire _04958_; + wire _04959_; + wire _04960_; + wire _04961_; + wire _04962_; + wire _04963_; + wire _04964_; + wire _04965_; + wire _04966_; + wire _04967_; + wire _04968_; + wire _04969_; + wire _04970_; + wire _04971_; + wire _04972_; + wire _04973_; + wire _04974_; + wire _04975_; + wire _04976_; + wire _04977_; + wire _04978_; + wire _04979_; + wire _04980_; + wire _04981_; + wire _04982_; + wire _04983_; + wire _04984_; + wire _04985_; + wire _04986_; + wire _04987_; + wire _04988_; + wire _04989_; + wire _04990_; + wire _04991_; + wire _04992_; + wire _04993_; + wire _04994_; + wire _04995_; + wire _04996_; + wire _04997_; + wire _04998_; + wire _04999_; + wire _05000_; + wire _05001_; + wire _05002_; + wire _05003_; + wire _05004_; + wire _05005_; + wire _05006_; + wire _05007_; + wire _05008_; + wire _05009_; + wire _05010_; + wire _05011_; + wire _05012_; + wire _05013_; + wire _05014_; + wire _05015_; + wire _05016_; + wire _05017_; + wire _05018_; + wire _05019_; + wire _05020_; + wire _05021_; + wire _05022_; + wire _05023_; + wire _05024_; + wire _05025_; + wire _05026_; + wire _05027_; + wire _05028_; + wire _05029_; + wire _05030_; + wire _05031_; + wire _05032_; + wire _05033_; + wire _05034_; + wire _05035_; + wire _05036_; + wire _05037_; + wire _05038_; + wire _05039_; + wire _05040_; + wire _05041_; + wire _05042_; + wire _05043_; + wire _05044_; + wire _05045_; + wire _05046_; + wire _05047_; + wire _05048_; + wire _05049_; + wire _05050_; + wire _05051_; + wire _05052_; + wire _05053_; + wire _05054_; + wire _05055_; + wire _05056_; + wire _05057_; + wire _05058_; + wire _05059_; + wire _05060_; + wire _05061_; + wire _05062_; + wire _05063_; + wire _05064_; + wire _05065_; + wire _05066_; + wire _05067_; + wire _05068_; + wire _05069_; + wire _05070_; + wire _05071_; + wire _05072_; + wire _05073_; + wire _05074_; + wire _05075_; + wire _05076_; + wire _05077_; + wire _05078_; + wire _05079_; + wire _05080_; + wire _05081_; + wire _05082_; + wire _05083_; + wire _05084_; + wire _05085_; + wire _05086_; + wire _05087_; + wire _05088_; + wire _05089_; + wire _05090_; + wire _05091_; + wire _05092_; + wire _05093_; + wire _05094_; + wire _05095_; + wire _05096_; + wire _05097_; + wire _05098_; + wire _05099_; + wire _05100_; + wire _05101_; + wire _05102_; + wire _05103_; + wire _05104_; + wire _05105_; + wire _05106_; + wire _05107_; + wire _05108_; + wire _05109_; + wire _05110_; + wire _05111_; + wire _05112_; + wire _05113_; + wire _05114_; + wire _05115_; + wire _05116_; + wire _05117_; + wire _05118_; + wire _05119_; + wire _05120_; + wire _05121_; + wire _05122_; + wire _05123_; + wire _05124_; + wire _05125_; + wire _05126_; + wire _05127_; + wire _05128_; + wire _05129_; + wire _05130_; + wire _05131_; + wire _05132_; + wire _05133_; + wire _05134_; + wire _05135_; + wire _05136_; + wire _05137_; + wire _05138_; + wire _05139_; + wire _05140_; + wire _05141_; + wire _05142_; + wire _05143_; + wire _05144_; + wire _05145_; + wire _05146_; + wire _05147_; + wire _05148_; + wire _05149_; + wire _05150_; + wire _05151_; + wire _05152_; + wire _05153_; + wire _05154_; + wire _05155_; + wire _05156_; + wire _05157_; + wire _05158_; + wire _05159_; + wire _05160_; + wire _05161_; + wire _05162_; + wire _05163_; + wire _05164_; + wire _05165_; + wire _05166_; + wire _05167_; + wire _05168_; + wire _05169_; + wire _05170_; + wire _05171_; + wire _05172_; + wire _05173_; + wire _05174_; + wire _05175_; + wire _05176_; + wire _05177_; + wire _05178_; + wire _05179_; + wire _05180_; + wire _05181_; + wire _05182_; + wire _05183_; + wire _05184_; + wire _05185_; + wire _05186_; + wire _05187_; + wire _05188_; + wire _05189_; + wire _05190_; + wire _05191_; + wire _05192_; + wire _05193_; + wire _05194_; + wire _05195_; + wire _05196_; + wire _05197_; + wire _05198_; + wire _05199_; + wire _05200_; + wire _05201_; + wire _05202_; + wire _05203_; + wire _05204_; + wire _05205_; + wire _05206_; + wire _05207_; + wire _05208_; + wire _05209_; + wire _05210_; + wire _05211_; + wire _05212_; + wire _05213_; + wire _05214_; + wire _05215_; + wire _05216_; + wire _05217_; + wire _05218_; + wire _05219_; + wire _05220_; + wire _05221_; + wire _05222_; + wire _05223_; + wire _05224_; + wire _05225_; + wire _05226_; + wire _05227_; + wire _05228_; + wire _05229_; + wire _05230_; + wire _05231_; + wire _05232_; + wire _05233_; + wire _05234_; + wire _05235_; + wire _05236_; + wire _05237_; + wire _05238_; + wire _05239_; + wire _05240_; + wire _05241_; + wire _05242_; + wire _05243_; + wire _05244_; + wire _05245_; + wire _05246_; + wire _05247_; + wire _05248_; + wire _05249_; + wire _05250_; + wire _05251_; + wire _05252_; + wire _05253_; + wire _05254_; + wire _05255_; + wire _05256_; + wire _05257_; + wire _05258_; + wire _05259_; + wire _05260_; + wire _05261_; + wire _05262_; + wire _05263_; + wire _05264_; + wire _05265_; + wire _05266_; + wire _05267_; + wire _05268_; + wire _05269_; + wire _05270_; + wire _05271_; + wire _05272_; + wire _05273_; + wire _05274_; + wire _05275_; + wire _05276_; + wire _05277_; + wire _05278_; + wire _05279_; + wire _05280_; + wire _05281_; + wire _05282_; + wire _05283_; + wire _05284_; + wire _05285_; + wire _05286_; + wire _05287_; + wire _05288_; + wire _05289_; + wire _05290_; + wire _05291_; + wire _05292_; + wire _05293_; + wire _05294_; + wire _05295_; + wire _05296_; + wire _05297_; + wire _05298_; + wire _05299_; + wire _05300_; + wire _05301_; + wire _05302_; + wire _05303_; + wire _05304_; + wire _05305_; + wire _05306_; + wire _05307_; + wire _05308_; + wire _05309_; + wire _05310_; + wire _05311_; + wire _05312_; + wire _05313_; + wire _05314_; + wire _05315_; + wire _05316_; + wire _05317_; + wire _05318_; + wire _05319_; + wire _05320_; + wire _05321_; + wire _05322_; + wire _05323_; + wire _05324_; + wire _05325_; + wire _05326_; + wire _05327_; + wire _05328_; + wire _05329_; + wire _05330_; + wire _05331_; + wire _05332_; + wire _05333_; + wire _05334_; + wire _05335_; + wire _05336_; + wire _05337_; + wire _05338_; + wire _05339_; + wire _05340_; + wire _05341_; + wire _05342_; + wire _05343_; + wire _05344_; + wire _05345_; + wire _05346_; + wire _05347_; + wire _05348_; + wire _05349_; + wire _05350_; + wire _05351_; + wire _05352_; + wire _05353_; + wire _05354_; + wire _05355_; + wire _05356_; + wire _05357_; + wire _05358_; + wire _05359_; + wire _05360_; + wire _05361_; + wire _05362_; + wire _05363_; + wire _05364_; + wire _05365_; + wire _05366_; + wire _05367_; + wire _05368_; + wire _05369_; + wire _05370_; + wire _05371_; + wire _05372_; + wire _05373_; + wire _05374_; + wire _05375_; + wire _05376_; + wire _05377_; + wire _05378_; + wire _05379_; + wire _05380_; + wire _05381_; + wire _05382_; + wire _05383_; + wire _05384_; + wire _05385_; + wire _05386_; + wire _05387_; + wire _05388_; + wire _05389_; + wire _05390_; + wire _05391_; + wire _05392_; + wire _05393_; + wire _05394_; + wire _05395_; + wire _05396_; + wire _05397_; + wire _05398_; + wire _05399_; + wire _05400_; + wire _05401_; + wire _05402_; + wire _05403_; + wire _05404_; + wire _05405_; + wire _05406_; + wire _05407_; + wire _05408_; + wire _05409_; + wire _05410_; + wire _05411_; + wire _05412_; + wire _05413_; + wire _05414_; + wire _05415_; + wire _05416_; + wire _05417_; + wire _05418_; + wire _05419_; + wire _05420_; + wire _05421_; + wire _05422_; + wire _05423_; + wire _05424_; + wire _05425_; + wire _05426_; + wire _05427_; + wire _05428_; + wire _05429_; + wire _05430_; + wire _05431_; + wire _05432_; + wire _05433_; + wire _05434_; + wire _05435_; + wire _05436_; + wire _05437_; + wire _05438_; + wire _05439_; + wire _05440_; + wire _05441_; + wire _05442_; + wire _05443_; + wire _05444_; + wire _05445_; + wire _05446_; + wire _05447_; + wire _05448_; + wire _05449_; + wire _05450_; + wire _05451_; + wire _05452_; + wire _05453_; + wire _05454_; + wire _05455_; + wire _05456_; + wire _05457_; + wire _05458_; + wire _05459_; + wire _05460_; + wire _05461_; + wire _05462_; + wire _05463_; + wire _05464_; + wire _05465_; + wire _05466_; + wire _05467_; + wire _05468_; + wire _05469_; + wire _05470_; + wire _05471_; + wire _05472_; + wire _05473_; + wire _05474_; + wire _05475_; + wire _05476_; + wire _05477_; + wire _05478_; + wire _05479_; + wire _05480_; + wire _05481_; + wire _05482_; + wire _05483_; + wire _05484_; + wire _05485_; + wire _05486_; + wire _05487_; + wire _05488_; + wire _05489_; + wire _05490_; + wire _05491_; + wire _05492_; + wire _05493_; + wire _05494_; + wire _05495_; + wire _05496_; + wire _05497_; + wire _05498_; + wire _05499_; + wire _05500_; + wire _05501_; + wire _05502_; + wire _05503_; + wire _05504_; + wire _05505_; + wire _05506_; + wire _05507_; + wire _05508_; + wire _05509_; + wire _05510_; + wire _05511_; + wire _05512_; + wire _05513_; + wire _05514_; + wire _05515_; + wire _05516_; + wire _05517_; + wire _05518_; + wire _05519_; + wire _05520_; + wire _05521_; + wire _05522_; + wire _05523_; + wire _05524_; + wire _05525_; + wire _05526_; + wire _05527_; + wire _05528_; + wire _05529_; + wire _05530_; + wire _05531_; + wire _05532_; + wire _05533_; + wire _05534_; + wire _05535_; + wire _05536_; + wire _05537_; + wire _05538_; + wire _05539_; + wire _05540_; + wire _05541_; + wire _05542_; + wire _05543_; + wire _05544_; + wire _05545_; + wire _05546_; + wire _05547_; + wire _05548_; + wire _05549_; + wire _05550_; + wire _05551_; + wire _05552_; + wire _05553_; + wire _05554_; + wire _05555_; + wire _05556_; + wire _05557_; + wire _05558_; + wire _05559_; + wire _05560_; + wire _05561_; + wire _05562_; + wire _05563_; + wire _05564_; + wire _05565_; + wire _05566_; + wire _05567_; + wire _05568_; + wire _05569_; + wire _05570_; + wire _05571_; + wire _05572_; + wire _05573_; + wire _05574_; + wire _05575_; + wire _05576_; + wire _05577_; + wire _05578_; + wire _05579_; + wire _05580_; + wire _05581_; + wire _05582_; + wire _05583_; + wire _05584_; + wire _05585_; + wire _05586_; + wire _05587_; + wire _05588_; + wire _05589_; + wire _05590_; + wire _05591_; + wire _05592_; + wire _05593_; + wire _05594_; + wire _05595_; + wire _05596_; + wire _05597_; + wire _05598_; + wire _05599_; + wire _05600_; + wire _05601_; + wire _05602_; + wire _05603_; + wire _05604_; + wire _05605_; + wire _05606_; + wire _05607_; + wire _05608_; + wire _05609_; + wire _05610_; + wire _05611_; + wire _05612_; + wire _05613_; + wire _05614_; + wire _05615_; + wire _05616_; + wire _05617_; + wire _05618_; + wire _05619_; + wire _05620_; + wire _05621_; + wire _05622_; + wire _05623_; + wire _05624_; + wire _05625_; + wire _05626_; + wire _05627_; + wire _05628_; + wire _05629_; + wire _05630_; + wire _05631_; + wire _05632_; + wire _05633_; + wire _05634_; + wire _05635_; + wire _05636_; + wire _05637_; + wire _05638_; + wire _05639_; + wire _05640_; + wire _05641_; + wire _05642_; + wire _05643_; + wire _05644_; + wire _05645_; + wire _05646_; + wire _05647_; + wire _05648_; + wire _05649_; + wire _05650_; + wire _05651_; + wire _05652_; + wire _05653_; + wire _05654_; + wire _05655_; + wire _05656_; + wire _05657_; + wire _05658_; + wire _05659_; + wire _05660_; + wire _05661_; + wire _05662_; + wire _05663_; + wire _05664_; + wire _05665_; + wire _05666_; + wire _05667_; + wire _05668_; + wire _05669_; + wire _05670_; + wire _05671_; + wire _05672_; + wire _05673_; + wire _05674_; + wire _05675_; + wire _05676_; + wire _05677_; + wire _05678_; + wire _05679_; + wire _05680_; + wire _05681_; + wire _05682_; + wire _05683_; + wire _05684_; + wire _05685_; + wire _05686_; + wire _05687_; + wire _05688_; + wire _05689_; + wire _05690_; + wire _05691_; + wire _05692_; + wire _05693_; + wire _05694_; + wire _05695_; + wire _05696_; + wire _05697_; + wire _05698_; + wire _05699_; + wire _05700_; + wire _05701_; + wire _05702_; + wire _05703_; + wire _05704_; + wire _05705_; + wire _05706_; + wire _05707_; + wire _05708_; + wire _05709_; + wire _05710_; + wire _05711_; + wire _05712_; + wire _05713_; + wire _05714_; + wire _05715_; + wire _05716_; + wire _05717_; + wire _05718_; + wire _05719_; + wire _05720_; + wire _05721_; + wire _05722_; + wire _05723_; + wire _05724_; + wire _05725_; + wire _05726_; + wire _05727_; + wire _05728_; + wire _05729_; + wire _05730_; + wire _05731_; + wire _05732_; + wire _05733_; + wire _05734_; + wire _05735_; + wire _05736_; + wire _05737_; + wire _05738_; + wire _05739_; + wire _05740_; + wire _05741_; + wire _05742_; + wire _05743_; + wire _05744_; + wire _05745_; + wire _05746_; + wire _05747_; + wire _05748_; + wire _05749_; + wire _05750_; + wire _05751_; + wire _05752_; + wire _05753_; + wire _05754_; + wire _05755_; + wire _05756_; + wire _05757_; + wire _05758_; + wire _05759_; + wire _05760_; + wire _05761_; + wire _05762_; + wire _05763_; + wire _05764_; + wire _05765_; + wire _05766_; + wire _05767_; + wire _05768_; + wire _05769_; + wire _05770_; + wire _05771_; + wire _05772_; + wire _05773_; + wire _05774_; + wire _05775_; + wire _05776_; + wire _05777_; + wire _05778_; + wire _05779_; + wire _05780_; + wire _05781_; + wire _05782_; + wire _05783_; + wire _05784_; + wire _05785_; + wire _05786_; + wire _05787_; + wire _05788_; + wire _05789_; + wire _05790_; + wire _05791_; + wire _05792_; + wire _05793_; + wire _05794_; + wire _05795_; + wire _05796_; + wire _05797_; + wire _05798_; + wire _05799_; + wire _05800_; + wire _05801_; + wire _05802_; + wire _05803_; + wire _05804_; + wire _05805_; + wire _05806_; + wire _05807_; + wire _05808_; + wire _05809_; + wire _05810_; + wire _05811_; + wire _05812_; + wire _05813_; + wire _05814_; + wire _05815_; + wire _05816_; + wire _05817_; + wire _05818_; + wire _05819_; + wire _05820_; + wire _05821_; + wire _05822_; + wire _05823_; + wire _05824_; + wire _05825_; + wire _05826_; + wire _05827_; + wire _05828_; + wire _05829_; + wire _05830_; + wire _05831_; + wire _05832_; + wire _05833_; + wire _05834_; + wire _05835_; + wire _05836_; + wire _05837_; + wire _05838_; + wire _05839_; + wire _05840_; + wire _05841_; + wire _05842_; + wire _05843_; + wire _05844_; + wire _05845_; + wire _05846_; + wire _05847_; + wire _05848_; + wire _05849_; + wire _05850_; + wire _05851_; + wire _05852_; + wire _05853_; + wire _05854_; + wire _05855_; + wire _05856_; + wire _05857_; + wire _05858_; + wire _05859_; + wire _05860_; + wire _05861_; + wire _05862_; + wire _05863_; + wire _05864_; + wire _05865_; + wire _05866_; + wire _05867_; + wire _05868_; + wire _05869_; + wire _05870_; + wire _05871_; + wire _05872_; + wire _05873_; + wire _05874_; + wire _05875_; + wire _05876_; + wire _05877_; + wire _05878_; + wire _05879_; + wire _05880_; + wire _05881_; + wire _05882_; + wire _05883_; + wire _05884_; + wire _05885_; + wire _05886_; + wire _05887_; + wire _05888_; + wire _05889_; + wire _05890_; + wire _05891_; + wire _05892_; + wire _05893_; + wire _05894_; + wire _05895_; + wire _05896_; + wire _05897_; + wire _05898_; + wire _05899_; + wire _05900_; + wire _05901_; + wire _05902_; + wire _05903_; + wire _05904_; + wire _05905_; + wire _05906_; + wire _05907_; + wire _05908_; + wire _05909_; + wire _05910_; + wire _05911_; + wire _05912_; + wire _05913_; + wire _05914_; + wire _05915_; + wire _05916_; + wire _05917_; + wire _05918_; + wire _05919_; + wire _05920_; + wire _05921_; + wire _05922_; + wire _05923_; + wire _05924_; + wire _05925_; + wire _05926_; + wire _05927_; + wire _05928_; + wire _05929_; + wire _05930_; + wire _05931_; + wire _05932_; + wire _05933_; + wire _05934_; + wire _05935_; + wire _05936_; + wire _05937_; + wire _05938_; + wire _05939_; + wire _05940_; + wire _05941_; + wire _05942_; + wire _05943_; + wire _05944_; + wire _05945_; + wire _05946_; + wire _05947_; + wire _05948_; + wire _05949_; + wire _05950_; + wire _05951_; + wire _05952_; + wire _05953_; + wire _05954_; + wire _05955_; + wire _05956_; + wire _05957_; + wire _05958_; + wire _05959_; + wire _05960_; + wire _05961_; + wire _05962_; + wire _05963_; + wire _05964_; + wire _05965_; + wire _05966_; + wire _05967_; + wire _05968_; + wire _05969_; + wire _05970_; + wire _05971_; + wire _05972_; + wire _05973_; + wire _05974_; + wire _05975_; + wire _05976_; + wire _05977_; + wire _05978_; + wire _05979_; + wire _05980_; + wire _05981_; + wire _05982_; + wire _05983_; + wire _05984_; + wire _05985_; + wire _05986_; + wire _05987_; + wire _05988_; + wire _05989_; + wire _05990_; + wire _05991_; + wire _05992_; + wire _05993_; + wire _05994_; + wire _05995_; + wire _05996_; + wire _05997_; + wire _05998_; + wire _05999_; + wire _06000_; + wire _06001_; + wire _06002_; + wire _06003_; + wire _06004_; + wire _06005_; + wire _06006_; + wire _06007_; + wire _06008_; + wire _06009_; + wire _06010_; + wire _06011_; + wire _06012_; + wire _06013_; + wire _06014_; + wire _06015_; + wire _06016_; + wire _06017_; + wire _06018_; + wire _06019_; + wire _06020_; + wire _06021_; + wire _06022_; + wire _06023_; + wire _06024_; + wire _06025_; + wire _06026_; + wire _06027_; + wire _06028_; + wire _06029_; + wire _06030_; + wire _06031_; + wire _06032_; + wire _06033_; + wire _06034_; + wire _06035_; + wire _06036_; + wire _06037_; + wire _06038_; + wire _06039_; + wire _06040_; + wire _06041_; + wire _06042_; + wire _06043_; + wire _06044_; + wire _06045_; + wire _06046_; + wire _06047_; + wire _06048_; + wire _06049_; + wire _06050_; + wire _06051_; + wire _06052_; + wire _06053_; + wire _06054_; + wire _06055_; + wire _06056_; + wire _06057_; + wire _06058_; + wire _06059_; + wire _06060_; + wire _06061_; + wire _06062_; + wire _06063_; + wire _06064_; + wire _06065_; + wire _06066_; + wire _06067_; + wire _06068_; + wire _06069_; + wire _06070_; + wire _06071_; + wire _06072_; + wire _06073_; + wire _06074_; + wire _06075_; + wire _06076_; + wire _06077_; + wire _06078_; + wire _06079_; + wire _06080_; + wire _06081_; + wire _06082_; + wire _06083_; + wire _06084_; + wire _06085_; + wire _06086_; + wire _06087_; + wire _06088_; + wire _06089_; + wire _06090_; + wire _06091_; + wire _06092_; + wire _06093_; + wire _06094_; + wire _06095_; + wire _06096_; + wire _06097_; + wire _06098_; + wire _06099_; + wire _06100_; + wire _06101_; + wire _06102_; + wire _06103_; + wire _06104_; + wire _06105_; + wire _06106_; + wire _06107_; + wire _06108_; + wire _06109_; + wire _06110_; + wire _06111_; + wire _06112_; + wire _06113_; + wire _06114_; + wire _06115_; + wire _06116_; + wire _06117_; + wire _06118_; + wire _06119_; + wire _06120_; + wire _06121_; + wire _06122_; + wire _06123_; + wire _06124_; + wire _06125_; + wire _06126_; + wire _06127_; + wire _06128_; + wire _06129_; + wire _06130_; + wire _06131_; + wire _06132_; + wire _06133_; + wire _06134_; + wire _06135_; + wire _06136_; + wire _06137_; + wire _06138_; + wire _06139_; + wire _06140_; + wire _06141_; + wire _06142_; + wire _06143_; + wire _06144_; + wire _06145_; + wire _06146_; + wire _06147_; + wire _06148_; + wire _06149_; + wire _06150_; + wire _06151_; + wire _06152_; + wire _06153_; + wire _06154_; + wire _06155_; + wire _06156_; + wire _06157_; + wire _06158_; + wire _06159_; + wire _06160_; + wire _06161_; + wire _06162_; + wire _06163_; + wire _06164_; + wire _06165_; + wire _06166_; + wire _06167_; + wire _06168_; + wire _06169_; + wire _06170_; + wire _06171_; + wire _06172_; + wire _06173_; + wire _06174_; + wire _06175_; + wire _06176_; + wire _06177_; + wire _06178_; + wire _06179_; + wire _06180_; + wire _06181_; + wire _06182_; + wire _06183_; + wire _06184_; + wire _06185_; + wire _06186_; + wire _06187_; + wire _06188_; + wire _06189_; + wire _06190_; + wire _06191_; + wire _06192_; + wire _06193_; + wire _06194_; + wire _06195_; + wire _06196_; + wire _06197_; + wire _06198_; + wire _06199_; + wire _06200_; + wire _06201_; + wire _06202_; + wire _06203_; + wire _06204_; + wire _06205_; + wire _06206_; + wire _06207_; + wire _06208_; + wire _06209_; + wire _06210_; + wire _06211_; + wire _06212_; + wire _06213_; + wire _06214_; + wire _06215_; + wire _06216_; + wire _06217_; + wire _06218_; + wire _06219_; + wire _06220_; + wire _06221_; + wire _06222_; + wire _06223_; + wire _06224_; + wire _06225_; + wire _06226_; + wire _06227_; + wire _06228_; + wire _06229_; + wire _06230_; + wire _06231_; + wire _06232_; + wire _06233_; + wire _06234_; + wire _06235_; + wire _06236_; + wire _06237_; + wire _06238_; + wire _06239_; + wire _06240_; + wire _06241_; + wire _06242_; + wire _06243_; + wire _06244_; + wire _06245_; + wire _06246_; + wire _06247_; + wire _06248_; + wire _06249_; + wire _06250_; + wire _06251_; + wire _06252_; + wire _06253_; + wire _06254_; + wire _06255_; + wire _06256_; + wire _06257_; + wire _06258_; + wire _06259_; + wire _06260_; + wire _06261_; + wire _06262_; + wire _06263_; + wire _06264_; + wire _06265_; + wire _06266_; + wire _06267_; + wire _06268_; + wire _06269_; + wire _06270_; + wire _06271_; + wire _06272_; + wire _06273_; + wire _06274_; + wire _06275_; + wire _06276_; + wire _06277_; + wire _06278_; + wire _06279_; + wire _06280_; + wire _06281_; + wire _06282_; + wire _06283_; + wire _06284_; + wire _06285_; + wire _06286_; + wire _06287_; + wire _06288_; + wire _06289_; + wire _06290_; + wire _06291_; + wire _06292_; + wire _06293_; + wire _06294_; + wire _06295_; + wire _06296_; + wire _06297_; + wire _06298_; + wire _06299_; + wire _06300_; + wire _06301_; + wire _06302_; + wire _06303_; + wire _06304_; + wire _06305_; + wire _06306_; + wire _06307_; + wire _06308_; + wire _06309_; + wire _06310_; + wire _06311_; + wire _06312_; + wire _06313_; + wire _06314_; + wire _06315_; + wire _06316_; + wire _06317_; + wire _06318_; + wire _06319_; + wire _06320_; + wire _06321_; + wire _06322_; + wire _06323_; + wire _06324_; + wire _06325_; + wire _06326_; + wire _06327_; + wire _06328_; + wire _06329_; + wire _06330_; + wire _06331_; + wire _06332_; + wire _06333_; + wire _06334_; + wire _06335_; + wire _06336_; + wire _06337_; + wire _06338_; + wire _06339_; + wire _06340_; + wire _06341_; + wire _06342_; + wire _06343_; + wire _06344_; + wire _06345_; + wire _06346_; + wire _06347_; + wire _06348_; + wire _06349_; + wire _06350_; + wire _06351_; + wire _06352_; + wire _06353_; + wire _06354_; + wire _06355_; + wire _06356_; + wire _06357_; + wire _06358_; + wire _06359_; + wire _06360_; + wire _06361_; + wire _06362_; + wire _06363_; + wire _06364_; + wire _06365_; + wire _06366_; + wire _06367_; + wire _06368_; + wire _06369_; + wire _06370_; + wire _06371_; + wire _06372_; + wire _06373_; + wire _06374_; + wire _06375_; + wire _06376_; + wire _06377_; + wire _06378_; + wire _06379_; + wire _06380_; + wire _06381_; + wire _06382_; + wire _06383_; + wire _06384_; + wire _06385_; + wire _06386_; + wire _06387_; + wire _06388_; + wire _06389_; + wire _06390_; + wire _06391_; + wire _06392_; + wire _06393_; + wire _06394_; + wire _06395_; + wire _06396_; + wire _06397_; + wire _06398_; + wire _06399_; + wire _06400_; + wire _06401_; + wire _06402_; + wire _06403_; + wire _06404_; + wire _06405_; + wire _06406_; + wire _06407_; + wire _06408_; + wire _06409_; + wire _06410_; + wire _06411_; + wire _06412_; + wire _06413_; + wire _06414_; + wire _06415_; + wire _06416_; + wire _06417_; + wire _06418_; + wire _06419_; + wire _06420_; + wire _06421_; + wire _06422_; + wire _06423_; + wire _06424_; + wire _06425_; + wire _06426_; + wire _06427_; + wire _06428_; + wire _06429_; + wire _06430_; + wire _06431_; + wire _06432_; + wire _06433_; + wire _06434_; + wire _06435_; + wire _06436_; + wire _06437_; + wire _06438_; + wire _06439_; + wire _06440_; + wire _06441_; + wire _06442_; + wire _06443_; + wire _06444_; + wire _06445_; + wire _06446_; + wire _06447_; + wire _06448_; + wire _06449_; + wire _06450_; + wire _06451_; + wire _06452_; + wire _06453_; + wire _06454_; + wire _06455_; + wire _06456_; + wire _06457_; + wire _06458_; + wire _06459_; + wire _06460_; + wire _06461_; + wire _06462_; + wire _06463_; + wire _06464_; + wire _06465_; + wire _06466_; + wire _06467_; + wire _06468_; + wire _06469_; + wire _06470_; + wire _06471_; + wire _06472_; + wire _06473_; + wire _06474_; + wire _06475_; + wire _06476_; + wire _06477_; + wire _06478_; + wire _06479_; + wire _06480_; + wire _06481_; + wire _06482_; + wire _06483_; + wire _06484_; + wire _06485_; + wire _06486_; + wire _06487_; + wire _06488_; + wire _06489_; + wire _06490_; + wire _06491_; + wire _06492_; + wire _06493_; + wire _06494_; + wire _06495_; + wire _06496_; + wire _06497_; + wire _06498_; + wire _06499_; + wire _06500_; + wire _06501_; + wire _06502_; + wire _06503_; + wire _06504_; + wire _06505_; + wire _06506_; + wire _06507_; + wire _06508_; + wire _06509_; + wire _06510_; + wire _06511_; + wire _06512_; + wire _06513_; + wire _06514_; + wire _06515_; + wire _06516_; + wire _06517_; + wire _06518_; + wire _06519_; + wire _06520_; + wire _06521_; + wire _06522_; + wire _06523_; + wire _06524_; + wire _06525_; + wire _06526_; + wire _06527_; + wire _06528_; + wire _06529_; + wire _06530_; + wire _06531_; + wire _06532_; + wire _06533_; + wire _06534_; + wire _06535_; + wire _06536_; + wire _06537_; + wire _06538_; + wire _06539_; + wire _06540_; + wire _06541_; + wire _06542_; + wire _06543_; + wire _06544_; + wire _06545_; + wire _06546_; + wire _06547_; + wire _06548_; + wire _06549_; + wire _06550_; + wire _06551_; + wire _06552_; + wire _06553_; + wire _06554_; + wire _06555_; + wire _06556_; + wire _06557_; + wire _06558_; + wire _06559_; + wire _06560_; + wire _06561_; + wire _06562_; + wire _06563_; + wire _06564_; + wire _06565_; + wire _06566_; + wire _06567_; + wire _06568_; + wire _06569_; + wire _06570_; + wire _06571_; + wire _06572_; + wire _06573_; + wire _06574_; + wire _06575_; + wire _06576_; + wire _06577_; + wire _06578_; + wire _06579_; + wire _06580_; + wire _06581_; + wire _06582_; + wire _06583_; + wire _06584_; + wire _06585_; + wire _06586_; + wire _06587_; + wire _06588_; + wire _06589_; + wire _06590_; + wire _06591_; + wire _06592_; + wire _06593_; + wire _06594_; + wire _06595_; + wire _06596_; + wire _06597_; + wire _06598_; + wire _06599_; + wire _06600_; + wire _06601_; + wire _06602_; + wire _06603_; + wire _06604_; + wire _06605_; + wire _06606_; + wire _06607_; + wire _06608_; + wire _06609_; + wire _06610_; + wire _06611_; + wire _06612_; + wire _06613_; + wire _06614_; + wire _06615_; + wire _06616_; + wire _06617_; + wire _06618_; + wire _06619_; + wire _06620_; + wire _06621_; + wire _06622_; + wire _06623_; + wire _06624_; + wire _06625_; + wire _06626_; + wire _06627_; + wire _06628_; + wire _06629_; + wire _06630_; + wire _06631_; + wire _06632_; + wire _06633_; + wire _06634_; + wire _06635_; + wire _06636_; + wire _06637_; + wire _06638_; + wire _06639_; + wire _06640_; + wire _06641_; + wire _06642_; + wire _06643_; + wire _06644_; + wire _06645_; + wire _06646_; + wire _06647_; + wire _06648_; + wire _06649_; + wire _06650_; + wire _06651_; + wire _06652_; + wire _06653_; + wire _06654_; + wire _06655_; + wire _06656_; + wire _06657_; + wire _06658_; + wire _06659_; + wire _06660_; + wire _06661_; + wire _06662_; + wire _06663_; + wire _06664_; + wire _06665_; + wire _06666_; + wire _06667_; + wire _06668_; + wire _06669_; + wire _06670_; + wire _06671_; + wire _06672_; + wire _06673_; + wire _06674_; + wire _06675_; + wire _06676_; + wire _06677_; + wire _06678_; + wire _06679_; + wire _06680_; + wire _06681_; + wire _06682_; + wire _06683_; + wire _06684_; + wire _06685_; + wire _06686_; + wire _06687_; + wire _06688_; + wire _06689_; + wire _06690_; + wire _06691_; + wire _06692_; + wire _06693_; + wire _06694_; + wire _06695_; + wire _06696_; + wire _06697_; + wire _06698_; + wire _06699_; + wire _06700_; + wire _06701_; + wire _06702_; + wire _06703_; + wire _06704_; + wire _06705_; + wire _06706_; + wire _06707_; + wire _06708_; + wire _06709_; + wire _06710_; + wire _06711_; + wire _06712_; + wire _06713_; + wire _06714_; + wire _06715_; + wire _06716_; + wire _06717_; + wire _06718_; + wire _06719_; + wire _06720_; + wire _06721_; + wire _06722_; + wire _06723_; + wire _06724_; + wire _06725_; + wire _06726_; + wire _06727_; + wire _06728_; + wire _06729_; + wire _06730_; + wire _06731_; + wire _06732_; + wire _06733_; + wire _06734_; + wire _06735_; + wire _06736_; + wire _06737_; + wire _06738_; + wire _06739_; + wire _06740_; + wire _06741_; + wire _06742_; + wire _06743_; + wire _06744_; + wire _06745_; + wire _06746_; + wire _06747_; + wire _06748_; + wire _06749_; + wire _06750_; + wire _06751_; + wire _06752_; + wire _06753_; + wire _06754_; + wire _06755_; + wire _06756_; + wire _06757_; + wire _06758_; + wire _06759_; + wire _06760_; + wire _06761_; + wire _06762_; + wire _06763_; + wire _06764_; + wire _06765_; + wire _06766_; + wire _06767_; + wire _06768_; + wire _06769_; + wire _06770_; + wire _06771_; + wire _06772_; + wire _06773_; + wire _06774_; + wire _06775_; + wire _06776_; + wire _06777_; + wire _06778_; + wire _06779_; + wire _06780_; + wire _06781_; + wire _06782_; + wire _06783_; + wire _06784_; + wire _06785_; + wire _06786_; + wire _06787_; + wire _06788_; + wire _06789_; + wire _06790_; + wire _06791_; + wire _06792_; + wire _06793_; + wire _06794_; + wire _06795_; + wire _06796_; + wire _06797_; + wire _06798_; + wire _06799_; + wire _06800_; + wire _06801_; + wire _06802_; + wire _06803_; + wire _06804_; + wire _06805_; + wire _06806_; + wire _06807_; + wire _06808_; + wire _06809_; + wire _06810_; + wire _06811_; + wire _06812_; + wire _06813_; + wire _06814_; + wire _06815_; + wire _06816_; + wire _06817_; + wire _06818_; + wire _06819_; + wire _06820_; + wire _06821_; + wire _06822_; + wire _06823_; + wire _06824_; + wire _06825_; + wire _06826_; + wire _06827_; + wire _06828_; + wire _06829_; + wire _06830_; + wire _06831_; + wire _06832_; + wire _06833_; + wire _06834_; + wire _06835_; + wire _06836_; + wire _06837_; + wire _06838_; + wire _06839_; + wire _06840_; + wire _06841_; + wire _06842_; + wire _06843_; + wire _06844_; + wire _06845_; + wire _06846_; + wire _06847_; + wire _06848_; + wire _06849_; + wire _06850_; + wire _06851_; + wire _06852_; + wire _06853_; + wire _06854_; + wire _06855_; + wire _06856_; + wire _06857_; + wire _06858_; + wire _06859_; + wire _06860_; + wire _06861_; + wire _06862_; + wire _06863_; + wire _06864_; + wire _06865_; + wire _06866_; + wire _06867_; + wire _06868_; + wire _06869_; + wire _06870_; + wire _06871_; + wire _06872_; + wire _06873_; + wire _06874_; + wire _06875_; + wire _06876_; + wire _06877_; + wire _06878_; + wire _06879_; + wire _06880_; + wire _06881_; + wire _06882_; + wire _06883_; + wire _06884_; + wire _06885_; + wire _06886_; + wire _06887_; + wire _06888_; + wire _06889_; + wire _06890_; + wire _06891_; + wire _06892_; + wire _06893_; + wire _06894_; + wire _06895_; + wire _06896_; + wire _06897_; + wire _06898_; + wire _06899_; + wire _06900_; + wire _06901_; + wire _06902_; + wire _06903_; + wire _06904_; + wire _06905_; + wire _06906_; + wire _06907_; + wire _06908_; + wire _06909_; + wire _06910_; + wire _06911_; + wire _06912_; + wire _06913_; + wire _06914_; + wire _06915_; + wire _06916_; + wire _06917_; + wire _06918_; + wire _06919_; + wire _06920_; + wire _06921_; + wire _06922_; + wire _06923_; + wire _06924_; + wire _06925_; + wire _06926_; + wire _06927_; + wire _06928_; + wire _06929_; + wire _06930_; + wire _06931_; + wire _06932_; + wire _06933_; + wire _06934_; + wire _06935_; + wire _06936_; + wire _06937_; + wire _06938_; + wire _06939_; + wire _06940_; + wire _06941_; + wire _06942_; + wire _06943_; + wire _06944_; + wire _06945_; + wire _06946_; + wire _06947_; + wire _06948_; + wire _06949_; + wire _06950_; + wire _06951_; + wire _06952_; + wire _06953_; + wire _06954_; + wire _06955_; + wire _06956_; + wire _06957_; + wire _06958_; + wire _06959_; + wire _06960_; + wire _06961_; + wire _06962_; + wire _06963_; + wire _06964_; + wire _06965_; + wire _06966_; + wire _06967_; + wire _06968_; + wire _06969_; + wire _06970_; + wire _06971_; + wire _06972_; + wire _06973_; + wire _06974_; + wire _06975_; + wire _06976_; + wire _06977_; + wire _06978_; + wire _06979_; + wire _06980_; + wire _06981_; + wire _06982_; + wire _06983_; + wire _06984_; + wire _06985_; + wire _06986_; + wire _06987_; + wire _06988_; + wire _06989_; + wire _06990_; + wire _06991_; + wire _06992_; + wire _06993_; + wire _06994_; + wire _06995_; + wire _06996_; + wire _06997_; + wire _06998_; + wire _06999_; + wire _07000_; + wire _07001_; + wire _07002_; + wire _07003_; + wire _07004_; + wire _07005_; + wire _07006_; + wire _07007_; + wire _07008_; + wire _07009_; + wire _07010_; + wire _07011_; + wire _07012_; + wire _07013_; + wire _07014_; + wire _07015_; + wire _07016_; + wire _07017_; + wire _07018_; + wire _07019_; + wire _07020_; + wire _07021_; + wire _07022_; + wire _07023_; + wire _07024_; + wire _07025_; + wire _07026_; + wire _07027_; + wire _07028_; + wire _07029_; + wire _07030_; + wire _07031_; + wire _07032_; + wire _07033_; + wire _07034_; + wire _07035_; + wire _07036_; + wire _07037_; + wire _07038_; + wire _07039_; + wire _07040_; + wire _07041_; + wire _07042_; + wire _07043_; + wire _07044_; + wire _07045_; + wire _07046_; + wire _07047_; + wire _07048_; + wire _07049_; + wire _07050_; + wire _07051_; + wire _07052_; + wire _07053_; + wire _07054_; + wire _07055_; + wire _07056_; + wire _07057_; + wire _07058_; + wire _07059_; + wire _07060_; + wire _07061_; + wire _07062_; + wire _07063_; + wire _07064_; + wire _07065_; + wire _07066_; + wire _07067_; + wire _07068_; + wire _07069_; + wire _07070_; + wire _07071_; + wire _07072_; + wire _07073_; + wire _07074_; + wire _07075_; + wire _07076_; + wire _07077_; + wire _07078_; + wire _07079_; + wire _07080_; + wire _07081_; + wire _07082_; + wire _07083_; + wire _07084_; + wire _07085_; + wire _07086_; + wire _07087_; + wire _07088_; + wire _07089_; + wire _07090_; + wire _07091_; + wire _07092_; + wire _07093_; + wire _07094_; + wire _07095_; + wire _07096_; + wire _07097_; + wire _07098_; + wire _07099_; + wire _07100_; + wire _07101_; + wire _07102_; + wire _07103_; + wire _07104_; + wire _07105_; + wire _07106_; + wire _07107_; + wire _07108_; + wire _07109_; + wire _07110_; + wire _07111_; + wire _07112_; + wire _07113_; + wire _07114_; + wire _07115_; + wire _07116_; + wire _07117_; + wire _07118_; + wire _07119_; + wire _07120_; + wire _07121_; + wire _07122_; + wire _07123_; + wire _07124_; + wire _07125_; + wire _07126_; + wire _07127_; + wire _07128_; + wire _07129_; + wire _07130_; + wire _07131_; + wire _07132_; + wire _07133_; + wire _07134_; + wire _07135_; + wire _07136_; + wire _07137_; + wire _07138_; + wire _07139_; + wire _07140_; + wire _07141_; + wire _07142_; + wire _07143_; + wire _07144_; + wire _07145_; + wire _07146_; + wire _07147_; + wire _07148_; + wire _07149_; + wire _07150_; + wire _07151_; + wire _07152_; + wire _07153_; + wire _07154_; + wire _07155_; + wire _07156_; + wire _07157_; + wire _07158_; + wire _07159_; + wire _07160_; + wire _07161_; + wire _07162_; + wire _07163_; + wire _07164_; + wire _07165_; + wire _07166_; + wire _07167_; + wire _07168_; + wire _07169_; + wire _07170_; + wire _07171_; + wire _07172_; + wire _07173_; + wire _07174_; + wire _07175_; + wire _07176_; + wire _07177_; + wire _07178_; + wire _07179_; + wire _07180_; + wire _07181_; + wire _07182_; + wire _07183_; + wire _07184_; + wire _07185_; + wire _07186_; + wire _07187_; + wire _07188_; + wire _07189_; + wire _07190_; + wire _07191_; + wire _07192_; + wire _07193_; + wire _07194_; + wire _07195_; + wire _07196_; + wire _07197_; + wire _07198_; + wire _07199_; + wire _07200_; + wire _07201_; + wire _07202_; + wire _07203_; + wire _07204_; + wire _07205_; + wire _07206_; + wire _07207_; + wire _07208_; + wire _07209_; + wire _07210_; + wire _07211_; + wire _07212_; + wire _07213_; + wire _07214_; + wire _07215_; + wire _07216_; + wire _07217_; + wire _07218_; + wire _07219_; + wire _07220_; + wire _07221_; + wire _07222_; + wire _07223_; + wire _07224_; + wire _07225_; + wire _07226_; + wire _07227_; + wire _07228_; + wire _07229_; + wire _07230_; + wire _07231_; + wire _07232_; + wire _07233_; + wire _07234_; + wire _07235_; + wire _07236_; + wire _07237_; + wire _07238_; + wire _07239_; + wire _07240_; + wire _07241_; + wire _07242_; + wire _07243_; + wire _07244_; + wire _07245_; + wire _07246_; + wire _07247_; + wire _07248_; + wire _07249_; + wire _07250_; + wire _07251_; + wire _07252_; + wire _07253_; + wire _07254_; + wire _07255_; + wire _07256_; + wire _07257_; + wire _07258_; + wire _07259_; + wire _07260_; + wire _07261_; + wire _07262_; + wire _07263_; + wire _07264_; + wire _07265_; + wire _07266_; + wire _07267_; + wire _07268_; + wire _07269_; + wire _07270_; + wire _07271_; + wire _07272_; + wire _07273_; + wire _07274_; + wire _07275_; + wire _07276_; + wire _07277_; + wire _07278_; + wire _07279_; + wire _07280_; + wire _07281_; + wire _07282_; + wire _07283_; + wire _07284_; + wire _07285_; + wire _07286_; + wire _07287_; + wire _07288_; + wire _07289_; + wire _07290_; + wire _07291_; + wire _07292_; + wire _07293_; + wire _07294_; + wire _07295_; + wire _07296_; + wire _07297_; + wire _07298_; + wire _07299_; + wire _07300_; + wire _07301_; + wire _07302_; + wire _07303_; + wire _07304_; + wire _07305_; + wire _07306_; + wire _07307_; + wire _07308_; + wire _07309_; + wire _07310_; + wire _07311_; + wire _07312_; + wire _07313_; + wire _07314_; + wire _07315_; + wire _07316_; + wire _07317_; + wire _07318_; + wire _07319_; + wire _07320_; + wire _07321_; + wire _07322_; + wire _07323_; + wire _07324_; + wire _07325_; + wire _07326_; + wire _07327_; + wire _07328_; + wire _07329_; + wire _07330_; + wire _07331_; + wire _07332_; + wire _07333_; + wire _07334_; + wire _07335_; + wire _07336_; + wire _07337_; + wire _07338_; + wire _07339_; + wire _07340_; + wire _07341_; + wire _07342_; + wire _07343_; + wire _07344_; + wire _07345_; + wire _07346_; + wire _07347_; + wire _07348_; + wire _07349_; + wire _07350_; + wire _07351_; + wire _07352_; + wire _07353_; + wire _07354_; + wire _07355_; + wire _07356_; + wire _07357_; + wire _07358_; + wire _07359_; + wire _07360_; + wire _07361_; + wire _07362_; + wire _07363_; + wire _07364_; + wire _07365_; + wire _07366_; + wire _07367_; + wire _07368_; + wire _07369_; + wire _07370_; + wire _07371_; + wire _07372_; + wire _07373_; + wire _07374_; + wire _07375_; + wire _07376_; + wire _07377_; + wire _07378_; + wire _07379_; + wire _07380_; + wire _07381_; + wire _07382_; + wire _07383_; + wire _07384_; + wire _07385_; + wire _07386_; + wire _07387_; + wire _07388_; + wire _07389_; + wire _07390_; + wire _07391_; + wire _07392_; + wire _07393_; + wire _07394_; + wire _07395_; + wire _07396_; + wire _07397_; + wire _07398_; + wire _07399_; + wire _07400_; + wire _07401_; + wire _07402_; + wire _07403_; + wire _07404_; + wire _07405_; + wire _07406_; + wire _07407_; + wire _07408_; + wire _07409_; + wire _07410_; + wire _07411_; + wire _07412_; + wire _07413_; + wire _07414_; + wire _07415_; + wire _07416_; + wire _07417_; + wire _07418_; + wire _07419_; + wire _07420_; + wire _07421_; + wire _07422_; + wire _07423_; + wire _07424_; + wire _07425_; + wire _07426_; + wire _07427_; + wire _07428_; + wire _07429_; + wire _07430_; + wire _07431_; + wire _07432_; + wire _07433_; + wire _07434_; + wire _07435_; + wire _07436_; + wire _07437_; + wire _07438_; + wire _07439_; + wire _07440_; + wire _07441_; + wire _07442_; + wire _07443_; + wire _07444_; + wire _07445_; + wire _07446_; + wire _07447_; + wire _07448_; + wire _07449_; + wire _07450_; + wire _07451_; + wire _07452_; + wire _07453_; + wire _07454_; + wire _07455_; + wire _07456_; + wire _07457_; + wire _07458_; + wire _07459_; + wire _07460_; + wire _07461_; + wire _07462_; + wire _07463_; + wire _07464_; + wire _07465_; + wire _07466_; + wire _07467_; + wire _07468_; + wire _07469_; + wire _07470_; + wire _07471_; + wire _07472_; + wire _07473_; + wire _07474_; + wire _07475_; + wire _07476_; + wire _07477_; + wire _07478_; + wire _07479_; + wire _07480_; + wire _07481_; + wire _07482_; + wire _07483_; + wire _07484_; + wire _07485_; + wire _07486_; + wire _07487_; + wire _07488_; + wire _07489_; + wire _07490_; + wire _07491_; + wire _07492_; + wire _07493_; + wire _07494_; + wire _07495_; + wire _07496_; + wire _07497_; + wire _07498_; + wire _07499_; + wire _07500_; + wire _07501_; + wire _07502_; + wire _07503_; + wire _07504_; + wire _07505_; + wire _07506_; + wire _07507_; + wire _07508_; + wire _07509_; + wire _07510_; + wire _07511_; + wire _07512_; + wire _07513_; + wire _07514_; + wire _07515_; + wire _07516_; + wire _07517_; + wire _07518_; + wire _07519_; + wire _07520_; + wire _07521_; + wire _07522_; + wire _07523_; + wire _07524_; + wire _07525_; + wire _07526_; + wire _07527_; + wire _07528_; + wire _07529_; + wire _07530_; + wire _07531_; + wire _07532_; + wire _07533_; + wire _07534_; + wire _07535_; + wire _07536_; + wire _07537_; + wire _07538_; + wire _07539_; + wire _07540_; + wire _07541_; + wire _07542_; + wire _07543_; + wire _07544_; + wire _07545_; + wire _07546_; + wire _07547_; + wire _07548_; + wire _07549_; + wire _07550_; + wire _07551_; + wire _07552_; + wire _07553_; + wire _07554_; + wire _07555_; + wire _07556_; + wire _07557_; + wire _07558_; + wire _07559_; + wire _07560_; + wire _07561_; + wire _07562_; + wire _07563_; + wire _07564_; + wire _07565_; + wire _07566_; + wire _07567_; + wire _07568_; + wire _07569_; + wire _07570_; + wire _07571_; + wire _07572_; + wire _07573_; + wire _07574_; + wire _07575_; + wire _07576_; + wire _07577_; + wire _07578_; + wire _07579_; + wire _07580_; + wire _07581_; + wire _07582_; + wire _07583_; + wire _07584_; + wire _07585_; + wire _07586_; + wire _07587_; + wire _07588_; + wire _07589_; + wire _07590_; + wire _07591_; + wire _07592_; + wire _07593_; + wire _07594_; + wire _07595_; + wire _07596_; + wire _07597_; + wire _07598_; + wire _07599_; + wire _07600_; + wire _07601_; + wire _07602_; + wire _07603_; + wire _07604_; + wire _07605_; + wire _07606_; + wire _07607_; + wire _07608_; + wire _07609_; + wire _07610_; + wire _07611_; + wire _07612_; + wire _07613_; + wire _07614_; + wire _07615_; + wire _07616_; + wire _07617_; + wire _07618_; + wire _07619_; + wire _07620_; + wire _07621_; + wire _07622_; + wire _07623_; + wire _07624_; + wire _07625_; + wire _07626_; + wire _07627_; + wire _07628_; + wire _07629_; + wire _07630_; + wire _07631_; + wire _07632_; + wire _07633_; + wire _07634_; + wire _07635_; + wire _07636_; + wire _07637_; + wire _07638_; + wire _07639_; + wire _07640_; + wire _07641_; + wire _07642_; + wire _07643_; + wire _07644_; + wire _07645_; + wire _07646_; + wire _07647_; + wire _07648_; + wire _07649_; + wire _07650_; + wire _07651_; + wire _07652_; + wire _07653_; + wire _07654_; + wire _07655_; + wire _07656_; + wire _07657_; + wire _07658_; + wire _07659_; + wire _07660_; + wire _07661_; + wire _07662_; + wire _07663_; + wire _07664_; + wire _07665_; + wire _07666_; + wire _07667_; + wire _07668_; + wire _07669_; + wire _07670_; + wire _07671_; + wire _07672_; + wire _07673_; + wire _07674_; + wire _07675_; + wire _07676_; + wire _07677_; + wire _07678_; + wire _07679_; + wire _07680_; + wire _07681_; + wire _07682_; + wire _07683_; + wire _07684_; + wire _07685_; + wire _07686_; + wire _07687_; + wire _07688_; + wire _07689_; + wire _07690_; + wire _07691_; + wire _07692_; + wire _07693_; + wire _07694_; + wire _07695_; + wire _07696_; + wire _07697_; + wire _07698_; + wire _07699_; + wire _07700_; + wire _07701_; + wire _07702_; + wire _07703_; + wire _07704_; + wire _07705_; + wire _07706_; + wire _07707_; + wire _07708_; + wire _07709_; + wire _07710_; + wire _07711_; + wire _07712_; + wire _07713_; + wire _07714_; + wire _07715_; + wire _07716_; + wire _07717_; + wire _07718_; + wire _07719_; + wire _07720_; + wire _07721_; + wire _07722_; + wire _07723_; + wire _07724_; + wire _07725_; + wire _07726_; + wire _07727_; + wire _07728_; + wire _07729_; + wire _07730_; + wire _07731_; + wire _07732_; + wire _07733_; + wire _07734_; + wire _07735_; + wire _07736_; + wire _07737_; + wire _07738_; + wire _07739_; + wire _07740_; + wire _07741_; + wire _07742_; + wire _07743_; + wire _07744_; + wire _07745_; + wire _07746_; + wire _07747_; + wire _07748_; + wire _07749_; + wire _07750_; + wire _07751_; + wire _07752_; + wire _07753_; + wire _07754_; + wire _07755_; + wire _07756_; + wire _07757_; + wire _07758_; + wire _07759_; + wire _07760_; + wire _07761_; + wire _07762_; + wire _07763_; + wire _07764_; + wire _07765_; + wire _07766_; + wire _07767_; + wire _07768_; + wire _07769_; + wire _07770_; + wire _07771_; + wire _07772_; + wire _07773_; + wire _07774_; + wire _07775_; + wire _07776_; + wire _07777_; + wire _07778_; + wire _07779_; + wire _07780_; + wire _07781_; + wire _07782_; + wire _07783_; + wire _07784_; + wire _07785_; + wire _07786_; + wire _07787_; + wire _07788_; + wire _07789_; + wire _07790_; + wire _07791_; + wire _07792_; + wire _07793_; + wire _07794_; + wire _07795_; + wire _07796_; + wire _07797_; + wire _07798_; + wire _07799_; + wire _07800_; + wire _07801_; + wire _07802_; + wire _07803_; + wire _07804_; + wire _07805_; + wire _07806_; + wire _07807_; + wire _07808_; + wire _07809_; + wire _07810_; + wire _07811_; + wire _07812_; + wire _07813_; + wire _07814_; + wire _07815_; + wire _07816_; + wire _07817_; + wire _07818_; + wire _07819_; + wire _07820_; + wire _07821_; + wire _07822_; + wire _07823_; + wire _07824_; + wire _07825_; + wire _07826_; + wire _07827_; + wire _07828_; + wire _07829_; + wire _07830_; + wire _07831_; + wire _07832_; + wire _07833_; + wire _07834_; + wire _07835_; + wire _07836_; + wire _07837_; + wire _07838_; + wire _07839_; + wire _07840_; + wire _07841_; + wire _07842_; + wire _07843_; + wire _07844_; + wire _07845_; + wire _07846_; + wire _07847_; + wire _07848_; + wire _07849_; + wire _07850_; + wire _07851_; + wire _07852_; + wire _07853_; + wire _07854_; + wire _07855_; + wire _07856_; + wire _07857_; + wire _07858_; + wire _07859_; + wire _07860_; + wire _07861_; + wire _07862_; + wire _07863_; + wire _07864_; + wire _07865_; + wire _07866_; + wire _07867_; + wire _07868_; + wire _07869_; + wire _07870_; + wire _07871_; + wire _07872_; + wire _07873_; + wire _07874_; + wire _07875_; + wire _07876_; + wire _07877_; + wire _07878_; + wire _07879_; + wire _07880_; + wire _07881_; + wire _07882_; + wire _07883_; + wire _07884_; + wire _07885_; + wire _07886_; + wire _07887_; + wire _07888_; + wire _07889_; + wire _07890_; + wire _07891_; + wire _07892_; + wire _07893_; + wire _07894_; + wire _07895_; + wire _07896_; + wire _07897_; + wire _07898_; + wire _07899_; + wire _07900_; + wire _07901_; + wire _07902_; + wire _07903_; + wire _07904_; + wire _07905_; + wire _07906_; + wire _07907_; + wire _07908_; + wire _07909_; + wire _07910_; + wire _07911_; + wire _07912_; + wire _07913_; + wire _07914_; + wire _07915_; + wire _07916_; + wire _07917_; + wire _07918_; + wire _07919_; + wire _07920_; + wire _07921_; + wire _07922_; + wire _07923_; + wire _07924_; + wire _07925_; + wire _07926_; + wire _07927_; + wire _07928_; + wire _07929_; + wire _07930_; + wire _07931_; + wire _07932_; + wire _07933_; + wire _07934_; + wire _07935_; + wire _07936_; + wire _07937_; + wire _07938_; + wire _07939_; + wire _07940_; + wire _07941_; + wire _07942_; + wire _07943_; + wire _07944_; + wire _07945_; + wire _07946_; + wire _07947_; + wire _07948_; + wire _07949_; + wire _07950_; + wire _07951_; + wire _07952_; + wire _07953_; + wire _07954_; + wire _07955_; + wire _07956_; + wire _07957_; + wire _07958_; + wire _07959_; + wire _07960_; + wire _07961_; + wire _07962_; + wire _07963_; + wire _07964_; + wire _07965_; + wire _07966_; + wire _07967_; + wire _07968_; + wire _07969_; + wire _07970_; + wire _07971_; + wire _07972_; + wire _07973_; + wire _07974_; + wire _07975_; + wire _07976_; + wire _07977_; + wire _07978_; + wire _07979_; + wire _07980_; + wire _07981_; + wire _07982_; + wire _07983_; + wire _07984_; + wire _07985_; + wire _07986_; + wire _07987_; + wire _07988_; + wire _07989_; + wire _07990_; + wire _07991_; + wire _07992_; + wire _07993_; + wire _07994_; + wire _07995_; + wire _07996_; + wire _07997_; + wire _07998_; + wire _07999_; + wire _08000_; + wire _08001_; + wire _08002_; + wire _08003_; + wire _08004_; + wire _08005_; + wire _08006_; + wire _08007_; + wire _08008_; + wire _08009_; + wire _08010_; + wire _08011_; + wire _08012_; + wire _08013_; + wire _08014_; + wire _08015_; + wire _08016_; + wire _08017_; + wire _08018_; + wire _08019_; + wire _08020_; + wire _08021_; + wire _08022_; + wire _08023_; + wire _08024_; + wire _08025_; + wire _08026_; + wire _08027_; + wire _08028_; + wire _08029_; + wire _08030_; + wire _08031_; + wire _08032_; + wire _08033_; + wire _08034_; + wire _08035_; + wire _08036_; + wire _08037_; + wire _08038_; + wire _08039_; + wire _08040_; + wire _08041_; + wire _08042_; + wire _08043_; + wire _08044_; + wire _08045_; + wire _08046_; + wire _08047_; + wire _08048_; + wire _08049_; + wire _08050_; + wire _08051_; + wire _08052_; + wire _08053_; + wire _08054_; + wire _08055_; + wire _08056_; + wire _08057_; + wire _08058_; + wire _08059_; + wire _08060_; + wire _08061_; + wire _08062_; + wire _08063_; + wire _08064_; + wire _08065_; + wire _08066_; + wire _08067_; + wire _08068_; + wire _08069_; + wire _08070_; + wire _08071_; + wire _08072_; + wire _08073_; + wire _08074_; + wire _08075_; + wire _08076_; + wire _08077_; + wire _08078_; + wire _08079_; + wire _08080_; + wire _08081_; + wire _08082_; + wire _08083_; + wire _08084_; + wire _08085_; + wire _08086_; + wire _08087_; + wire _08088_; + wire _08089_; + wire _08090_; + wire _08091_; + wire _08092_; + wire _08093_; + wire _08094_; + wire _08095_; + wire _08096_; + wire _08097_; + wire _08098_; + wire _08099_; + wire _08100_; + wire _08101_; + wire _08102_; + wire _08103_; + wire _08104_; + wire _08105_; + wire _08106_; + wire _08107_; + wire _08108_; + wire _08109_; + wire _08110_; + wire _08111_; + wire _08112_; + wire _08113_; + wire _08114_; + wire _08115_; + wire _08116_; + wire _08117_; + wire _08118_; + wire _08119_; + wire _08120_; + wire _08121_; + wire _08122_; + wire _08123_; + wire _08124_; + wire _08125_; + wire _08126_; + wire _08127_; + wire _08128_; + wire _08129_; + wire _08130_; + wire _08131_; + wire _08132_; + wire _08133_; + wire _08134_; + wire _08135_; + wire _08136_; + wire _08137_; + wire _08138_; + wire _08139_; + wire _08140_; + wire _08141_; + wire _08142_; + wire _08143_; + wire _08144_; + wire _08145_; + wire _08146_; + wire _08147_; + wire _08148_; + wire _08149_; + wire _08150_; + wire _08151_; + wire _08152_; + wire _08153_; + wire _08154_; + wire _08155_; + wire _08156_; + wire _08157_; + wire _08158_; + wire _08159_; + wire _08160_; + wire _08161_; + wire _08162_; + wire _08163_; + wire _08164_; + wire _08165_; + wire _08166_; + wire _08167_; + wire _08168_; + wire _08169_; + wire _08170_; + wire _08171_; + wire _08172_; + wire _08173_; + wire _08174_; + wire _08175_; + wire _08176_; + wire _08177_; + wire _08178_; + wire _08179_; + wire _08180_; + wire _08181_; + wire _08182_; + wire _08183_; + wire _08184_; + wire _08185_; + wire _08186_; + wire _08187_; + wire _08188_; + wire _08189_; + wire _08190_; + wire _08191_; + wire _08192_; + wire _08193_; + wire _08194_; + wire _08195_; + wire _08196_; + wire _08197_; + wire _08198_; + wire _08199_; + wire _08200_; + wire _08201_; + wire _08202_; + wire _08203_; + wire _08204_; + wire _08205_; + wire _08206_; + wire _08207_; + wire _08208_; + wire _08209_; + wire _08210_; + wire _08211_; + wire _08212_; + wire _08213_; + wire _08214_; + wire _08215_; + wire _08216_; + wire _08217_; + wire _08218_; + wire _08219_; + wire _08220_; + wire _08221_; + wire _08222_; + wire _08223_; + wire _08224_; + wire _08225_; + wire _08226_; + wire _08227_; + wire _08228_; + wire _08229_; + wire _08230_; + wire _08231_; + wire _08232_; + wire _08233_; + wire _08234_; + wire _08235_; + wire _08236_; + wire _08237_; + wire _08238_; + wire _08239_; + wire _08240_; + wire _08241_; + wire _08242_; + wire _08243_; + wire _08244_; + wire _08245_; + wire _08246_; + wire _08247_; + wire _08248_; + wire _08249_; + wire _08250_; + wire _08251_; + wire _08252_; + wire _08253_; + wire _08254_; + wire _08255_; + wire _08256_; + wire _08257_; + wire _08258_; + wire _08259_; + wire _08260_; + wire _08261_; + wire _08262_; + wire _08263_; + wire _08264_; + wire _08265_; + wire _08266_; + wire _08267_; + wire _08268_; + wire _08269_; + wire _08270_; + wire _08271_; + wire _08272_; + wire _08273_; + wire _08274_; + wire _08275_; + wire _08276_; + wire _08277_; + wire _08278_; + wire _08279_; + wire _08280_; + wire _08281_; + wire _08282_; + wire _08283_; + wire _08284_; + wire _08285_; + wire _08286_; + wire _08287_; + wire _08288_; + wire _08289_; + wire _08290_; + wire _08291_; + wire _08292_; + wire _08293_; + wire _08294_; + wire _08295_; + wire _08296_; + wire _08297_; + wire _08298_; + wire _08299_; + wire _08300_; + wire _08301_; + wire _08302_; + wire _08303_; + wire _08304_; + wire _08305_; + wire _08306_; + wire _08307_; + wire _08308_; + wire _08309_; + wire _08310_; + wire _08311_; + wire _08312_; + wire _08313_; + wire _08314_; + wire _08315_; + wire _08316_; + wire _08317_; + wire _08318_; + wire _08319_; + wire _08320_; + wire _08321_; + wire _08322_; + wire _08323_; + wire _08324_; + wire _08325_; + wire _08326_; + wire _08327_; + wire _08328_; + wire _08329_; + wire _08330_; + wire _08331_; + wire _08332_; + wire _08333_; + wire _08334_; + wire _08335_; + wire _08336_; + wire _08337_; + wire _08338_; + wire _08339_; + wire _08340_; + wire _08341_; + wire _08342_; + wire _08343_; + wire _08344_; + wire _08345_; + wire _08346_; + wire _08347_; + wire _08348_; + wire _08349_; + wire _08350_; + wire _08351_; + wire _08352_; + wire _08353_; + wire _08354_; + wire _08355_; + wire _08356_; + wire _08357_; + wire _08358_; + wire _08359_; + wire _08360_; + wire _08361_; + wire _08362_; + wire _08363_; + wire _08364_; + wire _08365_; + wire _08366_; + wire _08367_; + wire _08368_; + wire _08369_; + wire _08370_; + wire _08371_; + wire _08372_; + wire _08373_; + wire _08374_; + wire _08375_; + wire _08376_; + wire _08377_; + wire _08378_; + wire _08379_; + wire _08380_; + wire _08381_; + wire _08382_; + wire _08383_; + wire _08384_; + wire _08385_; + wire _08386_; + wire _08387_; + wire _08388_; + wire _08389_; + wire _08390_; + wire _08391_; + wire _08392_; + wire _08393_; + wire _08394_; + wire _08395_; + wire _08396_; + wire _08397_; + wire _08398_; + wire _08399_; + wire _08400_; + wire _08401_; + wire _08402_; + wire _08403_; + wire _08404_; + wire _08405_; + wire _08406_; + wire _08407_; + wire _08408_; + wire _08409_; + wire _08410_; + wire _08411_; + wire _08412_; + wire _08413_; + wire _08414_; + wire _08415_; + wire _08416_; + wire _08417_; + wire _08418_; + wire _08419_; + wire _08420_; + wire _08421_; + wire _08422_; + wire _08423_; + wire _08424_; + wire _08425_; + wire _08426_; + wire _08427_; + wire _08428_; + wire _08429_; + wire _08430_; + wire _08431_; + wire _08432_; + wire _08433_; + wire _08434_; + wire _08435_; + wire _08436_; + wire _08437_; + wire _08438_; + wire _08439_; + wire _08440_; + wire _08441_; + wire _08442_; + wire _08443_; + wire _08444_; + wire _08445_; + wire _08446_; + wire _08447_; + wire _08448_; + wire _08449_; + wire _08450_; + wire _08451_; + wire _08452_; + wire _08453_; + wire _08454_; + wire _08455_; + wire _08456_; + wire _08457_; + wire _08458_; + wire _08459_; + wire _08460_; + wire _08461_; + wire _08462_; + wire _08463_; + wire _08464_; + wire _08465_; + wire _08466_; + wire _08467_; + wire _08468_; + wire _08469_; + wire _08470_; + wire _08471_; + wire _08472_; + wire _08473_; + wire _08474_; + wire _08475_; + wire _08476_; + wire _08477_; + wire _08478_; + wire _08479_; + wire _08480_; + wire _08481_; + wire _08482_; + wire _08483_; + wire _08484_; + wire _08485_; + wire _08486_; + wire _08487_; + wire _08488_; + wire _08489_; + wire _08490_; + wire _08491_; + wire _08492_; + wire _08493_; + wire _08494_; + wire _08495_; + wire _08496_; + wire _08497_; + wire _08498_; + wire _08499_; + wire _08500_; + wire _08501_; + wire _08502_; + wire _08503_; + wire _08504_; + wire _08505_; + wire _08506_; + wire _08507_; + wire _08508_; + wire _08509_; + wire _08510_; + wire _08511_; + wire _08512_; + wire _08513_; + wire _08514_; + wire _08515_; + wire _08516_; + wire _08517_; + wire _08518_; + wire _08519_; + wire _08520_; + wire _08521_; + wire _08522_; + wire _08523_; + wire _08524_; + wire _08525_; + wire _08526_; + wire _08527_; + wire _08528_; + wire _08529_; + wire _08530_; + wire _08531_; + wire _08532_; + wire _08533_; + wire _08534_; + wire _08535_; + wire _08536_; + wire _08537_; + wire _08538_; + wire _08539_; + wire _08540_; + wire _08541_; + wire _08542_; + wire _08543_; + wire _08544_; + wire _08545_; + wire _08546_; + wire _08547_; + wire _08548_; + wire _08549_; + wire _08550_; + wire _08551_; + wire _08552_; + wire _08553_; + wire _08554_; + wire _08555_; + wire _08556_; + wire _08557_; + wire _08558_; + wire _08559_; + wire _08560_; + wire _08561_; + wire _08562_; + wire _08563_; + wire _08564_; + wire _08565_; + wire _08566_; + wire _08567_; + wire _08568_; + wire _08569_; + wire _08570_; + wire _08571_; + wire _08572_; + wire _08573_; + wire _08574_; + wire _08575_; + wire _08576_; + wire _08577_; + wire _08578_; + wire _08579_; + wire _08580_; + wire _08581_; + wire _08582_; + wire _08583_; + wire _08584_; + wire _08585_; + wire _08586_; + wire _08587_; + wire _08588_; + wire _08589_; + wire _08590_; + wire _08591_; + wire _08592_; + wire _08593_; + wire _08594_; + wire _08595_; + wire _08596_; + wire _08597_; + wire _08598_; + wire _08599_; + wire _08600_; + wire _08601_; + wire _08602_; + wire _08603_; + wire _08604_; + wire _08605_; + wire _08606_; + wire _08607_; + wire _08608_; + wire _08609_; + wire _08610_; + wire _08611_; + wire _08612_; + wire _08613_; + wire _08614_; + wire _08615_; + wire _08616_; + wire _08617_; + wire _08618_; + wire _08619_; + wire _08620_; + wire _08621_; + wire _08622_; + wire _08623_; + wire _08624_; + wire _08625_; + wire _08626_; + wire _08627_; + wire _08628_; + wire _08629_; + wire _08630_; + wire _08631_; + wire _08632_; + wire _08633_; + wire _08634_; + wire _08635_; + wire _08636_; + wire _08637_; + wire _08638_; + wire _08639_; + wire _08640_; + wire _08641_; + wire _08642_; + wire _08643_; + wire _08644_; + wire _08645_; + wire _08646_; + wire _08647_; + wire _08648_; + wire _08649_; + wire _08650_; + wire _08651_; + wire _08652_; + wire _08653_; + wire _08654_; + wire _08655_; + wire _08656_; + wire _08657_; + wire _08658_; + wire _08659_; + wire _08660_; + wire _08661_; + wire _08662_; + wire _08663_; + wire _08664_; + wire _08665_; + wire _08666_; + wire _08667_; + wire _08668_; + wire _08669_; + wire _08670_; + wire _08671_; + wire _08672_; + wire _08673_; + wire _08674_; + wire _08675_; + wire _08676_; + wire _08677_; + wire _08678_; + wire _08679_; + wire _08680_; + wire _08681_; + wire _08682_; + wire _08683_; + wire _08684_; + wire _08685_; + wire _08686_; + wire _08687_; + wire _08688_; + wire _08689_; + wire _08690_; + wire _08691_; + wire _08692_; + wire _08693_; + wire _08694_; + wire _08695_; + wire _08696_; + wire _08697_; + wire _08698_; + wire _08699_; + wire _08700_; + wire _08701_; + wire _08702_; + wire _08703_; + wire _08704_; + wire _08705_; + wire _08706_; + wire _08707_; + wire _08708_; + wire _08709_; + wire _08710_; + wire _08711_; + wire _08712_; + wire _08713_; + wire _08714_; + wire _08715_; + wire _08716_; + wire _08717_; + wire _08718_; + wire _08719_; + wire _08720_; + wire _08721_; + wire _08722_; + wire _08723_; + wire _08724_; + wire _08725_; + wire _08726_; + wire _08727_; + wire _08728_; + wire _08729_; + wire _08730_; + wire _08731_; + wire _08732_; + wire _08733_; + wire _08734_; + wire _08735_; + wire _08736_; + wire _08737_; + wire _08738_; + wire _08739_; + wire _08740_; + wire _08741_; + wire _08742_; + wire _08743_; + wire _08744_; + wire _08745_; + wire _08746_; + wire _08747_; + wire _08748_; + wire _08749_; + wire _08750_; + wire _08751_; + wire _08752_; + wire _08753_; + wire _08754_; + wire _08755_; + wire _08756_; + wire _08757_; + wire _08758_; + wire _08759_; + wire _08760_; + wire _08761_; + wire _08762_; + wire _08763_; + wire _08764_; + wire _08765_; + wire _08766_; + wire _08767_; + wire _08768_; + wire _08769_; + wire _08770_; + wire _08771_; + wire _08772_; + wire _08773_; + wire _08774_; + wire _08775_; + wire _08776_; + wire _08777_; + wire _08778_; + wire _08779_; + wire _08780_; + wire _08781_; + wire _08782_; + wire _08783_; + wire _08784_; + wire _08785_; + wire _08786_; + wire _08787_; + wire _08788_; + wire _08789_; + wire _08790_; + wire _08791_; + wire _08792_; + wire _08793_; + wire _08794_; + wire _08795_; + wire _08796_; + wire _08797_; + wire _08798_; + wire _08799_; + wire _08800_; + wire _08801_; + wire _08802_; + wire _08803_; + wire _08804_; + wire _08805_; + wire _08806_; + wire _08807_; + wire _08808_; + wire _08809_; + wire _08810_; + wire _08811_; + wire _08812_; + wire _08813_; + wire _08814_; + wire _08815_; + wire _08816_; + wire _08817_; + wire _08818_; + wire _08819_; + wire _08820_; + wire _08821_; + wire _08822_; + wire _08823_; + wire _08824_; + wire _08825_; + wire _08826_; + wire _08827_; + wire _08828_; + wire _08829_; + wire _08830_; + wire _08831_; + wire _08832_; + wire _08833_; + wire _08834_; + wire _08835_; + wire _08836_; + wire _08837_; + wire _08838_; + wire _08839_; + wire _08840_; + wire _08841_; + wire _08842_; + wire _08843_; + wire _08844_; + wire _08845_; + wire _08846_; + wire _08847_; + wire _08848_; + wire _08849_; + wire _08850_; + wire _08851_; + wire _08852_; + wire _08853_; + wire _08854_; + wire _08855_; + wire _08856_; + wire _08857_; + wire _08858_; + wire _08859_; + wire _08860_; + wire _08861_; + wire _08862_; + wire _08863_; + wire _08864_; + wire _08865_; + wire _08866_; + wire _08867_; + wire _08868_; + wire _08869_; + wire _08870_; + wire _08871_; + wire _08872_; + wire _08873_; + wire _08874_; + wire _08875_; + wire _08876_; + wire _08877_; + wire _08878_; + wire _08879_; + wire _08880_; + wire _08881_; + wire _08882_; + wire _08883_; + wire _08884_; + wire _08885_; + wire _08886_; + wire _08887_; + wire _08888_; + wire _08889_; + wire _08890_; + wire _08891_; + wire _08892_; + wire _08893_; + wire _08894_; + wire _08895_; + wire _08896_; + wire _08897_; + wire _08898_; + wire _08899_; + wire _08900_; + wire _08901_; + wire _08902_; + wire _08903_; + wire _08904_; + wire _08905_; + wire _08906_; + wire _08907_; + wire _08908_; + wire _08909_; + wire _08910_; + wire _08911_; + wire _08912_; + wire _08913_; + wire _08914_; + wire _08915_; + wire _08916_; + wire _08917_; + wire _08918_; + wire _08919_; + wire _08920_; + wire _08921_; + wire _08922_; + wire _08923_; + wire _08924_; + wire _08925_; + wire _08926_; + wire _08927_; + wire _08928_; + wire _08929_; + wire _08930_; + wire _08931_; + wire _08932_; + wire _08933_; + wire _08934_; + wire _08935_; + wire _08936_; + wire _08937_; + wire _08938_; + wire _08939_; + wire _08940_; + wire _08941_; + wire _08942_; + wire _08943_; + wire _08944_; + wire _08945_; + wire _08946_; + wire _08947_; + wire _08948_; + wire _08949_; + wire _08950_; + wire _08951_; + wire _08952_; + wire _08953_; + wire _08954_; + wire _08955_; + wire _08956_; + wire _08957_; + wire _08958_; + wire _08959_; + wire _08960_; + wire _08961_; + wire _08962_; + wire _08963_; + wire _08964_; + wire _08965_; + wire _08966_; + wire _08967_; + wire _08968_; + wire _08969_; + wire _08970_; + wire _08971_; + wire _08972_; + wire _08973_; + wire _08974_; + wire _08975_; + wire _08976_; + wire _08977_; + wire _08978_; + wire _08979_; + wire _08980_; + wire _08981_; + wire _08982_; + wire _08983_; + wire _08984_; + wire _08985_; + wire _08986_; + wire _08987_; + wire _08988_; + wire _08989_; + wire _08990_; + wire _08991_; + wire _08992_; + wire _08993_; + wire _08994_; + wire _08995_; + wire _08996_; + wire _08997_; + wire _08998_; + wire _08999_; + wire _09000_; + wire _09001_; + wire _09002_; + wire _09003_; + wire _09004_; + wire _09005_; + wire _09006_; + wire _09007_; + wire _09008_; + wire _09009_; + wire _09010_; + wire _09011_; + wire _09012_; + wire _09013_; + wire _09014_; + wire _09015_; + wire _09016_; + wire _09017_; + wire _09018_; + wire _09019_; + wire _09020_; + wire _09021_; + wire _09022_; + wire _09023_; + wire _09024_; + wire _09025_; + wire _09026_; + wire _09027_; + wire _09028_; + wire _09029_; + wire _09030_; + wire _09031_; + wire _09032_; + wire _09033_; + wire _09034_; + wire _09035_; + wire _09036_; + wire _09037_; + wire _09038_; + wire _09039_; + wire _09040_; + wire _09041_; + wire _09042_; + wire _09043_; + wire _09044_; + wire _09045_; + wire _09046_; + wire _09047_; + wire _09048_; + wire _09049_; + wire _09050_; + wire _09051_; + wire _09052_; + wire _09053_; + wire _09054_; + wire _09055_; + wire _09056_; + wire _09057_; + wire _09058_; + wire _09059_; + wire _09060_; + wire _09061_; + wire _09062_; + wire _09063_; + wire _09064_; + wire _09065_; + wire _09066_; + wire _09067_; + wire _09068_; + wire _09069_; + wire _09070_; + wire _09071_; + wire _09072_; + wire _09073_; + wire _09074_; + wire _09075_; + wire _09076_; + wire _09077_; + wire _09078_; + wire _09079_; + wire _09080_; + wire _09081_; + wire _09082_; + wire _09083_; + wire _09084_; + wire _09085_; + wire _09086_; + wire _09087_; + wire _09088_; + wire _09089_; + wire _09090_; + wire _09091_; + wire _09092_; + wire _09093_; + wire _09094_; + wire _09095_; + wire _09096_; + wire _09097_; + wire _09098_; + wire _09099_; + wire _09100_; + wire _09101_; + wire _09102_; + wire _09103_; + wire _09104_; + wire _09105_; + wire _09106_; + wire _09107_; + wire _09108_; + wire _09109_; + wire _09110_; + wire _09111_; + wire _09112_; + wire _09113_; + wire _09114_; + wire _09115_; + wire _09116_; + wire _09117_; + wire _09118_; + wire _09119_; + wire _09120_; + wire _09121_; + wire _09122_; + wire _09123_; + wire _09124_; + wire _09125_; + wire _09126_; + wire _09127_; + wire _09128_; + wire _09129_; + wire _09130_; + wire _09131_; + wire _09132_; + wire _09133_; + wire _09134_; + wire _09135_; + wire _09136_; + wire _09137_; + wire _09138_; + wire _09139_; + wire _09140_; + wire _09141_; + wire _09142_; + wire _09143_; + wire _09144_; + wire _09145_; + wire _09146_; + wire _09147_; + wire _09148_; + wire _09149_; + wire _09150_; + wire _09151_; + wire _09152_; + wire _09153_; + wire _09154_; + wire _09155_; + wire _09156_; + wire _09157_; + wire _09158_; + wire _09159_; + wire _09160_; + wire _09161_; + wire _09162_; + wire _09163_; + wire _09164_; + wire _09165_; + wire _09166_; + wire _09167_; + wire _09168_; + wire _09169_; + wire _09170_; + wire _09171_; + wire _09172_; + wire _09173_; + wire _09174_; + wire _09175_; + wire _09176_; + wire _09177_; + wire _09178_; + wire _09179_; + wire _09180_; + wire _09181_; + wire _09182_; + wire _09183_; + wire _09184_; + wire _09185_; + wire _09186_; + wire _09187_; + wire _09188_; + wire _09189_; + wire _09190_; + wire _09191_; + wire _09192_; + wire _09193_; + wire _09194_; + wire _09195_; + wire _09196_; + wire _09197_; + wire _09198_; + wire _09199_; + wire _09200_; + wire _09201_; + wire _09202_; + wire _09203_; + wire _09204_; + wire _09205_; + wire _09206_; + wire _09207_; + wire _09208_; + wire _09209_; + wire _09210_; + wire _09211_; + wire _09212_; + wire _09213_; + wire _09214_; + wire _09215_; + wire _09216_; + wire _09217_; + wire _09218_; + wire _09219_; + wire _09220_; + wire _09221_; + wire _09222_; + wire _09223_; + wire _09224_; + wire _09225_; + wire _09226_; + wire _09227_; + wire _09228_; + wire _09229_; + wire _09230_; + wire _09231_; + wire _09232_; + wire _09233_; + wire _09234_; + wire _09235_; + wire _09236_; + wire _09237_; + wire _09238_; + wire _09239_; + wire _09240_; + wire _09241_; + wire _09242_; + wire _09243_; + wire _09244_; + wire _09245_; + wire _09246_; + wire _09247_; + wire _09248_; + wire _09249_; + wire _09250_; + wire _09251_; + wire _09252_; + wire _09253_; + wire _09254_; + wire _09255_; + wire _09256_; + wire _09257_; + wire _09258_; + wire _09259_; + wire _09260_; + wire _09261_; + wire _09262_; + wire _09263_; + wire _09264_; + wire _09265_; + wire _09266_; + wire _09267_; + wire _09268_; + wire _09269_; + wire _09270_; + wire _09271_; + wire _09272_; + wire _09273_; + wire _09274_; + wire _09275_; + wire _09276_; + wire _09277_; + wire _09278_; + wire _09279_; + wire _09280_; + wire _09281_; + wire _09282_; + wire _09283_; + wire _09284_; + wire _09285_; + wire _09286_; + wire _09287_; + wire _09288_; + wire _09289_; + wire _09290_; + wire _09291_; + wire _09292_; + wire _09293_; + wire _09294_; + wire _09295_; + wire _09296_; + wire _09297_; + wire _09298_; + wire _09299_; + wire _09300_; + wire _09301_; + wire _09302_; + wire _09303_; + wire _09304_; + wire _09305_; + wire _09306_; + wire _09307_; + wire _09308_; + wire _09309_; + wire _09310_; + wire _09311_; + wire _09312_; + wire _09313_; + wire _09314_; + wire _09315_; + wire _09316_; + wire _09317_; + wire _09318_; + wire _09319_; + wire _09320_; + wire _09321_; + wire _09322_; + wire _09323_; + wire _09324_; + wire _09325_; + wire _09326_; + wire _09327_; + wire _09328_; + wire _09329_; + wire _09330_; + wire _09331_; + wire _09332_; + wire _09333_; + wire _09334_; + wire _09335_; + wire _09336_; + wire _09337_; + wire _09338_; + wire _09339_; + wire _09340_; + wire _09341_; + wire _09342_; + wire _09343_; + wire _09344_; + wire _09345_; + wire _09346_; + wire _09347_; + wire _09348_; + wire _09349_; + wire _09350_; + wire _09351_; + wire _09352_; + wire _09353_; + wire _09354_; + wire _09355_; + wire _09356_; + wire _09357_; + wire _09358_; + wire _09359_; + wire _09360_; + wire _09361_; + wire _09362_; + wire _09363_; + wire _09364_; + wire _09365_; + wire _09366_; + wire _09367_; + wire _09368_; + wire _09369_; + wire _09370_; + wire _09371_; + wire _09372_; + wire _09373_; + wire _09374_; + wire _09375_; + wire _09376_; + wire _09377_; + wire _09378_; + wire _09379_; + wire _09380_; + wire _09381_; + wire _09382_; + wire _09383_; + wire _09384_; + wire _09385_; + wire _09386_; + wire _09387_; + wire _09388_; + wire _09389_; + wire _09390_; + wire _09391_; + wire _09392_; + wire _09393_; + wire _09394_; + wire _09395_; + wire _09396_; + wire _09397_; + wire _09398_; + wire _09399_; + wire _09400_; + wire _09401_; + wire _09402_; + wire _09403_; + wire _09404_; + wire _09405_; + wire _09406_; + wire _09407_; + wire _09408_; + wire _09409_; + wire _09410_; + wire _09411_; + wire _09412_; + wire _09413_; + wire _09414_; + wire _09415_; + wire _09416_; + wire _09417_; + wire _09418_; + wire _09419_; + wire _09420_; + wire _09421_; + wire _09422_; + wire _09423_; + wire _09424_; + wire _09425_; + wire _09426_; + wire _09427_; + wire _09428_; + wire _09429_; + wire _09430_; + wire _09431_; + wire _09432_; + wire _09433_; + wire _09434_; + wire _09435_; + wire _09436_; + wire _09437_; + wire _09438_; + wire _09439_; + wire _09440_; + wire _09441_; + wire _09442_; + wire _09443_; + wire _09444_; + wire _09445_; + wire _09446_; + wire _09447_; + wire _09448_; + wire _09449_; + wire _09450_; + wire _09451_; + wire _09452_; + wire _09453_; + wire _09454_; + wire _09455_; + wire _09456_; + wire _09457_; + wire _09458_; + wire _09459_; + wire _09460_; + wire _09461_; + wire _09462_; + wire _09463_; + wire _09464_; + wire _09465_; + wire _09466_; + wire _09467_; + wire _09468_; + wire _09469_; + wire _09470_; + wire _09471_; + wire _09472_; + wire _09473_; + wire _09474_; + wire _09475_; + wire _09476_; + wire _09477_; + wire _09478_; + wire _09479_; + wire _09480_; + wire _09481_; + wire _09482_; + wire _09483_; + wire _09484_; + wire _09485_; + wire _09486_; + wire _09487_; + wire _09488_; + wire _09489_; + wire _09490_; + wire _09491_; + wire _09492_; + wire _09493_; + wire _09494_; + wire _09495_; + wire _09496_; + wire _09497_; + wire _09498_; + wire _09499_; + wire _09500_; + wire _09501_; + wire _09502_; + wire _09503_; + wire _09504_; + wire _09505_; + wire _09506_; + wire _09507_; + wire _09508_; + wire _09509_; + wire _09510_; + wire _09511_; + wire _09512_; + wire _09513_; + wire _09514_; + wire _09515_; + wire _09516_; + wire _09517_; + wire _09518_; + wire _09519_; + wire _09520_; + wire _09521_; + wire _09522_; + wire _09523_; + wire _09524_; + wire _09525_; + wire _09526_; + wire _09527_; + wire _09528_; + wire _09529_; + wire _09530_; + wire _09531_; + wire _09532_; + wire _09533_; + wire _09534_; + wire _09535_; + wire _09536_; + wire _09537_; + wire _09538_; + wire _09539_; + wire _09540_; + wire _09541_; + wire _09542_; + wire _09543_; + wire _09544_; + wire _09545_; + wire _09546_; + wire _09547_; + wire _09548_; + wire _09549_; + wire _09550_; + wire _09551_; + wire _09552_; + wire _09553_; + wire _09554_; + wire _09555_; + wire _09556_; + wire _09557_; + wire _09558_; + wire _09559_; + wire _09560_; + wire _09561_; + wire _09562_; + wire _09563_; + wire _09564_; + wire _09565_; + wire _09566_; + wire _09567_; + wire _09568_; + wire _09569_; + wire _09570_; + wire _09571_; + wire _09572_; + wire _09573_; + wire _09574_; + wire _09575_; + wire _09576_; + wire _09577_; + wire _09578_; + wire _09579_; + wire _09580_; + wire _09581_; + wire _09582_; + wire _09583_; + wire _09584_; + wire _09585_; + wire _09586_; + wire _09587_; + wire _09588_; + wire _09589_; + wire _09590_; + wire _09591_; + wire _09592_; + wire _09593_; + wire _09594_; + wire _09595_; + wire _09596_; + wire _09597_; + wire _09598_; + wire _09599_; + wire _09600_; + wire _09601_; + wire _09602_; + wire _09603_; + wire _09604_; + wire _09605_; + wire _09606_; + wire _09607_; + wire _09608_; + wire _09609_; + wire _09610_; + wire _09611_; + wire _09612_; + wire _09613_; + wire _09614_; + wire _09615_; + wire _09616_; + wire _09617_; + wire _09618_; + wire _09619_; + wire _09620_; + wire _09621_; + wire _09622_; + wire _09623_; + wire _09624_; + wire _09625_; + wire _09626_; + wire _09627_; + wire _09628_; + wire _09629_; + wire _09630_; + wire _09631_; + wire _09632_; + wire _09633_; + wire _09634_; + wire _09635_; + wire _09636_; + wire _09637_; + wire _09638_; + wire _09639_; + wire _09640_; + wire _09641_; + wire _09642_; + wire _09643_; + wire _09644_; + wire _09645_; + wire _09646_; + wire _09647_; + wire _09648_; + wire _09649_; + wire _09650_; + wire _09651_; + wire _09652_; + wire _09653_; + wire _09654_; + wire _09655_; + wire _09656_; + wire _09657_; + wire _09658_; + wire _09659_; + wire _09660_; + wire _09661_; + wire _09662_; + wire _09663_; + wire _09664_; + wire _09665_; + wire _09666_; + wire _09667_; + wire _09668_; + wire _09669_; + wire _09670_; + wire _09671_; + wire _09672_; + wire _09673_; + wire _09674_; + wire _09675_; + wire _09676_; + wire _09677_; + wire _09678_; + wire _09679_; + wire _09680_; + wire _09681_; + wire _09682_; + wire _09683_; + wire _09684_; + wire _09685_; + wire _09686_; + wire _09687_; + wire _09688_; + wire _09689_; + wire _09690_; + wire _09691_; + wire _09692_; + wire _09693_; + wire _09694_; + wire _09695_; + wire _09696_; + wire _09697_; + wire _09698_; + wire _09699_; + wire _09700_; + wire _09701_; + wire _09702_; + wire _09703_; + wire _09704_; + wire _09705_; + wire _09706_; + wire _09707_; + wire _09708_; + wire _09709_; + wire _09710_; + wire _09711_; + wire _09712_; + wire _09713_; + wire _09714_; + wire _09715_; + wire _09716_; + wire _09717_; + wire _09718_; + wire _09719_; + wire _09720_; + wire _09721_; + wire _09722_; + wire _09723_; + wire _09724_; + wire _09725_; + wire _09726_; + wire _09727_; + wire _09728_; + wire _09729_; + wire _09730_; + wire _09731_; + wire _09732_; + wire _09733_; + wire _09734_; + wire _09735_; + wire _09736_; + wire _09737_; + wire _09738_; + wire _09739_; + wire _09740_; + wire _09741_; + wire _09742_; + wire _09743_; + wire _09744_; + wire _09745_; + wire _09746_; + wire _09747_; + wire _09748_; + wire _09749_; + wire _09750_; + wire _09751_; + wire _09752_; + wire _09753_; + wire _09754_; + wire _09755_; + wire _09756_; + wire _09757_; + wire _09758_; + wire _09759_; + wire _09760_; + wire _09761_; + wire _09762_; + wire _09763_; + wire _09764_; + wire _09765_; + wire _09766_; + wire _09767_; + wire _09768_; + wire _09769_; + wire _09770_; + wire _09771_; + wire _09772_; + wire _09773_; + wire _09774_; + wire _09775_; + wire _09776_; + wire _09777_; + wire _09778_; + wire _09779_; + wire _09780_; + wire _09781_; + wire _09782_; + wire _09783_; + wire _09784_; + wire _09785_; + wire _09786_; + wire _09787_; + wire _09788_; + wire _09789_; + wire _09790_; + wire _09791_; + wire _09792_; + wire _09793_; + wire _09794_; + wire _09795_; + wire _09796_; + wire _09797_; + wire _09798_; + wire _09799_; + wire _09800_; + wire _09801_; + wire _09802_; + wire _09803_; + wire _09804_; + wire _09805_; + wire _09806_; + wire _09807_; + wire _09808_; + wire _09809_; + wire _09810_; + wire _09811_; + wire _09812_; + wire _09813_; + wire _09814_; + wire _09815_; + wire _09816_; + wire _09817_; + wire _09818_; + wire _09819_; + wire _09820_; + wire _09821_; + wire _09822_; + wire _09823_; + wire _09824_; + wire _09825_; + wire _09826_; + wire _09827_; + wire _09828_; + wire _09829_; + wire _09830_; + wire _09831_; + wire _09832_; + wire _09833_; + wire _09834_; + wire _09835_; + wire _09836_; + wire _09837_; + wire _09838_; + wire _09839_; + wire _09840_; + wire _09841_; + wire _09842_; + wire _09843_; + wire _09844_; + wire _09845_; + wire _09846_; + wire _09847_; + wire _09848_; + wire _09849_; + wire _09850_; + wire _09851_; + wire _09852_; + wire _09853_; + wire _09854_; + wire _09855_; + wire _09856_; + wire _09857_; + wire _09858_; + wire _09859_; + wire _09860_; + wire _09861_; + wire _09862_; + wire _09863_; + wire _09864_; + wire _09865_; + wire _09866_; + wire _09867_; + wire _09868_; + wire _09869_; + wire _09870_; + wire _09871_; + wire _09872_; + wire _09873_; + wire _09874_; + wire _09875_; + wire _09876_; + wire _09877_; + wire _09878_; + wire _09879_; + wire _09880_; + wire _09881_; + wire _09882_; + wire _09883_; + wire _09884_; + wire _09885_; + wire _09886_; + wire _09887_; + wire _09888_; + wire _09889_; + wire _09890_; + wire _09891_; + wire _09892_; + wire _09893_; + wire _09894_; + wire _09895_; + wire _09896_; + wire _09897_; + wire _09898_; + wire _09899_; + wire _09900_; + wire _09901_; + wire _09902_; + wire _09903_; + wire _09904_; + wire _09905_; + wire _09906_; + wire _09907_; + wire _09908_; + wire _09909_; + wire _09910_; + wire _09911_; + wire _09912_; + wire _09913_; + wire _09914_; + wire _09915_; + wire _09916_; + wire _09917_; + wire _09918_; + wire _09919_; + wire _09920_; + wire _09921_; + wire _09922_; + wire _09923_; + wire _09924_; + wire _09925_; + wire _09926_; + wire _09927_; + wire _09928_; + wire _09929_; + wire _09930_; + wire _09931_; + wire _09932_; + wire _09933_; + wire _09934_; + wire _09935_; + wire _09936_; + wire _09937_; + wire _09938_; + wire _09939_; + wire _09940_; + wire _09941_; + wire _09942_; + wire _09943_; + wire _09944_; + wire _09945_; + wire _09946_; + wire _09947_; + wire _09948_; + wire _09949_; + wire _09950_; + wire _09951_; + wire _09952_; + wire _09953_; + wire _09954_; + wire _09955_; + wire _09956_; + wire _09957_; + wire _09958_; + wire _09959_; + wire _09960_; + wire _09961_; + wire _09962_; + wire _09963_; + wire _09964_; + wire _09965_; + wire _09966_; + wire _09967_; + wire _09968_; + wire _09969_; + wire _09970_; + wire _09971_; + wire _09972_; + wire _09973_; + wire _09974_; + wire _09975_; + wire _09976_; + wire _09977_; + wire _09978_; + wire _09979_; + wire _09980_; + wire _09981_; + wire _09982_; + wire _09983_; + wire _09984_; + wire _09985_; + wire _09986_; + wire _09987_; + wire _09988_; + wire _09989_; + wire _09990_; + wire _09991_; + wire _09992_; + wire _09993_; + wire _09994_; + wire _09995_; + wire _09996_; + wire _09997_; + wire _09998_; + wire _09999_; + wire _10000_; + wire _10001_; + wire _10002_; + wire _10003_; + wire _10004_; + wire _10005_; + wire _10006_; + wire _10007_; + wire _10008_; + wire _10009_; + wire _10010_; + wire _10011_; + wire _10012_; + wire _10013_; + wire _10014_; + wire _10015_; + wire _10016_; + wire _10017_; + wire _10018_; + wire _10019_; + wire _10020_; + wire _10021_; + wire _10022_; + wire _10023_; + wire _10024_; + wire _10025_; + wire _10026_; + wire _10027_; + wire _10028_; + wire _10029_; + wire _10030_; + wire _10031_; + wire _10032_; + wire _10033_; + wire _10034_; + wire _10035_; + wire _10036_; + wire _10037_; + wire _10038_; + wire _10039_; + wire _10040_; + wire _10041_; + wire _10042_; + wire _10043_; + wire _10044_; + wire _10045_; + wire _10046_; + wire _10047_; + wire _10048_; + wire _10049_; + wire _10050_; + wire _10051_; + wire _10052_; + wire _10053_; + wire _10054_; + wire _10055_; + wire _10056_; + wire _10057_; + wire _10058_; + wire _10059_; + wire _10060_; + wire _10061_; + wire _10062_; + wire _10063_; + wire _10064_; + wire _10065_; + wire _10066_; + wire _10067_; + wire _10068_; + wire _10069_; + wire _10070_; + wire _10071_; + wire _10072_; + wire _10073_; + wire _10074_; + wire _10075_; + wire _10076_; + wire _10077_; + wire _10078_; + wire _10079_; + wire _10080_; + wire _10081_; + wire _10082_; + wire _10083_; + wire _10084_; + wire _10085_; + wire _10086_; + wire _10087_; + wire _10088_; + wire _10089_; + wire _10090_; + wire _10091_; + wire _10092_; + wire _10093_; + wire _10094_; + wire _10095_; + wire _10096_; + wire _10097_; + wire _10098_; + wire _10099_; + wire _10100_; + wire _10101_; + wire _10102_; + wire _10103_; + wire _10104_; + wire _10105_; + wire _10106_; + wire _10107_; + wire _10108_; + wire _10109_; + wire _10110_; + wire _10111_; + wire _10112_; + wire _10113_; + wire _10114_; + wire _10115_; + wire _10116_; + wire _10117_; + wire _10118_; + wire _10119_; + wire _10120_; + wire _10121_; + wire _10122_; + wire _10123_; + wire _10124_; + wire _10125_; + wire _10126_; + wire _10127_; + wire _10128_; + wire _10129_; + wire _10130_; + wire _10131_; + wire _10132_; + wire _10133_; + wire _10134_; + wire _10135_; + wire _10136_; + wire _10137_; + wire _10138_; + wire _10139_; + wire _10140_; + wire _10141_; + wire _10142_; + wire _10143_; + wire _10144_; + wire _10145_; + wire _10146_; + wire _10147_; + wire _10148_; + wire _10149_; + wire _10150_; + wire _10151_; + wire _10152_; + wire _10153_; + wire _10154_; + wire _10155_; + wire _10156_; + wire _10157_; + wire _10158_; + wire _10159_; + wire _10160_; + wire _10161_; + wire _10162_; + wire _10163_; + wire _10164_; + wire _10165_; + wire _10166_; + wire _10167_; + wire _10168_; + wire _10169_; + wire _10170_; + wire _10171_; + wire _10172_; + wire _10173_; + wire _10174_; + wire _10175_; + wire _10176_; + wire _10177_; + wire _10178_; + wire _10179_; + wire _10180_; + wire _10181_; + wire _10182_; + wire _10183_; + wire _10184_; + wire _10185_; + wire _10186_; + wire _10187_; + wire _10188_; + wire _10189_; + wire _10190_; + wire _10191_; + wire _10192_; + wire _10193_; + wire _10194_; + wire _10195_; + wire _10196_; + wire _10197_; + wire _10198_; + wire _10199_; + wire _10200_; + wire _10201_; + wire _10202_; + wire _10203_; + wire _10204_; + wire _10205_; + wire _10206_; + wire _10207_; + wire _10208_; + wire _10209_; + wire _10210_; + wire _10211_; + wire _10212_; + wire _10213_; + wire _10214_; + wire _10215_; + wire _10216_; + wire _10217_; + wire _10218_; + wire _10219_; + wire _10220_; + wire _10221_; + wire _10222_; + wire _10223_; + wire _10224_; + wire _10225_; + wire _10226_; + wire _10227_; + wire _10228_; + wire _10229_; + wire _10230_; + wire _10231_; + wire _10232_; + wire _10233_; + wire _10234_; + wire _10235_; + wire _10236_; + wire _10237_; + wire _10238_; + wire _10239_; + wire _10240_; + wire _10241_; + wire _10242_; + wire _10243_; + wire _10244_; + wire _10245_; + wire _10246_; + wire _10247_; + wire _10248_; + wire _10249_; + wire _10250_; + wire _10251_; + wire _10252_; + wire _10253_; + wire _10254_; + wire _10255_; + wire _10256_; + wire _10257_; + wire _10258_; + wire _10259_; + wire _10260_; + wire _10261_; + wire _10262_; + wire _10263_; + wire _10264_; + wire _10265_; + wire _10266_; + wire _10267_; + wire _10268_; + wire _10269_; + wire _10270_; + wire _10271_; + wire _10272_; + wire _10273_; + wire _10274_; + wire _10275_; + wire _10276_; + wire _10277_; + wire _10278_; + wire _10279_; + wire _10280_; + wire _10281_; + wire _10282_; + wire _10283_; + wire _10284_; + wire _10285_; + wire _10286_; + wire _10287_; + wire _10288_; + wire _10289_; + wire _10290_; + wire _10291_; + wire _10292_; + wire _10293_; + wire _10294_; + wire _10295_; + wire _10296_; + wire _10297_; + wire _10298_; + wire _10299_; + wire _10300_; + wire _10301_; + wire _10302_; + wire _10303_; + wire _10304_; + wire _10305_; + wire _10306_; + wire _10307_; + wire _10308_; + wire _10309_; + wire _10310_; + wire _10311_; + wire _10312_; + wire _10313_; + wire _10314_; + wire _10315_; + wire _10316_; + wire _10317_; + wire _10318_; + wire _10319_; + wire _10320_; + wire _10321_; + wire _10322_; + wire _10323_; + wire _10324_; + wire _10325_; + wire _10326_; + wire _10327_; + wire _10328_; + wire _10329_; + wire _10330_; + wire _10331_; + wire _10332_; + wire _10333_; + wire _10334_; + wire _10335_; + wire _10336_; + wire _10337_; + wire _10338_; + wire _10339_; + wire _10340_; + wire _10341_; + wire _10342_; + wire _10343_; + wire _10344_; + wire _10345_; + wire _10346_; + wire _10347_; + wire _10348_; + wire _10349_; + wire _10350_; + wire _10351_; + wire _10352_; + wire _10353_; + wire _10354_; + wire _10355_; + wire _10356_; + wire _10357_; + wire _10358_; + wire _10359_; + wire _10360_; + wire _10361_; + wire _10362_; + wire _10363_; + wire _10364_; + wire _10365_; + wire _10366_; + wire _10367_; + wire _10368_; + wire _10369_; + wire _10370_; + wire _10371_; + wire _10372_; + wire _10373_; + wire _10374_; + wire _10375_; + wire _10376_; + wire _10377_; + wire _10378_; + wire _10379_; + wire _10380_; + wire _10381_; + wire _10382_; + wire _10383_; + wire _10384_; + wire _10385_; + wire _10386_; + wire _10387_; + wire _10388_; + wire _10389_; + wire _10390_; + wire _10391_; + wire _10392_; + wire _10393_; + wire _10394_; + wire _10395_; + wire _10396_; + wire _10397_; + wire _10398_; + wire _10399_; + wire _10400_; + wire _10401_; + wire _10402_; + wire _10403_; + wire _10404_; + wire _10405_; + wire _10406_; + wire _10407_; + wire _10408_; + wire _10409_; + wire _10410_; + wire _10411_; + wire _10412_; + wire _10413_; + wire _10414_; + wire _10415_; + wire _10416_; + wire _10417_; + wire _10418_; + wire _10419_; + wire _10420_; + wire _10421_; + wire _10422_; + wire _10423_; + wire _10424_; + wire _10425_; + wire _10426_; + wire _10427_; + wire _10428_; + wire _10429_; + wire _10430_; + wire _10431_; + wire _10432_; + wire _10433_; + wire _10434_; + wire _10435_; + wire _10436_; + wire _10437_; + wire _10438_; + wire _10439_; + wire _10440_; + wire _10441_; + wire _10442_; + wire _10443_; + wire _10444_; + wire _10445_; + wire _10446_; + wire _10447_; + wire _10448_; + wire _10449_; + wire _10450_; + wire _10451_; + wire _10452_; + wire _10453_; + wire _10454_; + wire _10455_; + wire _10456_; + wire _10457_; + wire _10458_; + wire _10459_; + wire _10460_; + wire _10461_; + wire _10462_; + wire _10463_; + wire _10464_; + wire _10465_; + wire _10466_; + wire _10467_; + wire _10468_; + wire _10469_; + wire _10470_; + wire _10471_; + wire _10472_; + wire _10473_; + wire _10474_; + wire _10475_; + wire _10476_; + wire _10477_; + wire _10478_; + wire _10479_; + wire _10480_; + wire _10481_; + wire _10482_; + wire _10483_; + wire _10484_; + wire _10485_; + wire _10486_; + wire _10487_; + wire _10488_; + wire _10489_; + wire _10490_; + wire _10491_; + wire _10492_; + wire _10493_; + wire _10494_; + wire _10495_; + wire _10496_; + wire _10497_; + wire _10498_; + wire _10499_; + wire _10500_; + wire _10501_; + wire _10502_; + wire _10503_; + wire _10504_; + wire _10505_; + wire _10506_; + wire _10507_; + wire _10508_; + wire _10509_; + wire _10510_; + wire _10511_; + wire _10512_; + wire _10513_; + wire _10514_; + wire _10515_; + wire _10516_; + wire _10517_; + wire _10518_; + wire _10519_; + wire _10520_; + wire _10521_; + wire _10522_; + wire _10523_; + wire _10524_; + wire _10525_; + wire _10526_; + wire _10527_; + wire _10528_; + wire _10529_; + wire _10530_; + wire _10531_; + wire _10532_; + wire _10533_; + wire _10534_; + wire _10535_; + wire _10536_; + wire _10537_; + wire _10538_; + wire _10539_; + wire _10540_; + wire _10541_; + wire _10542_; + wire _10543_; + wire _10544_; + wire _10545_; + wire _10546_; + wire _10547_; + wire _10548_; + wire _10549_; + wire _10550_; + wire _10551_; + wire _10552_; + wire _10553_; + wire _10554_; + wire _10555_; + wire _10556_; + wire _10557_; + wire _10558_; + wire _10559_; + wire _10560_; + wire _10561_; + wire _10562_; + wire _10563_; + wire _10564_; + wire _10565_; + wire _10566_; + wire _10567_; + wire _10568_; + wire _10569_; + wire _10570_; + wire _10571_; + wire _10572_; + wire _10573_; + wire _10574_; + wire _10575_; + wire _10576_; + wire _10577_; + wire _10578_; + wire _10579_; + wire _10580_; + wire _10581_; + wire _10582_; + wire _10583_; + wire _10584_; + wire _10585_; + wire _10586_; + wire _10587_; + wire _10588_; + wire _10589_; + wire _10590_; + wire _10591_; + wire _10592_; + wire _10593_; + wire _10594_; + wire _10595_; + wire _10596_; + wire _10597_; + wire _10598_; + wire _10599_; + wire _10600_; + wire _10601_; + wire _10602_; + wire _10603_; + wire _10604_; + wire _10605_; + wire _10606_; + wire _10607_; + wire _10608_; + wire _10609_; + wire _10610_; + wire _10611_; + wire _10612_; + wire _10613_; + wire _10614_; + wire _10615_; + wire _10616_; + wire _10617_; + wire _10618_; + wire _10619_; + wire _10620_; + wire _10621_; + wire _10622_; + wire _10623_; + wire _10624_; + wire _10625_; + wire _10626_; + wire _10627_; + wire _10628_; + wire _10629_; + wire _10630_; + wire _10631_; + wire _10632_; + wire _10633_; + wire _10634_; + wire _10635_; + wire _10636_; + wire _10637_; + wire _10638_; + wire _10639_; + wire _10640_; + wire _10641_; + wire _10642_; + wire _10643_; + wire _10644_; + wire _10645_; + wire _10646_; + wire _10647_; + wire _10648_; + wire _10649_; + wire _10650_; + wire _10651_; + wire _10652_; + wire _10653_; + wire _10654_; + wire _10655_; + wire _10656_; + wire _10657_; + wire _10658_; + wire _10659_; + wire _10660_; + wire _10661_; + wire _10662_; + wire _10663_; + wire _10664_; + wire _10665_; + wire _10666_; + wire _10667_; + wire _10668_; + wire _10669_; + wire _10670_; + wire _10671_; + wire _10672_; + wire _10673_; + wire _10674_; + wire _10675_; + wire _10676_; + wire _10677_; + wire _10678_; + wire _10679_; + wire _10680_; + wire _10681_; + wire _10682_; + wire _10683_; + wire _10684_; + wire _10685_; + wire _10686_; + wire _10687_; + wire _10688_; + wire _10689_; + wire _10690_; + wire _10691_; + wire _10692_; + wire _10693_; + wire _10694_; + wire _10695_; + wire _10696_; + wire _10697_; + wire _10698_; + wire _10699_; + wire _10700_; + wire _10701_; + wire _10702_; + wire _10703_; + wire _10704_; + wire _10705_; + wire _10706_; + wire _10707_; + wire _10708_; + wire _10709_; + wire _10710_; + wire _10711_; + wire _10712_; + wire _10713_; + wire _10714_; + wire _10715_; + wire _10716_; + wire _10717_; + wire _10718_; + wire _10719_; + wire _10720_; + wire _10721_; + wire _10722_; + wire _10723_; + wire _10724_; + wire _10725_; + wire _10726_; + wire _10727_; + wire _10728_; + wire _10729_; + wire _10730_; + wire _10731_; + wire _10732_; + wire _10733_; + wire _10734_; + wire _10735_; + wire _10736_; + wire _10737_; + wire _10738_; + wire _10739_; + wire _10740_; + wire _10741_; + wire _10742_; + wire _10743_; + wire _10744_; + wire _10745_; + wire _10746_; + wire _10747_; + wire _10748_; + wire _10749_; + wire _10750_; + wire _10751_; + wire _10752_; + wire _10753_; + wire _10754_; + wire _10755_; + wire _10756_; + wire _10757_; + wire _10758_; + wire _10759_; + wire _10760_; + wire _10761_; + wire _10762_; + wire _10763_; + wire _10764_; + wire _10765_; + wire _10766_; + wire _10767_; + wire _10768_; + wire _10769_; + wire _10770_; + wire _10771_; + wire _10772_; + wire _10773_; + wire _10774_; + wire _10775_; + wire _10776_; + wire _10777_; + wire _10778_; + wire _10779_; + wire _10780_; + wire _10781_; + wire _10782_; + wire _10783_; + wire _10784_; + wire _10785_; + wire _10786_; + wire _10787_; + wire _10788_; + wire _10789_; + wire _10790_; + wire _10791_; + wire _10792_; + wire _10793_; + wire _10794_; + wire _10795_; + wire _10796_; + wire _10797_; + wire _10798_; + wire _10799_; + wire _10800_; + wire _10801_; + wire _10802_; + wire _10803_; + wire _10804_; + wire _10805_; + wire _10806_; + wire _10807_; + wire _10808_; + wire _10809_; + wire _10810_; + wire _10811_; + wire _10812_; + wire _10813_; + wire _10814_; + wire _10815_; + wire _10816_; + wire _10817_; + wire _10818_; + wire _10819_; + wire _10820_; + wire _10821_; + wire _10822_; + wire _10823_; + wire _10824_; + wire _10825_; + wire _10826_; + wire _10827_; + wire _10828_; + wire _10829_; + wire _10830_; + wire _10831_; + wire _10832_; + wire _10833_; + wire _10834_; + wire _10835_; + wire _10836_; + wire _10837_; + wire _10838_; + wire _10839_; + wire _10840_; + wire _10841_; + wire _10842_; + wire _10843_; + wire _10844_; + wire _10845_; + wire _10846_; + wire _10847_; + wire _10848_; + wire _10849_; + wire _10850_; + wire _10851_; + wire _10852_; + wire _10853_; + wire _10854_; + wire _10855_; + wire _10856_; + wire _10857_; + wire _10858_; + wire _10859_; + wire _10860_; + wire _10861_; + wire _10862_; + wire _10863_; + wire _10864_; + wire _10865_; + wire _10866_; + wire _10867_; + wire _10868_; + wire _10869_; + wire _10870_; + wire _10871_; + wire _10872_; + wire _10873_; + wire _10874_; + wire _10875_; + wire _10876_; + wire _10877_; + wire _10878_; + wire _10879_; + wire _10880_; + wire _10881_; + wire _10882_; + wire _10883_; + wire _10884_; + wire _10885_; + wire _10886_; + wire _10887_; + wire _10888_; + wire _10889_; + wire _10890_; + wire _10891_; + wire _10892_; + wire _10893_; + wire _10894_; + wire _10895_; + wire _10896_; + wire _10897_; + wire _10898_; + wire _10899_; + wire _10900_; + wire _10901_; + wire _10902_; + wire _10903_; + wire _10904_; + wire _10905_; + wire _10906_; + wire _10907_; + wire _10908_; + wire _10909_; + wire _10910_; + wire _10911_; + wire _10912_; + wire _10913_; + wire _10914_; + wire _10915_; + wire _10916_; + wire _10917_; + wire _10918_; + wire _10919_; + wire _10920_; + wire _10921_; + wire _10922_; + wire _10923_; + wire _10924_; + wire _10925_; + wire _10926_; + wire _10927_; + wire _10928_; + wire _10929_; + wire _10930_; + wire _10931_; + wire _10932_; + wire _10933_; + wire _10934_; + wire _10935_; + wire _10936_; + wire _10937_; + wire _10938_; + wire _10939_; + wire _10940_; + wire _10941_; + wire _10942_; + wire _10943_; + wire _10944_; + wire _10945_; + wire _10946_; + wire _10947_; + wire _10948_; + wire _10949_; + wire _10950_; + wire _10951_; + wire _10952_; + wire _10953_; + wire _10954_; + wire _10955_; + wire _10956_; + wire _10957_; + wire _10958_; + wire _10959_; + wire _10960_; + wire _10961_; + wire _10962_; + wire _10963_; + wire _10964_; + wire _10965_; + wire _10966_; + wire _10967_; + wire _10968_; + wire _10969_; + wire _10970_; + wire _10971_; + wire _10972_; + wire _10973_; + wire _10974_; + wire _10975_; + wire _10976_; + wire _10977_; + wire _10978_; + wire _10979_; + wire _10980_; + wire _10981_; + wire _10982_; + wire _10983_; + wire _10984_; + wire _10985_; + wire _10986_; + wire _10987_; + wire _10988_; + wire _10989_; + wire _10990_; + wire _10991_; + wire _10992_; + wire _10993_; + wire _10994_; + wire _10995_; + wire _10996_; + wire _10997_; + wire _10998_; + wire _10999_; + wire _11000_; + wire _11001_; + wire _11002_; + wire _11003_; + wire _11004_; + wire _11005_; + wire _11006_; + wire _11007_; + wire _11008_; + wire _11009_; + wire _11010_; + wire _11011_; + wire _11012_; + wire _11013_; + wire _11014_; + wire _11015_; + wire _11016_; + wire _11017_; + wire _11018_; + wire _11019_; + wire _11020_; + wire _11021_; + wire _11022_; + wire _11023_; + wire _11024_; + wire _11025_; + wire _11026_; + wire _11027_; + wire _11028_; + wire _11029_; + wire _11030_; + wire _11031_; + wire _11032_; + wire _11033_; + wire _11034_; + wire _11035_; + wire _11036_; + wire _11037_; + wire _11038_; + wire _11039_; + wire _11040_; + wire _11041_; + wire _11042_; + wire _11043_; + wire _11044_; + wire _11045_; + wire _11046_; + wire _11047_; + wire _11048_; + wire _11049_; + wire _11050_; + wire _11051_; + wire _11052_; + wire _11053_; + wire _11054_; + wire _11055_; + wire _11056_; + wire _11057_; + wire _11058_; + wire _11059_; + wire _11060_; + wire _11061_; + wire _11062_; + wire _11063_; + wire _11064_; + wire _11065_; + wire _11066_; + wire _11067_; + wire _11068_; + wire _11069_; + wire _11070_; + wire _11071_; + wire _11072_; + wire _11073_; + wire _11074_; + wire _11075_; + wire _11076_; + wire _11077_; + wire _11078_; + wire _11079_; + wire _11080_; + wire _11081_; + wire _11082_; + wire _11083_; + wire _11084_; + wire _11085_; + wire _11086_; + wire _11087_; + wire _11088_; + wire _11089_; + wire _11090_; + wire _11091_; + wire _11092_; + wire _11093_; + wire _11094_; + wire _11095_; + wire _11096_; + wire _11097_; + wire _11098_; + wire _11099_; + wire _11100_; + wire _11101_; + wire _11102_; + wire _11103_; + wire _11104_; + wire _11105_; + wire _11106_; + wire _11107_; + wire _11108_; + wire _11109_; + wire _11110_; + wire _11111_; + wire _11112_; + wire _11113_; + wire _11114_; + wire _11115_; + wire _11116_; + wire _11117_; + wire _11118_; + wire _11119_; + wire _11120_; + wire _11121_; + wire _11122_; + wire _11123_; + wire _11124_; + wire _11125_; + wire _11126_; + wire _11127_; + wire _11128_; + wire _11129_; + wire _11130_; + wire _11131_; + wire _11132_; + wire _11133_; + wire _11134_; + wire _11135_; + wire _11136_; + wire _11137_; + wire _11138_; + wire _11139_; + wire _11140_; + wire _11141_; + wire _11142_; + wire _11143_; + wire _11144_; + wire _11145_; + wire _11146_; + wire _11147_; + wire _11148_; + wire _11149_; + wire _11150_; + wire _11151_; + wire _11152_; + wire _11153_; + wire _11154_; + wire _11155_; + wire _11156_; + wire _11157_; + wire _11158_; + wire _11159_; + wire _11160_; + wire _11161_; + wire _11162_; + wire _11163_; + wire _11164_; + wire _11165_; + wire _11166_; + wire _11167_; + wire _11168_; + wire _11169_; + wire _11170_; + wire _11171_; + wire _11172_; + wire _11173_; + wire _11174_; + wire _11175_; + wire _11176_; + wire _11177_; + wire _11178_; + wire _11179_; + wire _11180_; + wire _11181_; + wire _11182_; + wire _11183_; + wire _11184_; + wire _11185_; + wire _11186_; + wire _11187_; + wire _11188_; + wire _11189_; + wire _11190_; + wire _11191_; + wire _11192_; + wire _11193_; + wire _11194_; + wire _11195_; + wire _11196_; + wire _11197_; + wire _11198_; + wire _11199_; + wire _11200_; + wire _11201_; + wire _11202_; + wire _11203_; + wire _11204_; + wire _11205_; + wire _11206_; + wire _11207_; + wire _11208_; + wire _11209_; + wire _11210_; + wire _11211_; + wire _11212_; + wire _11213_; + wire _11214_; + wire _11215_; + wire _11216_; + wire _11217_; + wire _11218_; + wire _11219_; + wire _11220_; + wire _11221_; + wire _11222_; + wire _11223_; + wire _11224_; + wire _11225_; + wire _11226_; + wire _11227_; + wire _11228_; + wire _11229_; + wire _11230_; + wire _11231_; + wire _11232_; + wire _11233_; + wire _11234_; + wire _11235_; + wire _11236_; + wire _11237_; + wire _11238_; + wire _11239_; + wire _11240_; + wire _11241_; + wire _11242_; + wire _11243_; + wire _11244_; + wire _11245_; + wire _11246_; + wire _11247_; + wire _11248_; + wire _11249_; + wire _11250_; + wire _11251_; + wire _11252_; + wire _11253_; + wire _11254_; + wire _11255_; + wire _11256_; + wire _11257_; + wire _11258_; + wire _11259_; + wire _11260_; + wire _11261_; + wire _11262_; + wire _11263_; + wire _11264_; + wire _11265_; + wire _11266_; + wire _11267_; + wire _11268_; + wire _11269_; + wire _11270_; + wire _11271_; + wire _11272_; + wire _11273_; + wire _11274_; + wire _11275_; + wire _11276_; + wire _11277_; + wire _11278_; + wire _11279_; + wire _11280_; + wire _11281_; + wire _11282_; + wire _11283_; + wire _11284_; + wire _11285_; + wire _11286_; + wire _11287_; + wire _11288_; + wire _11289_; + wire _11290_; + wire _11291_; + wire _11292_; + wire _11293_; + wire _11294_; + wire _11295_; + wire _11296_; + wire _11297_; + wire _11298_; + wire _11299_; + wire _11300_; + wire _11301_; + wire _11302_; + wire _11303_; + wire _11304_; + wire _11305_; + wire _11306_; + wire _11307_; + wire _11308_; + wire _11309_; + wire _11310_; + wire _11311_; + wire _11312_; + wire _11313_; + wire _11314_; + wire _11315_; + wire _11316_; + wire _11317_; + wire _11318_; + wire _11319_; + wire _11320_; + wire _11321_; + wire _11322_; + wire _11323_; + wire _11324_; + wire _11325_; + wire _11326_; + wire _11327_; + wire _11328_; + wire _11329_; + wire _11330_; + wire _11331_; + wire _11332_; + wire _11333_; + wire _11334_; + wire _11335_; + wire _11336_; + wire _11337_; + wire _11338_; + wire _11339_; + wire _11340_; + wire _11341_; + wire _11342_; + wire _11343_; + wire _11344_; + wire _11345_; + wire _11346_; + wire _11347_; + wire _11348_; + wire _11349_; + wire _11350_; + wire _11351_; + wire _11352_; + wire _11353_; + wire _11354_; + wire _11355_; + wire _11356_; + wire _11357_; + wire _11358_; + wire _11359_; + wire _11360_; + wire _11361_; + wire _11362_; + wire _11363_; + wire _11364_; + wire _11365_; + wire _11366_; + wire _11367_; + wire _11368_; + wire _11369_; + wire _11370_; + wire _11371_; + wire _11372_; + wire _11373_; + wire _11374_; + wire _11375_; + wire _11376_; + wire _11377_; + wire _11378_; + wire _11379_; + wire _11380_; + wire _11381_; + wire _11382_; + wire _11383_; + wire _11384_; + wire _11385_; + wire _11386_; + wire _11387_; + wire _11388_; + wire _11389_; + wire _11390_; + wire _11391_; + wire _11392_; + wire _11393_; + wire _11394_; + wire _11395_; + wire _11396_; + wire _11397_; + wire _11398_; + wire _11399_; + wire _11400_; + wire _11401_; + wire _11402_; + wire _11403_; + wire _11404_; + wire _11405_; + wire _11406_; + wire _11407_; + wire _11408_; + wire _11409_; + wire _11410_; + wire _11411_; + wire _11412_; + wire _11413_; + wire _11414_; + wire _11415_; + wire _11416_; + wire _11417_; + wire _11418_; + wire _11419_; + wire _11420_; + wire _11421_; + wire _11422_; + wire _11423_; + wire _11424_; + wire _11425_; + wire _11426_; + wire _11427_; + wire _11428_; + wire _11429_; + wire _11430_; + wire _11431_; + wire _11432_; + wire _11433_; + wire _11434_; + wire _11435_; + wire _11436_; + wire _11437_; + wire _11438_; + wire _11439_; + wire _11440_; + wire _11441_; + wire _11442_; + wire _11443_; + wire _11444_; + wire _11445_; + wire _11446_; + wire _11447_; + wire _11448_; + wire _11449_; + wire _11450_; + wire _11451_; + wire _11452_; + wire _11453_; + wire _11454_; + wire _11455_; + wire _11456_; + wire _11457_; + wire _11458_; + wire _11459_; + wire _11460_; + wire _11461_; + wire _11462_; + wire _11463_; + wire _11464_; + wire _11465_; + wire _11466_; + wire _11467_; + wire _11468_; + wire _11469_; + wire _11470_; + wire _11471_; + wire _11472_; + wire _11473_; + wire _11474_; + wire _11475_; + wire _11476_; + wire _11477_; + wire _11478_; + wire _11479_; + wire _11480_; + wire _11481_; + wire _11482_; + wire _11483_; + wire _11484_; + wire _11485_; + wire _11486_; + wire _11487_; + wire _11488_; + wire _11489_; + wire _11490_; + wire _11491_; + wire _11492_; + wire _11493_; + wire _11494_; + wire _11495_; + wire _11496_; + wire _11497_; + wire _11498_; + wire _11499_; + wire _11500_; + wire _11501_; + wire _11502_; + wire _11503_; + wire _11504_; + wire _11505_; + wire _11506_; + wire _11507_; + wire _11508_; + wire _11509_; + wire _11510_; + wire _11511_; + wire _11512_; + wire _11513_; + wire _11514_; + wire _11515_; + wire _11516_; + wire _11517_; + wire _11518_; + wire _11519_; + wire _11520_; + wire _11521_; + wire _11522_; + wire _11523_; + wire _11524_; + wire _11525_; + wire _11526_; + wire _11527_; + wire _11528_; + wire _11529_; + wire _11530_; + wire _11531_; + wire _11532_; + wire _11533_; + wire _11534_; + wire _11535_; + wire _11536_; + wire _11537_; + wire _11538_; + wire _11539_; + wire _11540_; + wire _11541_; + wire _11542_; + wire _11543_; + wire _11544_; + wire _11545_; + wire _11546_; + wire _11547_; + wire _11548_; + wire _11549_; + wire _11550_; + wire _11551_; + wire _11552_; + wire _11553_; + wire _11554_; + wire _11555_; + wire _11556_; + wire _11557_; + wire _11558_; + wire _11559_; + wire _11560_; + wire _11561_; + wire _11562_; + wire _11563_; + wire _11564_; + wire _11565_; + wire _11566_; + wire _11567_; + wire _11568_; + wire _11569_; + wire _11570_; + wire _11571_; + wire _11572_; + wire _11573_; + wire _11574_; + wire _11575_; + wire _11576_; + wire _11577_; + wire _11578_; + wire _11579_; + wire _11580_; + wire _11581_; + wire _11582_; + wire _11583_; + wire _11584_; + wire _11585_; + wire _11586_; + wire _11587_; + wire _11588_; + wire _11589_; + wire _11590_; + wire _11591_; + wire _11592_; + wire _11593_; + wire _11594_; + wire _11595_; + wire _11596_; + wire _11597_; + wire _11598_; + wire _11599_; + wire _11600_; + wire _11601_; + wire _11602_; + wire _11603_; + wire _11604_; + wire _11605_; + wire _11606_; + wire _11607_; + wire _11608_; + wire _11609_; + wire _11610_; + wire _11611_; + wire _11612_; + wire _11613_; + wire _11614_; + wire _11615_; + wire _11616_; + wire _11617_; + wire _11618_; + wire _11619_; + wire _11620_; + wire _11621_; + wire _11622_; + wire _11623_; + wire _11624_; + wire _11625_; + wire _11626_; + wire _11627_; + wire _11628_; + wire _11629_; + wire _11630_; + wire _11631_; + wire _11632_; + wire _11633_; + wire _11634_; + wire _11635_; + wire _11636_; + wire _11637_; + wire _11638_; + wire _11639_; + wire _11640_; + wire _11641_; + wire _11642_; + wire _11643_; + wire _11644_; + wire _11645_; + wire _11646_; + wire _11647_; + wire _11648_; + wire _11649_; + wire _11650_; + wire _11651_; + wire _11652_; + wire _11653_; + wire _11654_; + wire _11655_; + wire _11656_; + wire _11657_; + wire _11658_; + wire _11659_; + wire _11660_; + wire _11661_; + wire _11662_; + wire _11663_; + wire _11664_; + wire _11665_; + wire _11666_; + wire _11667_; + wire _11668_; + wire _11669_; + wire _11670_; + wire _11671_; + wire _11672_; + wire _11673_; + wire _11674_; + wire _11675_; + wire _11676_; + wire _11677_; + wire _11678_; + wire _11679_; + wire _11680_; + wire _11681_; + wire _11682_; + wire _11683_; + wire _11684_; + wire _11685_; + wire _11686_; + wire _11687_; + wire _11688_; + wire _11689_; + wire _11690_; + wire _11691_; + wire _11692_; + wire _11693_; + wire _11694_; + wire _11695_; + wire _11696_; + wire _11697_; + wire _11698_; + wire _11699_; + wire _11700_; + wire _11701_; + wire _11702_; + wire _11703_; + wire _11704_; + wire _11705_; + wire _11706_; + wire _11707_; + wire _11708_; + wire _11709_; + wire _11710_; + wire _11711_; + wire _11712_; + wire _11713_; + wire _11714_; + wire _11715_; + wire _11716_; + wire _11717_; + wire _11718_; + wire _11719_; + wire _11720_; + wire _11721_; + wire _11722_; + wire _11723_; + wire _11724_; + wire _11725_; + wire _11726_; + wire _11727_; + wire _11728_; + wire _11729_; + wire _11730_; + wire _11731_; + wire _11732_; + wire _11733_; + wire _11734_; + wire _11735_; + wire _11736_; + wire _11737_; + wire _11738_; + wire _11739_; + wire _11740_; + wire _11741_; + wire _11742_; + wire _11743_; + wire _11744_; + wire _11745_; + wire _11746_; + wire _11747_; + wire _11748_; + wire _11749_; + wire _11750_; + wire _11751_; + wire _11752_; + wire _11753_; + wire _11754_; + wire _11755_; + wire _11756_; + wire _11757_; + wire _11758_; + wire _11759_; + wire _11760_; + wire _11761_; + wire _11762_; + wire _11763_; + wire _11764_; + wire _11765_; + wire _11766_; + wire _11767_; + wire _11768_; + wire _11769_; + wire _11770_; + wire _11771_; + wire _11772_; + wire _11773_; + wire _11774_; + wire _11775_; + wire _11776_; + wire _11777_; + wire _11778_; + wire _11779_; + wire _11780_; + wire _11781_; + wire _11782_; + wire _11783_; + wire _11784_; + wire _11785_; + wire _11786_; + wire _11787_; + wire _11788_; + wire _11789_; + wire _11790_; + wire _11791_; + wire _11792_; + wire _11793_; + wire _11794_; + wire _11795_; + wire _11796_; + wire _11797_; + wire _11798_; + wire _11799_; + wire _11800_; + wire _11801_; + wire _11802_; + wire _11803_; + wire _11804_; + wire _11805_; + wire _11806_; + wire _11807_; + wire _11808_; + wire _11809_; + wire _11810_; + wire _11811_; + wire _11812_; + wire _11813_; + wire _11814_; + wire _11815_; + wire _11816_; + wire _11817_; + wire _11818_; + wire _11819_; + wire _11820_; + wire _11821_; + wire _11822_; + wire _11823_; + wire _11824_; + wire _11825_; + wire _11826_; + wire _11827_; + wire _11828_; + wire _11829_; + wire _11830_; + wire _11831_; + wire _11832_; + wire _11833_; + wire _11834_; + wire _11835_; + wire _11836_; + wire _11837_; + wire _11838_; + wire _11839_; + wire _11840_; + wire _11841_; + wire _11842_; + wire _11843_; + wire _11844_; + wire _11845_; + wire _11846_; + wire _11847_; + wire _11848_; + wire _11849_; + wire _11850_; + wire _11851_; + wire _11852_; + wire _11853_; + wire _11854_; + wire _11855_; + wire _11856_; + wire _11857_; + wire _11858_; + wire _11859_; + wire _11860_; + wire _11861_; + wire _11862_; + wire _11863_; + wire _11864_; + wire _11865_; + wire _11866_; + wire _11867_; + wire _11868_; + wire _11869_; + wire _11870_; + wire _11871_; + wire _11872_; + wire _11873_; + wire _11874_; + wire _11875_; + wire _11876_; + wire _11877_; + wire _11878_; + wire _11879_; + wire _11880_; + wire _11881_; + wire _11882_; + wire _11883_; + wire _11884_; + wire _11885_; + wire _11886_; + wire _11887_; + wire _11888_; + wire _11889_; + wire _11890_; + wire _11891_; + wire _11892_; + wire _11893_; + wire _11894_; + wire _11895_; + wire _11896_; + wire _11897_; + wire _11898_; + wire _11899_; + wire _11900_; + wire _11901_; + wire _11902_; + wire _11903_; + wire _11904_; + wire _11905_; + wire _11906_; + wire _11907_; + wire _11908_; + wire _11909_; + wire _11910_; + wire _11911_; + wire _11912_; + wire _11913_; + wire _11914_; + wire _11915_; + wire _11916_; + wire _11917_; + wire _11918_; + wire _11919_; + wire _11920_; + wire _11921_; + wire _11922_; + wire _11923_; + wire _11924_; + wire _11925_; + wire _11926_; + wire _11927_; + wire _11928_; + wire _11929_; + wire _11930_; + wire _11931_; + wire _11932_; + wire _11933_; + wire _11934_; + wire _11935_; + wire _11936_; + wire _11937_; + wire _11938_; + wire _11939_; + wire _11940_; + wire _11941_; + wire _11942_; + wire _11943_; + wire _11944_; + wire _11945_; + wire _11946_; + wire _11947_; + wire _11948_; + wire _11949_; + wire _11950_; + wire _11951_; + wire _11952_; + wire _11953_; + wire _11954_; + wire _11955_; + wire _11956_; + wire _11957_; + wire _11958_; + wire _11959_; + wire _11960_; + wire _11961_; + wire _11962_; + wire _11963_; + wire _11964_; + wire _11965_; + wire _11966_; + wire _11967_; + wire _11968_; + wire _11969_; + wire _11970_; + wire _11971_; + wire _11972_; + wire _11973_; + wire _11974_; + wire _11975_; + wire _11976_; + wire _11977_; + wire _11978_; + wire _11979_; + wire _11980_; + wire _11981_; + wire _11982_; + wire _11983_; + wire _11984_; + wire _11985_; + wire _11986_; + wire _11987_; + wire _11988_; + wire _11989_; + wire _11990_; + wire _11991_; + wire _11992_; + wire _11993_; + wire _11994_; + wire _11995_; + wire _11996_; + wire _11997_; + wire _11998_; + wire _11999_; + wire _12000_; + wire _12001_; + wire _12002_; + wire _12003_; + wire _12004_; + wire _12005_; + wire _12006_; + wire _12007_; + wire _12008_; + wire _12009_; + wire _12010_; + wire _12011_; + wire _12012_; + wire _12013_; + wire _12014_; + wire _12015_; + wire _12016_; + wire _12017_; + wire _12018_; + wire _12019_; + wire _12020_; + wire _12021_; + wire _12022_; + wire _12023_; + wire _12024_; + wire _12025_; + wire _12026_; + wire _12027_; + wire _12028_; + wire _12029_; + wire _12030_; + wire _12031_; + wire _12032_; + wire _12033_; + wire _12034_; + wire _12035_; + wire _12036_; + wire _12037_; + wire _12038_; + wire _12039_; + wire _12040_; + wire _12041_; + wire _12042_; + wire _12043_; + wire _12044_; + wire _12045_; + wire _12046_; + wire _12047_; + wire _12048_; + wire _12049_; + wire _12050_; + wire _12051_; + wire _12052_; + wire _12053_; + wire _12054_; + wire _12055_; + wire _12056_; + wire _12057_; + wire _12058_; + wire _12059_; + wire _12060_; + wire _12061_; + wire _12062_; + wire _12063_; + wire _12064_; + wire _12065_; + wire _12066_; + wire _12067_; + wire _12068_; + wire _12069_; + wire _12070_; + wire _12071_; + wire _12072_; + wire _12073_; + wire _12074_; + wire _12075_; + wire _12076_; + wire _12077_; + wire _12078_; + wire _12079_; + wire _12080_; + wire _12081_; + wire _12082_; + wire _12083_; + wire _12084_; + wire _12085_; + wire _12086_; + wire _12087_; + wire _12088_; + wire _12089_; + wire _12090_; + wire _12091_; + wire _12092_; + wire _12093_; + wire _12094_; + wire _12095_; + wire _12096_; + wire _12097_; + wire _12098_; + wire _12099_; + wire _12100_; + wire _12101_; + wire _12102_; + wire _12103_; + wire _12104_; + wire _12105_; + wire _12106_; + wire _12107_; + wire _12108_; + wire _12109_; + wire _12110_; + wire _12111_; + wire _12112_; + wire _12113_; + wire _12114_; + wire _12115_; + wire _12116_; + wire _12117_; + wire _12118_; + wire _12119_; + wire _12120_; + wire _12121_; + wire _12122_; + wire _12123_; + wire _12124_; + wire _12125_; + wire _12126_; + wire _12127_; + wire _12128_; + wire _12129_; + wire _12130_; + wire _12131_; + wire _12132_; + wire _12133_; + wire _12134_; + wire _12135_; + wire _12136_; + wire _12137_; + wire _12138_; + wire _12139_; + wire _12140_; + wire _12141_; + wire _12142_; + wire _12143_; + wire _12144_; + wire _12145_; + wire _12146_; + wire _12147_; + wire _12148_; + wire _12149_; + wire _12150_; + wire _12151_; + wire _12152_; + wire _12153_; + wire _12154_; + wire _12155_; + wire _12156_; + wire _12157_; + wire _12158_; + wire _12159_; + wire _12160_; + wire _12161_; + wire _12162_; + wire _12163_; + wire _12164_; + wire _12165_; + wire _12166_; + wire _12167_; + wire _12168_; + wire _12169_; + wire _12170_; + wire _12171_; + wire _12172_; + wire _12173_; + wire _12174_; + wire _12175_; + wire _12176_; + wire _12177_; + wire _12178_; + wire _12179_; + wire _12180_; + wire _12181_; + wire _12182_; + wire _12183_; + wire _12184_; + wire _12185_; + wire _12186_; + wire _12187_; + wire _12188_; + wire _12189_; + wire _12190_; + wire _12191_; + wire _12192_; + wire _12193_; + wire _12194_; + wire _12195_; + wire _12196_; + wire _12197_; + wire _12198_; + wire _12199_; + wire _12200_; + wire _12201_; + wire _12202_; + wire _12203_; + wire _12204_; + wire _12205_; + wire _12206_; + wire _12207_; + wire _12208_; + wire _12209_; + wire _12210_; + wire _12211_; + wire _12212_; + wire _12213_; + wire _12214_; + wire _12215_; + wire _12216_; + wire _12217_; + wire _12218_; + wire _12219_; + wire _12220_; + wire _12221_; + wire _12222_; + wire _12223_; + wire _12224_; + wire _12225_; + wire _12226_; + wire _12227_; + wire _12228_; + wire _12229_; + wire _12230_; + wire _12231_; + wire _12232_; + wire _12233_; + wire _12234_; + wire _12235_; + wire _12236_; + wire _12237_; + wire _12238_; + wire _12239_; + wire _12240_; + wire _12241_; + wire _12242_; + wire _12243_; + wire _12244_; + wire _12245_; + wire _12246_; + wire _12247_; + wire _12248_; + wire _12249_; + wire _12250_; + wire _12251_; + wire _12252_; + wire _12253_; + wire _12254_; + wire _12255_; + wire _12256_; + wire _12257_; + wire _12258_; + wire _12259_; + wire _12260_; + wire _12261_; + wire _12262_; + wire _12263_; + wire _12264_; + wire _12265_; + wire _12266_; + wire _12267_; + wire _12268_; + wire _12269_; + wire _12270_; + wire _12271_; + wire _12272_; + wire _12273_; + wire _12274_; + wire _12275_; + wire _12276_; + wire _12277_; + wire _12278_; + wire _12279_; + wire _12280_; + wire _12281_; + wire _12282_; + wire _12283_; + wire _12284_; + wire _12285_; + wire _12286_; + wire _12287_; + wire _12288_; + wire _12289_; + wire _12290_; + wire _12291_; + wire _12292_; + wire _12293_; + wire _12294_; + wire _12295_; + wire _12296_; + wire _12297_; + wire _12298_; + wire _12299_; + wire _12300_; + wire _12301_; + wire _12302_; + wire _12303_; + wire _12304_; + wire _12305_; + wire _12306_; + wire _12307_; + wire _12308_; + wire _12309_; + wire _12310_; + wire _12311_; + wire _12312_; + wire _12313_; + wire _12314_; + wire _12315_; + wire _12316_; + wire _12317_; + wire _12318_; + wire _12319_; + wire _12320_; + wire _12321_; + wire _12322_; + wire _12323_; + wire _12324_; + wire _12325_; + wire _12326_; + wire _12327_; + wire _12328_; + wire _12329_; + wire _12330_; + wire _12331_; + wire _12332_; + wire _12333_; + wire _12334_; + wire _12335_; + wire _12336_; + wire _12337_; + wire _12338_; + wire _12339_; + wire _12340_; + wire _12341_; + wire _12342_; + wire _12343_; + wire _12344_; + wire _12345_; + wire _12346_; + wire _12347_; + wire _12348_; + wire _12349_; + wire _12350_; + wire _12351_; + wire _12352_; + wire _12353_; + wire _12354_; + wire _12355_; + wire _12356_; + wire _12357_; + wire _12358_; + wire _12359_; + wire _12360_; + wire _12361_; + wire _12362_; + wire _12363_; + wire _12364_; + wire _12365_; + wire _12366_; + wire _12367_; + wire _12368_; + wire _12369_; + wire _12370_; + wire _12371_; + wire _12372_; + wire _12373_; + wire _12374_; + wire _12375_; + wire _12376_; + wire _12377_; + wire _12378_; + wire _12379_; + wire _12380_; + wire _12381_; + wire _12382_; + wire _12383_; + wire _12384_; + wire _12385_; + wire _12386_; + wire _12387_; + wire _12388_; + wire _12389_; + wire _12390_; + wire _12391_; + wire _12392_; + wire _12393_; + wire _12394_; + wire _12395_; + wire _12396_; + wire _12397_; + wire _12398_; + wire _12399_; + wire _12400_; + wire _12401_; + wire _12402_; + wire _12403_; + wire _12404_; + wire _12405_; + wire _12406_; + wire _12407_; + wire _12408_; + wire _12409_; + wire _12410_; + wire _12411_; + wire _12412_; + wire _12413_; + wire _12414_; + wire _12415_; + wire _12416_; + wire _12417_; + wire _12418_; + wire _12419_; + wire _12420_; + wire _12421_; + wire _12422_; + wire _12423_; + wire _12424_; + wire _12425_; + wire _12426_; + wire _12427_; + wire _12428_; + wire _12429_; + wire _12430_; + wire _12431_; + wire _12432_; + wire _12433_; + wire _12434_; + wire _12435_; + wire _12436_; + wire _12437_; + wire _12438_; + wire _12439_; + wire _12440_; + wire _12441_; + wire _12442_; + wire _12443_; + wire _12444_; + wire _12445_; + wire _12446_; + wire _12447_; + wire _12448_; + wire _12449_; + wire _12450_; + wire _12451_; + wire _12452_; + wire _12453_; + wire _12454_; + wire _12455_; + wire _12456_; + wire _12457_; + wire _12458_; + wire _12459_; + wire _12460_; + wire _12461_; + wire _12462_; + wire _12463_; + wire _12464_; + wire _12465_; + wire _12466_; + wire _12467_; + wire _12468_; + wire _12469_; + wire _12470_; + wire _12471_; + wire _12472_; + wire _12473_; + wire _12474_; + wire _12475_; + wire _12476_; + wire _12477_; + wire _12478_; + wire _12479_; + wire _12480_; + wire _12481_; + wire _12482_; + wire _12483_; + wire _12484_; + wire _12485_; + wire _12486_; + wire _12487_; + wire _12488_; + wire _12489_; + wire _12490_; + wire _12491_; + wire _12492_; + wire _12493_; + wire _12494_; + wire _12495_; + wire _12496_; + wire _12497_; + wire _12498_; + wire _12499_; + wire _12500_; + wire _12501_; + wire _12502_; + wire _12503_; + wire _12504_; + wire _12505_; + wire _12506_; + wire _12507_; + wire _12508_; + wire _12509_; + wire _12510_; + wire _12511_; + wire _12512_; + wire _12513_; + wire _12514_; + wire _12515_; + wire _12516_; + wire _12517_; + wire _12518_; + wire _12519_; + wire _12520_; + wire _12521_; + wire _12522_; + wire _12523_; + wire _12524_; + wire _12525_; + wire _12526_; + wire _12527_; + wire _12528_; + wire _12529_; + wire _12530_; + wire _12531_; + wire _12532_; + wire _12533_; + wire _12534_; + wire _12535_; + wire _12536_; + wire _12537_; + wire _12538_; + wire _12539_; + wire _12540_; + wire _12541_; + wire _12542_; + wire _12543_; + wire _12544_; + wire _12545_; + wire _12546_; + wire _12547_; + wire _12548_; + wire _12549_; + wire _12550_; + wire _12551_; + wire _12552_; + wire _12553_; + wire _12554_; + wire _12555_; + wire _12556_; + wire _12557_; + wire _12558_; + wire _12559_; + wire _12560_; + wire _12561_; + wire _12562_; + wire _12563_; + wire _12564_; + wire _12565_; + wire _12566_; + wire _12567_; + wire _12568_; + wire _12569_; + wire _12570_; + wire _12571_; + wire _12572_; + wire _12573_; + wire _12574_; + wire _12575_; + wire _12576_; + wire _12577_; + wire _12578_; + wire _12579_; + wire _12580_; + wire _12581_; + wire _12582_; + wire _12583_; + wire _12584_; + wire _12585_; + wire _12586_; + wire _12587_; + wire _12588_; + wire _12589_; + wire _12590_; + wire _12591_; + wire _12592_; + wire _12593_; + wire _12594_; + wire _12595_; + wire _12596_; + wire _12597_; + wire _12598_; + wire _12599_; + wire _12600_; + wire _12601_; + wire _12602_; + wire _12603_; + wire _12604_; + wire _12605_; + wire _12606_; + wire _12607_; + wire _12608_; + wire _12609_; + wire _12610_; + wire _12611_; + wire _12612_; + wire _12613_; + wire _12614_; + wire _12615_; + wire _12616_; + wire _12617_; + wire _12618_; + wire _12619_; + wire _12620_; + wire _12621_; + wire _12622_; + wire _12623_; + wire _12624_; + wire _12625_; + wire _12626_; + wire _12627_; + wire _12628_; + wire _12629_; + wire _12630_; + wire _12631_; + wire _12632_; + wire _12633_; + wire _12634_; + wire _12635_; + wire _12636_; + wire _12637_; + wire _12638_; + wire _12639_; + wire _12640_; + wire _12641_; + wire _12642_; + wire _12643_; + wire _12644_; + wire _12645_; + wire _12646_; + wire _12647_; + wire _12648_; + wire _12649_; + wire _12650_; + wire _12651_; + wire _12652_; + wire _12653_; + wire _12654_; + wire _12655_; + wire _12656_; + wire _12657_; + wire _12658_; + wire _12659_; + wire _12660_; + wire _12661_; + wire _12662_; + wire _12663_; + wire _12664_; + wire _12665_; + wire _12666_; + wire _12667_; + wire _12668_; + wire _12669_; + wire _12670_; + wire _12671_; + wire _12672_; + wire _12673_; + wire _12674_; + wire _12675_; + wire _12676_; + wire _12677_; + wire _12678_; + wire _12679_; + wire _12680_; + wire _12681_; + wire _12682_; + wire _12683_; + wire _12684_; + wire _12685_; + wire _12686_; + wire _12687_; + wire _12688_; + wire _12689_; + wire _12690_; + wire _12691_; + wire _12692_; + wire _12693_; + wire _12694_; + wire _12695_; + wire _12696_; + wire _12697_; + wire _12698_; + wire _12699_; + wire _12700_; + wire _12701_; + wire _12702_; + wire _12703_; + wire _12704_; + wire _12705_; + wire _12706_; + wire _12707_; + wire _12708_; + wire _12709_; + wire _12710_; + wire _12711_; + wire _12712_; + wire _12713_; + wire _12714_; + wire _12715_; + wire _12716_; + wire _12717_; + wire _12718_; + wire _12719_; + wire _12720_; + wire _12721_; + wire _12722_; + wire _12723_; + wire _12724_; + wire _12725_; + wire _12726_; + wire _12727_; + wire _12728_; + wire _12729_; + wire _12730_; + wire _12731_; + wire _12732_; + wire _12733_; + wire _12734_; + wire _12735_; + wire _12736_; + wire _12737_; + wire _12738_; + wire _12739_; + wire _12740_; + wire _12741_; + wire _12742_; + wire _12743_; + wire _12744_; + wire _12745_; + wire _12746_; + wire _12747_; + wire _12748_; + wire _12749_; + wire _12750_; + wire _12751_; + wire _12752_; + wire _12753_; + wire _12754_; + wire _12755_; + wire _12756_; + wire _12757_; + wire _12758_; + wire _12759_; + wire _12760_; + wire _12761_; + wire _12762_; + wire _12763_; + wire _12764_; + wire _12765_; + wire _12766_; + wire _12767_; + wire _12768_; + wire _12769_; + wire _12770_; + wire _12771_; + wire _12772_; + wire _12773_; + wire _12774_; + wire _12775_; + wire _12776_; + wire _12777_; + wire _12778_; + wire _12779_; + wire _12780_; + wire _12781_; + wire _12782_; + wire _12783_; + wire _12784_; + wire _12785_; + wire _12786_; + wire _12787_; + wire _12788_; + wire _12789_; + wire _12790_; + wire _12791_; + wire _12792_; + wire _12793_; + wire _12794_; + wire _12795_; + wire _12796_; + wire _12797_; + wire _12798_; + wire _12799_; + wire _12800_; + wire _12801_; + wire _12802_; + wire _12803_; + wire _12804_; + wire _12805_; + wire _12806_; + wire _12807_; + wire _12808_; + wire _12809_; + wire _12810_; + wire _12811_; + wire _12812_; + wire _12813_; + wire _12814_; + wire _12815_; + wire _12816_; + wire _12817_; + wire _12818_; + wire _12819_; + wire _12820_; + wire _12821_; + wire _12822_; + wire _12823_; + wire _12824_; + wire _12825_; + wire _12826_; + wire _12827_; + wire _12828_; + wire _12829_; + wire _12830_; + wire _12831_; + wire _12832_; + wire _12833_; + wire _12834_; + wire _12835_; + wire _12836_; + wire _12837_; + wire _12838_; + wire _12839_; + wire _12840_; + wire _12841_; + wire _12842_; + wire _12843_; + wire _12844_; + wire _12845_; + wire _12846_; + wire _12847_; + wire _12848_; + wire _12849_; + wire _12850_; + wire _12851_; + wire _12852_; + wire _12853_; + wire _12854_; + wire _12855_; + wire _12856_; + wire _12857_; + wire _12858_; + wire _12859_; + wire _12860_; + wire _12861_; + wire _12862_; + wire _12863_; + wire _12864_; + wire _12865_; + wire _12866_; + wire _12867_; + wire _12868_; + wire _12869_; + wire _12870_; + wire _12871_; + wire _12872_; + wire _12873_; + wire _12874_; + wire _12875_; + wire _12876_; + wire _12877_; + wire _12878_; + wire _12879_; + wire _12880_; + wire _12881_; + wire _12882_; + wire _12883_; + wire _12884_; + wire _12885_; + wire _12886_; + wire _12887_; + wire _12888_; + wire _12889_; + wire _12890_; + wire _12891_; + wire _12892_; + wire _12893_; + wire _12894_; + wire _12895_; + wire _12896_; + wire _12897_; + wire _12898_; + wire _12899_; + wire _12900_; + wire _12901_; + wire _12902_; + wire _12903_; + wire _12904_; + wire _12905_; + wire _12906_; + wire _12907_; + wire _12908_; + wire _12909_; + wire _12910_; + wire _12911_; + wire _12912_; + wire _12913_; + wire _12914_; + wire _12915_; + wire _12916_; + wire _12917_; + wire _12918_; + wire _12919_; + wire _12920_; + wire _12921_; + wire _12922_; + wire _12923_; + wire _12924_; + wire _12925_; + wire _12926_; + wire _12927_; + wire _12928_; + wire _12929_; + wire _12930_; + wire _12931_; + wire _12932_; + wire _12933_; + wire _12934_; + wire _12935_; + wire _12936_; + wire _12937_; + wire _12938_; + wire _12939_; + wire _12940_; + wire _12941_; + wire _12942_; + wire _12943_; + wire _12944_; + wire _12945_; + wire _12946_; + wire _12947_; + wire _12948_; + wire _12949_; + wire _12950_; + wire _12951_; + wire _12952_; + wire _12953_; + wire _12954_; + wire _12955_; + wire _12956_; + wire _12957_; + wire _12958_; + wire _12959_; + wire _12960_; + wire _12961_; + wire _12962_; + wire _12963_; + wire _12964_; + wire _12965_; + wire _12966_; + wire _12967_; + wire _12968_; + wire _12969_; + wire _12970_; + wire _12971_; + wire _12972_; + wire _12973_; + wire _12974_; + wire _12975_; + wire _12976_; + wire _12977_; + wire _12978_; + wire _12979_; + wire _12980_; + wire _12981_; + wire _12982_; + wire _12983_; + wire _12984_; + wire _12985_; + wire _12986_; + wire _12987_; + wire _12988_; + wire _12989_; + wire _12990_; + wire _12991_; + wire _12992_; + wire _12993_; + wire _12994_; + wire _12995_; + wire _12996_; + wire _12997_; + wire _12998_; + wire _12999_; + wire _13000_; + wire _13001_; + wire _13002_; + wire _13003_; + wire _13004_; + wire _13005_; + wire _13006_; + wire _13007_; + wire _13008_; + wire _13009_; + wire _13010_; + wire _13011_; + wire _13012_; + wire _13013_; + wire _13014_; + wire _13015_; + wire _13016_; + wire _13017_; + wire _13018_; + wire _13019_; + wire _13020_; + wire _13021_; + wire _13022_; + wire _13023_; + wire _13024_; + wire _13025_; + wire _13026_; + wire _13027_; + wire _13028_; + wire _13029_; + wire _13030_; + wire _13031_; + wire _13032_; + wire _13033_; + wire _13034_; + wire _13035_; + wire _13036_; + wire _13037_; + wire _13038_; + wire _13039_; + wire _13040_; + wire _13041_; + wire _13042_; + wire _13043_; + wire _13044_; + wire _13045_; + wire _13046_; + wire _13047_; + wire _13048_; + wire _13049_; + wire _13050_; + wire _13051_; + wire _13052_; + wire _13053_; + wire _13054_; + wire _13055_; + wire _13056_; + wire _13057_; + wire _13058_; + wire _13059_; + wire _13060_; + wire _13061_; + wire _13062_; + wire _13063_; + wire _13064_; + wire _13065_; + wire _13066_; + wire _13067_; + wire _13068_; + wire _13069_; + wire _13070_; + wire _13071_; + wire _13072_; + wire _13073_; + wire _13074_; + wire _13075_; + wire _13076_; + wire _13077_; + wire _13078_; + wire _13079_; + wire _13080_; + wire _13081_; + wire _13082_; + wire _13083_; + wire _13084_; + wire _13085_; + wire _13086_; + wire _13087_; + wire _13088_; + wire _13089_; + wire _13090_; + wire _13091_; + wire _13092_; + wire _13093_; + wire _13094_; + wire _13095_; + wire _13096_; + wire _13097_; + wire _13098_; + wire _13099_; + wire _13100_; + wire _13101_; + wire _13102_; + wire _13103_; + wire _13104_; + wire _13105_; + wire _13106_; + wire _13107_; + wire _13108_; + wire _13109_; + wire _13110_; + wire _13111_; + wire _13112_; + wire _13113_; + wire _13114_; + wire _13115_; + wire _13116_; + wire _13117_; + wire _13118_; + wire _13119_; + wire _13120_; + wire _13121_; + wire _13122_; + wire _13123_; + wire _13124_; + wire _13125_; + wire _13126_; + wire _13127_; + wire _13128_; + wire _13129_; + wire _13130_; + wire _13131_; + wire _13132_; + wire _13133_; + wire _13134_; + wire _13135_; + wire _13136_; + wire _13137_; + wire _13138_; + wire _13139_; + wire _13140_; + wire _13141_; + wire _13142_; + wire _13143_; + wire _13144_; + wire _13145_; + wire _13146_; + wire _13147_; + wire _13148_; + wire _13149_; + wire _13150_; + wire _13151_; + wire _13152_; + wire _13153_; + wire _13154_; + wire _13155_; + wire _13156_; + wire _13157_; + wire _13158_; + wire _13159_; + wire _13160_; + wire _13161_; + wire _13162_; + wire _13163_; + wire _13164_; + wire _13165_; + wire _13166_; + wire _13167_; + wire _13168_; + wire _13169_; + wire _13170_; + wire _13171_; + wire _13172_; + wire _13173_; + wire _13174_; + wire _13175_; + wire _13176_; + wire _13177_; + wire _13178_; + wire _13179_; + wire _13180_; + wire _13181_; + wire _13182_; + wire _13183_; + wire _13184_; + wire _13185_; + wire _13186_; + wire _13187_; + wire _13188_; + wire _13189_; + wire _13190_; + wire _13191_; + wire _13192_; + wire _13193_; + wire _13194_; + wire _13195_; + wire _13196_; + wire _13197_; + wire _13198_; + wire _13199_; + wire _13200_; + wire _13201_; + wire _13202_; + wire _13203_; + wire _13204_; + wire _13205_; + wire _13206_; + wire _13207_; + wire _13208_; + wire _13209_; + wire _13210_; + wire _13211_; + wire _13212_; + wire _13213_; + wire _13214_; + wire _13215_; + wire _13216_; + wire _13217_; + wire _13218_; + wire _13219_; + wire _13220_; + wire _13221_; + wire _13222_; + wire _13223_; + wire _13224_; + wire _13225_; + wire _13226_; + wire _13227_; + wire _13228_; + wire _13229_; + wire _13230_; + wire _13231_; + wire _13232_; + wire _13233_; + wire _13234_; + wire _13235_; + wire _13236_; + wire _13237_; + wire _13238_; + wire _13239_; + wire _13240_; + wire _13241_; + wire _13242_; + wire _13243_; + wire _13244_; + wire _13245_; + wire _13246_; + wire _13247_; + wire _13248_; + wire _13249_; + wire _13250_; + wire _13251_; + wire _13252_; + wire _13253_; + wire _13254_; + wire _13255_; + wire _13256_; + wire _13257_; + wire _13258_; + wire _13259_; + wire _13260_; + wire _13261_; + wire _13262_; + wire _13263_; + wire _13264_; + wire _13265_; + wire _13266_; + wire _13267_; + wire _13268_; + wire _13269_; + wire _13270_; + wire _13271_; + wire _13272_; + wire _13273_; + wire _13274_; + wire _13275_; + wire _13276_; + wire _13277_; + wire _13278_; + wire _13279_; + wire _13280_; + wire _13281_; + wire _13282_; + wire _13283_; + wire _13284_; + wire _13285_; + wire _13286_; + wire _13287_; + wire _13288_; + wire _13289_; + wire _13290_; + wire _13291_; + wire _13292_; + wire _13293_; + wire _13294_; + wire _13295_; + wire _13296_; + wire _13297_; + wire _13298_; + wire _13299_; + wire _13300_; + wire _13301_; + wire _13302_; + wire _13303_; + wire _13304_; + wire _13305_; + wire _13306_; + wire _13307_; + wire _13308_; + wire _13309_; + wire _13310_; + wire _13311_; + wire _13312_; + wire _13313_; + wire _13314_; + wire _13315_; + wire _13316_; + wire _13317_; + wire _13318_; + wire _13319_; + wire _13320_; + wire _13321_; + wire _13322_; + wire _13323_; + wire _13324_; + wire _13325_; + wire _13326_; + wire _13327_; + wire _13328_; + wire _13329_; + wire _13330_; + wire _13331_; + wire _13332_; + wire _13333_; + wire _13334_; + wire _13335_; + wire _13336_; + wire _13337_; + wire _13338_; + wire _13339_; + wire _13340_; + wire _13341_; + wire _13342_; + wire _13343_; + wire _13344_; + wire _13345_; + wire _13346_; + wire _13347_; + wire _13348_; + wire _13349_; + wire _13350_; + wire _13351_; + wire _13352_; + wire _13353_; + wire _13354_; + wire _13355_; + wire _13356_; + wire _13357_; + wire _13358_; + wire _13359_; + wire _13360_; + wire _13361_; + wire _13362_; + wire _13363_; + wire _13364_; + wire _13365_; + wire _13366_; + wire _13367_; + wire _13368_; + wire _13369_; + wire _13370_; + wire _13371_; + wire _13372_; + wire _13373_; + wire _13374_; + wire _13375_; + wire _13376_; + wire _13377_; + wire _13378_; + wire _13379_; + wire _13380_; + wire _13381_; + wire _13382_; + wire _13383_; + wire _13384_; + wire _13385_; + wire _13386_; + wire _13387_; + wire _13388_; + wire _13389_; + wire _13390_; + wire _13391_; + wire _13392_; + wire _13393_; + wire _13394_; + wire _13395_; + wire _13396_; + wire _13397_; + wire _13398_; + wire _13399_; + wire _13400_; + wire _13401_; + wire _13402_; + wire _13403_; + wire _13404_; + wire _13405_; + wire _13406_; + wire _13407_; + wire _13408_; + wire _13409_; + wire _13410_; + wire _13411_; + wire _13412_; + wire _13413_; + wire _13414_; + wire _13415_; + wire _13416_; + wire _13417_; + wire _13418_; + wire _13419_; + wire _13420_; + wire _13421_; + wire _13422_; + wire _13423_; + wire _13424_; + wire _13425_; + wire _13426_; + wire _13427_; + wire _13428_; + wire _13429_; + wire _13430_; + wire _13431_; + wire _13432_; + wire _13433_; + wire _13434_; + wire _13435_; + wire _13436_; + wire _13437_; + wire _13438_; + wire _13439_; + wire _13440_; + wire _13441_; + wire _13442_; + wire _13443_; + wire _13444_; + wire _13445_; + wire _13446_; + wire _13447_; + wire _13448_; + wire _13449_; + wire _13450_; + wire _13451_; + wire _13452_; + wire _13453_; + wire _13454_; + wire _13455_; + wire _13456_; + wire _13457_; + wire _13458_; + wire _13459_; + wire _13460_; + wire _13461_; + wire _13462_; + wire _13463_; + wire _13464_; + wire _13465_; + wire _13466_; + wire _13467_; + wire _13468_; + wire _13469_; + wire _13470_; + wire _13471_; + wire _13472_; + wire _13473_; + wire _13474_; + wire _13475_; + wire _13476_; + wire _13477_; + wire _13478_; + wire _13479_; + wire _13480_; + wire _13481_; + wire _13482_; + wire _13483_; + wire _13484_; + wire _13485_; + wire _13486_; + wire _13487_; + wire _13488_; + wire _13489_; + wire _13490_; + wire _13491_; + wire _13492_; + wire _13493_; + wire _13494_; + wire _13495_; + wire _13496_; + wire _13497_; + wire _13498_; + wire _13499_; + wire _13500_; + wire _13501_; + wire _13502_; + wire _13503_; + wire _13504_; + wire _13505_; + wire _13506_; + wire _13507_; + wire _13508_; + wire _13509_; + wire _13510_; + wire _13511_; + wire _13512_; + wire _13513_; + wire _13514_; + wire _13515_; + wire _13516_; + wire _13517_; + wire _13518_; + wire _13519_; + wire _13520_; + wire _13521_; + wire _13522_; + wire _13523_; + wire _13524_; + wire _13525_; + wire _13526_; + wire _13527_; + wire _13528_; + wire _13529_; + wire _13530_; + wire _13531_; + wire _13532_; + wire _13533_; + wire _13534_; + wire _13535_; + wire _13536_; + wire _13537_; + wire _13538_; + wire _13539_; + wire _13540_; + wire _13541_; + wire _13542_; + wire _13543_; + wire _13544_; + wire _13545_; + wire _13546_; + wire _13547_; + wire _13548_; + wire _13549_; + wire _13550_; + wire _13551_; + wire _13552_; + wire _13553_; + wire _13554_; + wire _13555_; + wire _13556_; + wire _13557_; + wire _13558_; + wire _13559_; + wire _13560_; + wire _13561_; + wire _13562_; + wire _13563_; + wire _13564_; + wire _13565_; + wire _13566_; + wire _13567_; + wire _13568_; + wire _13569_; + wire _13570_; + wire _13571_; + wire _13572_; + wire _13573_; + wire _13574_; + wire _13575_; + wire _13576_; + wire _13577_; + wire _13578_; + wire _13579_; + wire _13580_; + wire _13581_; + wire _13582_; + wire _13583_; + wire _13584_; + wire _13585_; + wire _13586_; + wire _13587_; + wire _13588_; + wire _13589_; + wire _13590_; + wire _13591_; + wire _13592_; + wire _13593_; + wire _13594_; + wire _13595_; + wire _13596_; + wire _13597_; + wire _13598_; + wire _13599_; + wire _13600_; + wire _13601_; + wire _13602_; + wire _13603_; + wire _13604_; + wire _13605_; + wire _13606_; + wire _13607_; + wire _13608_; + wire _13609_; + wire _13610_; + wire _13611_; + wire _13612_; + wire _13613_; + wire _13614_; + wire _13615_; + wire _13616_; + wire _13617_; + wire _13618_; + wire _13619_; + wire _13620_; + wire _13621_; + wire _13622_; + wire _13623_; + wire _13624_; + wire _13625_; + wire _13626_; + wire _13627_; + wire _13628_; + wire _13629_; + wire _13630_; + wire _13631_; + wire _13632_; + wire _13633_; + wire _13634_; + wire _13635_; + wire _13636_; + wire _13637_; + wire _13638_; + wire _13639_; + wire _13640_; + wire _13641_; + wire _13642_; + wire _13643_; + wire _13644_; + wire _13645_; + wire _13646_; + wire _13647_; + wire _13648_; + wire _13649_; + wire _13650_; + wire _13651_; + wire _13652_; + wire _13653_; + wire _13654_; + wire _13655_; + wire _13656_; + wire _13657_; + wire _13658_; + wire _13659_; + wire _13660_; + wire _13661_; + wire _13662_; + wire _13663_; + wire _13664_; + wire _13665_; + wire _13666_; + wire _13667_; + wire _13668_; + wire _13669_; + wire _13670_; + wire _13671_; + wire _13672_; + wire _13673_; + wire _13674_; + wire _13675_; + wire _13676_; + wire _13677_; + wire _13678_; + wire _13679_; + wire _13680_; + wire _13681_; + wire _13682_; + wire _13683_; + wire _13684_; + wire _13685_; + wire _13686_; + wire _13687_; + wire _13688_; + wire _13689_; + wire _13690_; + wire _13691_; + wire _13692_; + wire _13693_; + wire _13694_; + wire _13695_; + wire _13696_; + wire _13697_; + wire _13698_; + wire _13699_; + wire _13700_; + wire _13701_; + wire _13702_; + wire _13703_; + wire _13704_; + wire _13705_; + wire _13706_; + wire _13707_; + wire _13708_; + wire _13709_; + wire _13710_; + wire _13711_; + wire _13712_; + wire _13713_; + wire _13714_; + wire _13715_; + wire _13716_; + wire _13717_; + wire _13718_; + wire _13719_; + wire _13720_; + wire _13721_; + wire _13722_; + wire _13723_; + wire _13724_; + wire _13725_; + wire _13726_; + wire _13727_; + wire _13728_; + wire _13729_; + wire _13730_; + wire _13731_; + wire _13732_; + wire _13733_; + wire _13734_; + wire _13735_; + wire _13736_; + wire _13737_; + wire _13738_; + wire _13739_; + wire _13740_; + wire _13741_; + wire _13742_; + wire _13743_; + wire _13744_; + wire _13745_; + wire _13746_; + wire _13747_; + wire _13748_; + wire _13749_; + wire _13750_; + wire _13751_; + wire _13752_; + wire _13753_; + wire _13754_; + wire _13755_; + wire _13756_; + wire _13757_; + wire _13758_; + wire _13759_; + wire _13760_; + wire _13761_; + wire _13762_; + wire _13763_; + wire _13764_; + wire _13765_; + wire _13766_; + wire _13767_; + wire _13768_; + wire _13769_; + wire _13770_; + wire _13771_; + wire _13772_; + wire _13773_; + wire _13774_; + wire _13775_; + wire _13776_; + wire _13777_; + wire _13778_; + wire _13779_; + wire _13780_; + wire _13781_; + wire _13782_; + wire _13783_; + wire _13784_; + wire _13785_; + wire _13786_; + wire _13787_; + wire _13788_; + wire _13789_; + wire _13790_; + wire _13791_; + wire _13792_; + wire _13793_; + wire _13794_; + wire _13795_; + wire _13796_; + wire _13797_; + wire _13798_; + wire _13799_; + wire _13800_; + wire _13801_; + wire _13802_; + wire _13803_; + wire _13804_; + wire _13805_; + wire _13806_; + wire _13807_; + wire _13808_; + wire _13809_; + wire _13810_; + wire _13811_; + wire _13812_; + wire _13813_; + wire _13814_; + wire _13815_; + wire _13816_; + wire _13817_; + wire _13818_; + wire _13819_; + wire _13820_; + wire _13821_; + wire _13822_; + wire _13823_; + wire _13824_; + wire _13825_; + wire _13826_; + wire _13827_; + wire _13828_; + wire _13829_; + wire _13830_; + wire _13831_; + wire _13832_; + wire _13833_; + wire _13834_; + wire _13835_; + wire _13836_; + wire _13837_; + wire _13838_; + wire _13839_; + wire _13840_; + wire _13841_; + wire _13842_; + wire _13843_; + wire _13844_; + wire _13845_; + wire _13846_; + wire _13847_; + wire _13848_; + wire _13849_; + wire _13850_; + wire _13851_; + wire _13852_; + wire _13853_; + wire _13854_; + wire _13855_; + wire _13856_; + wire _13857_; + wire _13858_; + wire _13859_; + wire _13860_; + wire _13861_; + wire _13862_; + wire _13863_; + wire _13864_; + wire _13865_; + wire _13866_; + wire _13867_; + wire _13868_; + wire _13869_; + wire _13870_; + wire _13871_; + wire _13872_; + wire _13873_; + wire _13874_; + wire _13875_; + wire _13876_; + wire _13877_; + wire _13878_; + wire _13879_; + wire _13880_; + wire _13881_; + wire _13882_; + wire _13883_; + wire _13884_; + wire _13885_; + wire _13886_; + wire _13887_; + wire _13888_; + wire _13889_; + wire _13890_; + wire _13891_; + wire _13892_; + wire _13893_; + wire _13894_; + wire _13895_; + wire _13896_; + wire _13897_; + wire _13898_; + wire _13899_; + wire _13900_; + wire _13901_; + wire _13902_; + wire _13903_; + wire _13904_; + wire _13905_; + wire _13906_; + wire _13907_; + wire _13908_; + wire _13909_; + wire _13910_; + wire _13911_; + wire _13912_; + wire _13913_; + wire _13914_; + wire _13915_; + wire _13916_; + wire _13917_; + wire _13918_; + wire _13919_; + wire _13920_; + wire _13921_; + wire _13922_; + wire _13923_; + wire _13924_; + wire _13925_; + wire _13926_; + wire _13927_; + wire _13928_; + wire _13929_; + wire _13930_; + wire _13931_; + wire _13932_; + wire _13933_; + wire _13934_; + wire _13935_; + wire _13936_; + wire _13937_; + wire _13938_; + wire _13939_; + wire _13940_; + wire _13941_; + wire _13942_; + wire _13943_; + wire _13944_; + wire _13945_; + wire _13946_; + wire _13947_; + wire _13948_; + wire _13949_; + wire _13950_; + wire _13951_; + wire _13952_; + wire _13953_; + wire _13954_; + wire _13955_; + wire _13956_; + wire _13957_; + wire _13958_; + wire _13959_; + wire _13960_; + wire _13961_; + wire _13962_; + wire _13963_; + wire _13964_; + wire _13965_; + wire _13966_; + wire _13967_; + wire _13968_; + wire _13969_; + wire _13970_; + wire _13971_; + wire _13972_; + wire _13973_; + wire _13974_; + wire _13975_; + wire _13976_; + wire _13977_; + wire _13978_; + wire _13979_; + wire _13980_; + wire _13981_; + wire _13982_; + wire _13983_; + wire _13984_; + wire _13985_; + wire _13986_; + wire _13987_; + wire _13988_; + wire _13989_; + wire _13990_; + wire _13991_; + wire _13992_; + wire _13993_; + wire _13994_; + wire _13995_; + wire _13996_; + wire _13997_; + wire _13998_; + wire _13999_; + wire _14000_; + wire _14001_; + wire _14002_; + wire _14003_; + wire _14004_; + wire _14005_; + wire _14006_; + wire _14007_; + wire _14008_; + wire _14009_; + wire _14010_; + wire _14011_; + wire _14012_; + wire _14013_; + wire _14014_; + wire _14015_; + wire _14016_; + wire _14017_; + wire _14018_; + wire _14019_; + wire _14020_; + wire _14021_; + wire _14022_; + wire _14023_; + wire _14024_; + wire _14025_; + wire _14026_; + wire _14027_; + wire _14028_; + wire _14029_; + wire _14030_; + wire _14031_; + wire _14032_; + wire _14033_; + wire _14034_; + wire _14035_; + wire _14036_; + wire _14037_; + wire _14038_; + wire _14039_; + wire _14040_; + wire _14041_; + wire _14042_; + wire _14043_; + wire _14044_; + wire _14045_; + wire _14046_; + wire _14047_; + wire _14048_; + wire _14049_; + wire _14050_; + wire _14051_; + wire _14052_; + wire _14053_; + wire _14054_; + wire _14055_; + wire _14056_; + wire _14057_; + wire _14058_; + wire _14059_; + wire _14060_; + wire _14061_; + wire _14062_; + wire _14063_; + wire _14064_; + wire _14065_; + wire _14066_; + wire _14067_; + wire _14068_; + wire _14069_; + wire _14070_; + wire _14071_; + wire _14072_; + wire _14073_; + wire _14074_; + wire _14075_; + wire _14076_; + wire _14077_; + wire _14078_; + wire _14079_; + wire _14080_; + wire _14081_; + wire _14082_; + wire _14083_; + wire _14084_; + wire _14085_; + wire _14086_; + wire _14087_; + wire _14088_; + wire _14089_; + wire _14090_; + wire _14091_; + wire _14092_; + wire _14093_; + wire _14094_; + wire _14095_; + wire _14096_; + wire _14097_; + wire _14098_; + wire _14099_; + wire _14100_; + wire _14101_; + wire _14102_; + wire _14103_; + wire _14104_; + wire _14105_; + wire _14106_; + wire _14107_; + wire _14108_; + wire _14109_; + wire _14110_; + wire _14111_; + wire _14112_; + wire _14113_; + wire _14114_; + wire _14115_; + wire _14116_; + wire _14117_; + wire _14118_; + wire _14119_; + wire _14120_; + wire _14121_; + wire _14122_; + wire _14123_; + wire _14124_; + wire _14125_; + wire _14126_; + wire _14127_; + wire _14128_; + wire _14129_; + wire _14130_; + wire _14131_; + wire _14132_; + wire _14133_; + wire _14134_; + wire _14135_; + wire _14136_; + wire _14137_; + wire _14138_; + wire _14139_; + wire _14140_; + wire _14141_; + wire _14142_; + wire _14143_; + wire _14144_; + wire _14145_; + wire _14146_; + wire _14147_; + wire _14148_; + wire _14149_; + wire _14150_; + wire _14151_; + wire _14152_; + wire _14153_; + wire _14154_; + wire _14155_; + wire _14156_; + wire _14157_; + wire _14158_; + wire _14159_; + wire _14160_; + wire _14161_; + wire _14162_; + wire _14163_; + wire _14164_; + wire _14165_; + wire _14166_; + wire _14167_; + wire _14168_; + wire _14169_; + wire _14170_; + wire _14171_; + wire _14172_; + wire _14173_; + wire _14174_; + wire _14175_; + wire _14176_; + wire _14177_; + wire _14178_; + wire _14179_; + wire _14180_; + wire _14181_; + wire _14182_; + wire _14183_; + wire _14184_; + wire _14185_; + wire _14186_; + wire _14187_; + wire _14188_; + wire _14189_; + wire _14190_; + wire _14191_; + wire _14192_; + wire _14193_; + wire _14194_; + wire _14195_; + wire _14196_; + wire _14197_; + wire _14198_; + wire _14199_; + wire _14200_; + wire _14201_; + wire _14202_; + wire _14203_; + wire _14204_; + wire _14205_; + wire _14206_; + wire _14207_; + wire _14208_; + wire _14209_; + wire _14210_; + wire _14211_; + wire _14212_; + wire _14213_; + wire _14214_; + wire _14215_; + wire _14216_; + wire _14217_; + wire _14218_; + wire _14219_; + wire _14220_; + wire _14221_; + wire _14222_; + wire _14223_; + wire _14224_; + wire _14225_; + wire _14226_; + wire _14227_; + wire _14228_; + wire _14229_; + wire _14230_; + wire _14231_; + wire _14232_; + wire _14233_; + wire _14234_; + wire _14235_; + wire _14236_; + wire _14237_; + wire _14238_; + wire _14239_; + wire _14240_; + wire _14241_; + wire _14242_; + wire _14243_; + wire _14244_; + wire _14245_; + wire _14246_; + wire _14247_; + wire _14248_; + wire _14249_; + wire _14250_; + wire _14251_; + wire _14252_; + wire _14253_; + wire _14254_; + wire _14255_; + wire _14256_; + wire _14257_; + wire _14258_; + wire _14259_; + wire _14260_; + wire _14261_; + wire _14262_; + wire _14263_; + wire _14264_; + wire _14265_; + wire _14266_; + wire _14267_; + wire _14268_; + wire _14269_; + wire _14270_; + wire _14271_; + wire _14272_; + wire _14273_; + wire _14274_; + wire _14275_; + wire _14276_; + wire _14277_; + wire _14278_; + wire _14279_; + wire _14280_; + wire _14281_; + wire _14282_; + wire _14283_; + wire _14284_; + wire _14285_; + wire _14286_; + wire _14287_; + wire _14288_; + wire _14289_; + wire _14290_; + wire _14291_; + wire _14292_; + wire _14293_; + wire _14294_; + wire _14295_; + wire _14296_; + wire _14297_; + wire _14298_; + wire _14299_; + wire _14300_; + wire _14301_; + wire _14302_; + wire _14303_; + wire _14304_; + wire _14305_; + wire _14306_; + wire _14307_; + wire _14308_; + wire _14309_; + wire _14310_; + wire _14311_; + wire _14312_; + wire _14313_; + wire _14314_; + wire _14315_; + wire _14316_; + wire _14317_; + wire _14318_; + wire _14319_; + wire _14320_; + wire _14321_; + wire _14322_; + wire _14323_; + wire _14324_; + wire _14325_; + wire _14326_; + wire _14327_; + wire _14328_; + wire _14329_; + wire _14330_; + wire _14331_; + wire _14332_; + wire _14333_; + wire _14334_; + wire _14335_; + wire _14336_; + wire _14337_; + wire _14338_; + wire _14339_; + wire _14340_; + wire _14341_; + wire _14342_; + wire _14343_; + wire _14344_; + wire _14345_; + wire _14346_; + wire _14347_; + wire _14348_; + wire _14349_; + wire _14350_; + wire _14351_; + wire _14352_; + wire _14353_; + wire _14354_; + wire _14355_; + wire _14356_; + wire _14357_; + wire _14358_; + wire _14359_; + wire _14360_; + wire _14361_; + wire _14362_; + wire _14363_; + wire _14364_; + wire _14365_; + wire _14366_; + wire _14367_; + wire _14368_; + wire _14369_; + wire _14370_; + wire _14371_; + wire _14372_; + wire _14373_; + wire _14374_; + wire _14375_; + wire _14376_; + wire _14377_; + wire _14378_; + wire _14379_; + wire _14380_; + wire _14381_; + wire _14382_; + wire _14383_; + wire _14384_; + wire _14385_; + wire _14386_; + wire _14387_; + wire _14388_; + wire _14389_; + wire _14390_; + wire _14391_; + wire _14392_; + wire _14393_; + wire _14394_; + wire _14395_; + wire _14396_; + wire _14397_; + wire _14398_; + wire _14399_; + wire _14400_; + wire _14401_; + wire _14402_; + wire _14403_; + wire _14404_; + wire _14405_; + wire _14406_; + wire _14407_; + wire _14408_; + wire _14409_; + wire _14410_; + wire _14411_; + wire _14412_; + wire _14413_; + wire _14414_; + wire _14415_; + wire _14416_; + wire _14417_; + wire _14418_; + wire _14419_; + wire _14420_; + wire _14421_; + wire _14422_; + wire _14423_; + wire _14424_; + wire _14425_; + wire _14426_; + wire _14427_; + wire _14428_; + wire _14429_; + wire _14430_; + wire _14431_; + wire _14432_; + wire _14433_; + wire _14434_; + wire _14435_; + wire _14436_; + wire _14437_; + wire _14438_; + wire _14439_; + wire _14440_; + wire _14441_; + wire _14442_; + wire _14443_; + wire _14444_; + wire _14445_; + wire _14446_; + wire _14447_; + wire _14448_; + wire _14449_; + wire _14450_; + wire _14451_; + wire _14452_; + wire _14453_; + wire _14454_; + wire _14455_; + wire _14456_; + wire _14457_; + wire _14458_; + wire _14459_; + wire _14460_; + wire _14461_; + wire _14462_; + wire _14463_; + wire _14464_; + wire _14465_; + wire _14466_; + wire _14467_; + wire _14468_; + wire _14469_; + wire _14470_; + wire _14471_; + wire _14472_; + wire _14473_; + wire _14474_; + wire _14475_; + wire _14476_; + wire _14477_; + wire _14478_; + wire _14479_; + wire _14480_; + wire _14481_; + wire _14482_; + wire _14483_; + wire _14484_; + wire _14485_; + wire _14486_; + wire _14487_; + wire _14488_; + wire _14489_; + wire _14490_; + wire _14491_; + wire _14492_; + wire _14493_; + wire _14494_; + wire _14495_; + wire _14496_; + wire _14497_; + wire _14498_; + wire _14499_; + wire _14500_; + wire _14501_; + wire _14502_; + wire _14503_; + wire _14504_; + wire _14505_; + wire _14506_; + wire _14507_; + wire _14508_; + wire _14509_; + wire _14510_; + wire _14511_; + wire _14512_; + wire _14513_; + wire _14514_; + wire _14515_; + wire _14516_; + wire _14517_; + wire _14518_; + wire _14519_; + wire _14520_; + wire _14521_; + wire _14522_; + wire _14523_; + wire _14524_; + wire _14525_; + wire _14526_; + wire _14527_; + wire _14528_; + wire _14529_; + wire _14530_; + wire _14531_; + wire _14532_; + wire _14533_; + wire _14534_; + wire _14535_; + wire _14536_; + wire _14537_; + wire _14538_; + wire _14539_; + wire _14540_; + wire _14541_; + wire _14542_; + wire _14543_; + wire _14544_; + wire _14545_; + wire _14546_; + wire _14547_; + wire _14548_; + wire _14549_; + wire _14550_; + wire _14551_; + wire _14552_; + wire _14553_; + wire _14554_; + wire _14555_; + wire _14556_; + wire _14557_; + wire _14558_; + wire _14559_; + wire _14560_; + wire _14561_; + wire _14562_; + wire _14563_; + wire _14564_; + wire _14565_; + wire _14566_; + wire _14567_; + wire _14568_; + wire _14569_; + wire _14570_; + wire _14571_; + wire _14572_; + wire _14573_; + wire _14574_; + wire _14575_; + wire _14576_; + wire _14577_; + wire _14578_; + wire _14579_; + wire _14580_; + wire _14581_; + wire _14582_; + wire _14583_; + wire _14584_; + wire _14585_; + wire _14586_; + wire _14587_; + wire _14588_; + wire _14589_; + wire _14590_; + wire _14591_; + wire _14592_; + wire _14593_; + wire _14594_; + wire _14595_; + wire _14596_; + wire _14597_; + wire _14598_; + wire _14599_; + wire _14600_; + wire _14601_; + wire _14602_; + wire _14603_; + wire _14604_; + wire _14605_; + wire _14606_; + wire _14607_; + wire _14608_; + wire _14609_; + wire _14610_; + wire _14611_; + wire _14612_; + wire _14613_; + wire _14614_; + wire _14615_; + wire _14616_; + wire _14617_; + wire _14618_; + wire _14619_; + wire _14620_; + wire _14621_; + wire _14622_; + wire _14623_; + wire _14624_; + wire _14625_; + wire _14626_; + wire _14627_; + wire _14628_; + wire _14629_; + wire _14630_; + wire _14631_; + wire _14632_; + wire _14633_; + wire _14634_; + wire _14635_; + wire _14636_; + wire _14637_; + wire _14638_; + wire _14639_; + wire _14640_; + wire _14641_; + wire _14642_; + wire _14643_; + wire _14644_; + wire _14645_; + wire _14646_; + wire _14647_; + wire _14648_; + wire _14649_; + wire _14650_; + wire _14651_; + wire _14652_; + wire _14653_; + wire _14654_; + wire _14655_; + wire _14656_; + wire _14657_; + wire _14658_; + wire _14659_; + wire _14660_; + wire _14661_; + wire _14662_; + wire _14663_; + wire _14664_; + wire _14665_; + wire _14666_; + wire _14667_; + wire _14668_; + wire _14669_; + wire _14670_; + wire _14671_; + wire _14672_; + wire _14673_; + wire _14674_; + wire _14675_; + wire _14676_; + wire _14677_; + wire _14678_; + wire _14679_; + wire _14680_; + wire _14681_; + wire _14682_; + wire _14683_; + wire _14684_; + wire _14685_; + wire _14686_; + wire _14687_; + wire _14688_; + wire _14689_; + wire _14690_; + wire _14691_; + wire _14692_; + wire _14693_; + wire _14694_; + wire _14695_; + wire _14696_; + wire _14697_; + wire _14698_; + wire _14699_; + wire _14700_; + wire _14701_; + wire _14702_; + wire _14703_; + wire _14704_; + wire _14705_; + wire _14706_; + wire _14707_; + wire _14708_; + wire _14709_; + wire _14710_; + wire _14711_; + wire _14712_; + wire _14713_; + wire _14714_; + wire _14715_; + wire _14716_; + wire _14717_; + wire _14718_; + wire _14719_; + wire _14720_; + wire _14721_; + wire _14722_; + wire _14723_; + wire _14724_; + wire _14725_; + wire _14726_; + wire _14727_; + wire _14728_; + wire _14729_; + wire _14730_; + wire _14731_; + wire _14732_; + wire _14733_; + wire _14734_; + wire _14735_; + wire _14736_; + wire _14737_; + wire _14738_; + wire _14739_; + wire _14740_; + wire _14741_; + wire _14742_; + wire _14743_; + wire _14744_; + wire _14745_; + wire _14746_; + wire _14747_; + wire _14748_; + wire _14749_; + wire _14750_; + wire _14751_; + wire _14752_; + wire _14753_; + wire _14754_; + wire _14755_; + wire _14756_; + wire _14757_; + wire _14758_; + wire _14759_; + wire _14760_; + wire _14761_; + wire _14762_; + wire _14763_; + wire _14764_; + wire _14765_; + wire _14766_; + wire _14767_; + wire _14768_; + wire _14769_; + wire _14770_; + wire _14771_; + wire _14772_; + wire _14773_; + wire _14774_; + wire _14775_; + wire _14776_; + wire _14777_; + wire _14778_; + wire _14779_; + wire _14780_; + wire _14781_; + wire _14782_; + wire _14783_; + wire _14784_; + wire _14785_; + wire _14786_; + wire _14787_; + wire _14788_; + wire _14789_; + wire _14790_; + wire _14791_; + wire _14792_; + wire _14793_; + wire _14794_; + wire _14795_; + wire _14796_; + wire _14797_; + wire _14798_; + wire _14799_; + wire _14800_; + wire _14801_; + wire _14802_; + wire _14803_; + wire _14804_; + wire _14805_; + wire _14806_; + wire _14807_; + wire _14808_; + wire _14809_; + wire _14810_; + wire _14811_; + wire _14812_; + wire _14813_; + wire _14814_; + wire _14815_; + wire _14816_; + wire _14817_; + wire _14818_; + wire _14819_; + wire _14820_; + wire _14821_; + wire _14822_; + wire _14823_; + wire _14824_; + wire _14825_; + wire _14826_; + wire _14827_; + wire _14828_; + wire _14829_; + wire _14830_; + wire _14831_; + wire _14832_; + wire _14833_; + wire _14834_; + wire _14835_; + wire _14836_; + wire _14837_; + wire _14838_; + wire _14839_; + wire _14840_; + wire _14841_; + wire _14842_; + wire _14843_; + wire _14844_; + wire _14845_; + wire _14846_; + wire _14847_; + wire _14848_; + wire _14849_; + wire _14850_; + wire _14851_; + wire _14852_; + wire _14853_; + wire _14854_; + wire _14855_; + wire _14856_; + wire _14857_; + wire _14858_; + wire _14859_; + wire _14860_; + wire _14861_; + wire _14862_; + wire _14863_; + wire _14864_; + wire _14865_; + wire _14866_; + wire _14867_; + wire _14868_; + wire _14869_; + wire _14870_; + wire _14871_; + wire _14872_; + wire _14873_; + wire _14874_; + wire _14875_; + wire _14876_; + wire _14877_; + wire _14878_; + wire _14879_; + wire _14880_; + wire _14881_; + wire _14882_; + wire _14883_; + wire _14884_; + wire _14885_; + wire _14886_; + wire _14887_; + wire _14888_; + wire _14889_; + wire _14890_; + wire _14891_; + wire _14892_; + wire _14893_; + wire _14894_; + wire _14895_; + wire _14896_; + wire _14897_; + wire _14898_; + wire _14899_; + wire _14900_; + wire _14901_; + wire _14902_; + wire _14903_; + wire _14904_; + wire _14905_; + wire _14906_; + wire _14907_; + wire _14908_; + wire _14909_; + wire _14910_; + wire _14911_; + wire _14912_; + wire _14913_; + wire _14914_; + wire _14915_; + wire _14916_; + wire _14917_; + wire _14918_; + wire _14919_; + wire _14920_; + wire _14921_; + wire _14922_; + wire _14923_; + wire _14924_; + wire _14925_; + wire _14926_; + wire _14927_; + wire _14928_; + wire _14929_; + wire _14930_; + wire _14931_; + wire _14932_; + wire _14933_; + wire _14934_; + wire _14935_; + wire _14936_; + wire _14937_; + wire _14938_; + wire _14939_; + wire _14940_; + wire _14941_; + wire _14942_; + wire _14943_; + wire _14944_; + wire _14945_; + wire _14946_; + wire _14947_; + wire _14948_; + wire _14949_; + wire _14950_; + wire _14951_; + wire _14952_; + wire _14953_; + wire _14954_; + wire _14955_; + wire _14956_; + wire _14957_; + wire _14958_; + wire _14959_; + wire _14960_; + wire _14961_; + wire _14962_; + wire _14963_; + wire _14964_; + wire _14965_; + wire _14966_; + wire _14967_; + wire _14968_; + wire _14969_; + wire _14970_; + wire _14971_; + wire _14972_; + wire _14973_; + wire _14974_; + wire _14975_; + wire _14976_; + wire _14977_; + wire _14978_; + wire _14979_; + wire _14980_; + wire _14981_; + wire _14982_; + wire _14983_; + wire _14984_; + wire _14985_; + wire _14986_; + wire _14987_; + wire _14988_; + wire _14989_; + wire _14990_; + wire _14991_; + wire _14992_; + wire _14993_; + wire _14994_; + wire _14995_; + wire _14996_; + wire _14997_; + wire _14998_; + wire _14999_; + wire _15000_; + wire _15001_; + wire _15002_; + wire _15003_; + wire _15004_; + wire _15005_; + wire _15006_; + wire _15007_; + wire _15008_; + wire _15009_; + wire _15010_; + wire _15011_; + wire _15012_; + wire _15013_; + wire _15014_; + wire _15015_; + wire _15016_; + wire _15017_; + wire _15018_; + wire _15019_; + wire _15020_; + wire _15021_; + wire _15022_; + wire _15023_; + wire _15024_; + wire _15025_; + wire _15026_; + wire _15027_; + wire _15028_; + wire _15029_; + wire _15030_; + wire _15031_; + wire _15032_; + wire _15033_; + wire _15034_; + wire _15035_; + wire _15036_; + wire _15037_; + wire _15038_; + wire _15039_; + wire _15040_; + wire _15041_; + wire _15042_; + wire _15043_; + wire _15044_; + wire _15045_; + wire _15046_; + wire _15047_; + wire _15048_; + wire _15049_; + wire _15050_; + wire _15051_; + wire _15052_; + wire _15053_; + wire _15054_; + wire _15055_; + wire _15056_; + wire _15057_; + wire _15058_; + wire _15059_; + wire _15060_; + wire _15061_; + wire _15062_; + wire _15063_; + wire _15064_; + wire _15065_; + wire _15066_; + wire _15067_; + wire _15068_; + wire _15069_; + wire _15070_; + wire _15071_; + wire _15072_; + wire _15073_; + wire _15074_; + wire _15075_; + wire _15076_; + wire _15077_; + wire _15078_; + wire _15079_; + wire _15080_; + wire _15081_; + wire _15082_; + wire _15083_; + wire _15084_; + wire _15085_; + wire _15086_; + wire _15087_; + wire _15088_; + wire _15089_; + wire _15090_; + wire _15091_; + wire _15092_; + wire _15093_; + wire _15094_; + wire _15095_; + wire _15096_; + wire _15097_; + wire _15098_; + wire _15099_; + wire _15100_; + wire _15101_; + wire _15102_; + wire _15103_; + wire _15104_; + wire _15105_; + wire _15106_; + wire _15107_; + wire _15108_; + wire _15109_; + wire _15110_; + wire _15111_; + wire _15112_; + wire _15113_; + wire _15114_; + wire _15115_; + wire _15116_; + wire _15117_; + wire _15118_; + wire _15119_; + wire _15120_; + wire _15121_; + wire _15122_; + wire _15123_; + wire _15124_; + wire _15125_; + wire _15126_; + wire _15127_; + wire _15128_; + wire _15129_; + wire _15130_; + wire _15131_; + wire _15132_; + wire _15133_; + wire _15134_; + wire _15135_; + wire _15136_; + wire _15137_; + wire _15138_; + wire _15139_; + wire _15140_; + wire _15141_; + wire _15142_; + wire _15143_; + wire _15144_; + wire _15145_; + wire _15146_; + wire _15147_; + wire _15148_; + wire _15149_; + wire _15150_; + wire _15151_; + wire _15152_; + wire _15153_; + wire _15154_; + wire _15155_; + wire _15156_; + wire _15157_; + wire _15158_; + wire _15159_; + wire _15160_; + wire _15161_; + wire _15162_; + wire _15163_; + wire _15164_; + wire _15165_; + wire _15166_; + wire _15167_; + wire _15168_; + wire _15169_; + wire _15170_; + wire _15171_; + wire _15172_; + wire _15173_; + wire _15174_; + wire _15175_; + wire _15176_; + wire _15177_; + wire _15178_; + wire _15179_; + wire _15180_; + wire _15181_; + wire _15182_; + wire _15183_; + wire _15184_; + wire _15185_; + wire _15186_; + wire _15187_; + wire _15188_; + wire _15189_; + wire _15190_; + wire _15191_; + wire _15192_; + wire _15193_; + wire _15194_; + wire _15195_; + wire _15196_; + wire _15197_; + wire _15198_; + wire _15199_; + wire _15200_; + wire _15201_; + wire _15202_; + wire _15203_; + wire _15204_; + wire _15205_; + wire _15206_; + wire _15207_; + wire _15208_; + wire _15209_; + wire _15210_; + wire _15211_; + wire _15212_; + wire _15213_; + wire _15214_; + wire _15215_; + wire _15216_; + wire _15217_; + wire _15218_; + wire _15219_; + wire _15220_; + wire _15221_; + wire _15222_; + wire _15223_; + wire _15224_; + wire _15225_; + wire _15226_; + wire _15227_; + wire _15228_; + wire _15229_; + wire _15230_; + wire _15231_; + wire _15232_; + wire _15233_; + wire _15234_; + wire _15235_; + wire _15236_; + wire _15237_; + wire _15238_; + wire _15239_; + wire _15240_; + wire _15241_; + wire _15242_; + wire _15243_; + wire _15244_; + wire _15245_; + wire _15246_; + wire _15247_; + wire _15248_; + wire _15249_; + wire _15250_; + wire _15251_; + wire _15252_; + wire _15253_; + wire _15254_; + wire _15255_; + wire _15256_; + wire _15257_; + wire _15258_; + wire _15259_; + wire _15260_; + wire _15261_; + wire _15262_; + wire _15263_; + wire _15264_; + wire _15265_; + wire _15266_; + wire _15267_; + wire _15268_; + wire _15269_; + wire _15270_; + wire _15271_; + wire _15272_; + wire _15273_; + wire _15274_; + wire _15275_; + wire _15276_; + wire _15277_; + wire _15278_; + wire _15279_; + wire _15280_; + wire _15281_; + wire _15282_; + wire _15283_; + wire _15284_; + wire _15285_; + wire _15286_; + wire _15287_; + wire _15288_; + wire _15289_; + wire _15290_; + wire _15291_; + wire _15292_; + wire _15293_; + wire _15294_; + wire _15295_; + wire _15296_; + wire _15297_; + wire _15298_; + wire _15299_; + wire _15300_; + wire _15301_; + wire _15302_; + wire _15303_; + wire _15304_; + wire _15305_; + wire _15306_; + wire _15307_; + wire _15308_; + wire _15309_; + wire _15310_; + wire _15311_; + wire _15312_; + wire _15313_; + wire _15314_; + wire _15315_; + wire _15316_; + wire _15317_; + wire _15318_; + wire _15319_; + wire _15320_; + wire _15321_; + wire _15322_; + wire _15323_; + wire _15324_; + wire _15325_; + wire _15326_; + wire _15327_; + wire _15328_; + wire _15329_; + wire _15330_; + wire _15331_; + wire _15332_; + wire _15333_; + wire _15334_; + wire _15335_; + wire _15336_; + wire _15337_; + wire _15338_; + wire _15339_; + wire _15340_; + wire _15341_; + wire _15342_; + wire _15343_; + wire _15344_; + wire _15345_; + wire _15346_; + wire _15347_; + wire _15348_; + wire _15349_; + wire _15350_; + wire _15351_; + wire _15352_; + wire _15353_; + wire _15354_; + wire _15355_; + wire _15356_; + wire _15357_; + wire _15358_; + wire _15359_; + wire _15360_; + wire _15361_; + wire _15362_; + wire _15363_; + wire _15364_; + wire _15365_; + wire _15366_; + wire _15367_; + wire _15368_; + wire _15369_; + wire _15370_; + wire _15371_; + wire _15372_; + wire _15373_; + wire _15374_; + wire _15375_; + wire _15376_; + wire _15377_; + wire _15378_; + wire _15379_; + wire _15380_; + wire _15381_; + wire _15382_; + wire _15383_; + wire _15384_; + wire _15385_; + wire _15386_; + wire _15387_; + wire _15388_; + wire _15389_; + wire _15390_; + wire _15391_; + wire _15392_; + wire _15393_; + wire _15394_; + wire _15395_; + wire _15396_; + wire _15397_; + wire _15398_; + wire _15399_; + wire _15400_; + wire _15401_; + wire _15402_; + wire _15403_; + wire _15404_; + wire _15405_; + wire _15406_; + wire _15407_; + wire _15408_; + wire _15409_; + wire _15410_; + wire _15411_; + wire _15412_; + wire _15413_; + wire _15414_; + wire _15415_; + wire _15416_; + wire _15417_; + wire _15418_; + wire _15419_; + wire _15420_; + wire _15421_; + wire _15422_; + wire _15423_; + wire _15424_; + wire _15425_; + wire _15426_; + wire _15427_; + wire _15428_; + wire _15429_; + wire _15430_; + wire _15431_; + wire _15432_; + wire _15433_; + wire _15434_; + wire _15435_; + wire _15436_; + wire _15437_; + wire _15438_; + wire _15439_; + wire _15440_; + wire _15441_; + wire _15442_; + wire _15443_; + wire _15444_; + wire _15445_; + wire _15446_; + wire _15447_; + wire _15448_; + wire _15449_; + wire _15450_; + wire _15451_; + wire _15452_; + wire _15453_; + wire _15454_; + wire _15455_; + wire _15456_; + wire _15457_; + wire _15458_; + wire _15459_; + wire _15460_; + wire _15461_; + wire _15462_; + wire _15463_; + wire _15464_; + wire _15465_; + wire _15466_; + wire _15467_; + wire _15468_; + wire _15469_; + wire _15470_; + wire _15471_; + wire _15472_; + wire _15473_; + wire _15474_; + wire _15475_; + wire _15476_; + wire _15477_; + wire _15478_; + wire _15479_; + wire _15480_; + wire _15481_; + wire _15482_; + wire _15483_; + wire _15484_; + wire _15485_; + wire _15486_; + wire _15487_; + wire _15488_; + wire _15489_; + wire _15490_; + wire _15491_; + wire _15492_; + wire _15493_; + wire _15494_; + wire _15495_; + wire _15496_; + wire _15497_; + wire _15498_; + wire _15499_; + wire _15500_; + wire _15501_; + wire _15502_; + wire _15503_; + wire _15504_; + wire _15505_; + wire _15506_; + wire _15507_; + wire _15508_; + wire _15509_; + wire _15510_; + wire _15511_; + wire _15512_; + wire _15513_; + wire _15514_; + wire _15515_; + wire _15516_; + wire _15517_; + wire _15518_; + wire _15519_; + wire _15520_; + wire _15521_; + wire _15522_; + wire _15523_; + wire _15524_; + wire _15525_; + wire _15526_; + wire _15527_; + wire _15528_; + wire _15529_; + wire _15530_; + wire _15531_; + wire _15532_; + wire _15533_; + wire _15534_; + wire _15535_; + wire _15536_; + wire _15537_; + wire _15538_; + wire _15539_; + wire _15540_; + wire _15541_; + wire _15542_; + wire _15543_; + wire _15544_; + wire _15545_; + wire _15546_; + wire _15547_; + wire _15548_; + wire _15549_; + wire _15550_; + wire _15551_; + wire _15552_; + wire _15553_; + wire _15554_; + wire _15555_; + wire _15556_; + wire _15557_; + wire _15558_; + wire _15559_; + wire _15560_; + wire _15561_; + wire _15562_; + wire _15563_; + wire _15564_; + wire _15565_; + wire _15566_; + wire _15567_; + wire _15568_; + wire _15569_; + wire _15570_; + wire _15571_; + wire _15572_; + wire _15573_; + wire _15574_; + wire _15575_; + wire _15576_; + wire _15577_; + wire _15578_; + wire _15579_; + wire _15580_; + wire _15581_; + wire _15582_; + wire _15583_; + wire _15584_; + wire _15585_; + wire _15586_; + wire _15587_; + wire _15588_; + wire _15589_; + wire _15590_; + wire _15591_; + wire _15592_; + wire _15593_; + wire _15594_; + wire _15595_; + wire _15596_; + wire _15597_; + wire _15598_; + wire _15599_; + wire _15600_; + wire _15601_; + wire _15602_; + wire _15603_; + wire _15604_; + wire _15605_; + wire _15606_; + wire _15607_; + wire _15608_; + wire _15609_; + wire _15610_; + wire _15611_; + wire _15612_; + wire _15613_; + wire _15614_; + wire _15615_; + wire _15616_; + wire _15617_; + wire _15618_; + wire _15619_; + wire _15620_; + wire _15621_; + wire _15622_; + wire _15623_; + wire _15624_; + wire _15625_; + wire _15626_; + wire _15627_; + wire _15628_; + wire _15629_; + wire _15630_; + wire _15631_; + wire _15632_; + wire _15633_; + wire _15634_; + wire _15635_; + wire _15636_; + wire _15637_; + wire _15638_; + wire _15639_; + wire _15640_; + wire _15641_; + wire _15642_; + wire _15643_; + wire _15644_; + wire _15645_; + wire _15646_; + wire _15647_; + wire _15648_; + wire _15649_; + wire _15650_; + wire _15651_; + wire _15652_; + wire _15653_; + wire _15654_; + wire _15655_; + wire _15656_; + wire _15657_; + wire _15658_; + wire _15659_; + wire _15660_; + wire _15661_; + wire _15662_; + wire _15663_; + wire _15664_; + wire _15665_; + wire _15666_; + wire _15667_; + wire _15668_; + wire _15669_; + wire _15670_; + wire _15671_; + wire _15672_; + wire _15673_; + wire _15674_; + wire _15675_; + wire _15676_; + wire _15677_; + wire _15678_; + wire _15679_; + wire _15680_; + wire _15681_; + wire _15682_; + wire _15683_; + wire _15684_; + wire _15685_; + wire _15686_; + wire _15687_; + wire _15688_; + wire _15689_; + wire _15690_; + wire _15691_; + wire _15692_; + wire _15693_; + wire _15694_; + wire _15695_; + wire _15696_; + wire _15697_; + wire _15698_; + wire _15699_; + wire _15700_; + wire _15701_; + wire _15702_; + wire _15703_; + wire _15704_; + wire _15705_; + wire _15706_; + wire _15707_; + wire _15708_; + wire _15709_; + wire _15710_; + wire _15711_; + wire _15712_; + wire _15713_; + wire _15714_; + wire _15715_; + wire _15716_; + wire _15717_; + wire _15718_; + wire _15719_; + wire _15720_; + wire _15721_; + wire _15722_; + wire _15723_; + wire _15724_; + wire _15725_; + wire _15726_; + wire _15727_; + wire _15728_; + wire _15729_; + wire _15730_; + wire _15731_; + wire _15732_; + wire _15733_; + wire _15734_; + wire _15735_; + wire _15736_; + wire _15737_; + wire _15738_; + wire _15739_; + wire _15740_; + wire _15741_; + wire _15742_; + wire _15743_; + wire _15744_; + wire _15745_; + wire _15746_; + wire _15747_; + wire _15748_; + wire _15749_; + wire _15750_; + wire _15751_; + wire _15752_; + wire _15753_; + wire _15754_; + wire _15755_; + wire _15756_; + wire _15757_; + wire _15758_; + wire _15759_; + wire _15760_; + wire _15761_; + wire _15762_; + wire _15763_; + wire _15764_; + wire _15765_; + wire _15766_; + wire _15767_; + wire _15768_; + wire _15769_; + wire _15770_; + wire _15771_; + wire _15772_; + wire _15773_; + wire _15774_; + wire _15775_; + wire _15776_; + wire _15777_; + wire _15778_; + wire _15779_; + wire _15780_; + wire _15781_; + wire _15782_; + wire _15783_; + wire _15784_; + wire _15785_; + wire _15786_; + wire _15787_; + wire _15788_; + wire _15789_; + wire _15790_; + wire _15791_; + wire _15792_; + wire _15793_; + wire _15794_; + wire _15795_; + wire _15796_; + wire _15797_; + wire _15798_; + wire _15799_; + wire _15800_; + wire _15801_; + wire _15802_; + wire _15803_; + wire _15804_; + wire _15805_; + wire _15806_; + wire _15807_; + wire _15808_; + wire _15809_; + wire _15810_; + wire _15811_; + wire _15812_; + wire _15813_; + wire _15814_; + wire _15815_; + wire _15816_; + wire _15817_; + wire _15818_; + wire _15819_; + wire _15820_; + wire _15821_; + wire _15822_; + wire _15823_; + wire _15824_; + wire _15825_; + wire _15826_; + wire _15827_; + wire _15828_; + wire _15829_; + wire _15830_; + wire _15831_; + wire _15832_; + wire _15833_; + wire _15834_; + wire _15835_; + wire _15836_; + wire _15837_; + wire _15838_; + wire _15839_; + wire _15840_; + wire _15841_; + wire _15842_; + wire _15843_; + wire _15844_; + wire _15845_; + wire _15846_; + wire _15847_; + wire _15848_; + wire _15849_; + wire _15850_; + wire _15851_; + wire _15852_; + wire _15853_; + wire _15854_; + wire _15855_; + wire _15856_; + wire _15857_; + wire _15858_; + wire _15859_; + wire _15860_; + wire _15861_; + wire _15862_; + wire _15863_; + wire _15864_; + wire _15865_; + wire _15866_; + wire _15867_; + wire _15868_; + wire _15869_; + wire _15870_; + wire _15871_; + wire _15872_; + wire _15873_; + wire _15874_; + wire _15875_; + wire _15876_; + wire _15877_; + wire _15878_; + wire _15879_; + wire _15880_; + wire _15881_; + wire _15882_; + wire _15883_; + wire _15884_; + wire _15885_; + wire _15886_; + wire _15887_; + wire _15888_; + wire _15889_; + wire _15890_; + wire _15891_; + wire _15892_; + wire _15893_; + wire _15894_; + wire _15895_; + wire _15896_; + wire _15897_; + wire _15898_; + wire _15899_; + wire _15900_; + wire _15901_; + wire _15902_; + wire _15903_; + wire _15904_; + wire _15905_; + wire _15906_; + wire _15907_; + wire _15908_; + wire _15909_; + wire _15910_; + wire _15911_; + wire _15912_; + wire _15913_; + wire _15914_; + wire _15915_; + wire _15916_; + wire _15917_; + wire _15918_; + wire _15919_; + wire _15920_; + wire _15921_; + wire _15922_; + wire _15923_; + wire _15924_; + wire _15925_; + wire _15926_; + wire _15927_; + wire _15928_; + wire _15929_; + wire _15930_; + wire _15931_; + wire _15932_; + wire _15933_; + wire _15934_; + wire _15935_; + wire _15936_; + wire _15937_; + wire _15938_; + wire _15939_; + wire _15940_; + wire _15941_; + wire _15942_; + wire _15943_; + wire _15944_; + wire _15945_; + wire _15946_; + wire _15947_; + wire _15948_; + wire _15949_; + wire _15950_; + wire _15951_; + wire _15952_; + wire _15953_; + wire _15954_; + wire _15955_; + wire _15956_; + wire _15957_; + wire _15958_; + wire _15959_; + wire _15960_; + wire _15961_; + wire _15962_; + wire _15963_; + wire _15964_; + wire _15965_; + wire _15966_; + wire _15967_; + wire _15968_; + wire _15969_; + wire _15970_; + wire _15971_; + wire _15972_; + wire _15973_; + wire _15974_; + wire _15975_; + wire _15976_; + wire _15977_; + wire _15978_; + wire _15979_; + wire _15980_; + wire _15981_; + wire _15982_; + wire _15983_; + wire _15984_; + wire _15985_; + wire _15986_; + wire _15987_; + wire _15988_; + wire _15989_; + wire _15990_; + wire _15991_; + wire _15992_; + wire _15993_; + wire _15994_; + wire _15995_; + wire _15996_; + wire _15997_; + wire _15998_; + wire _15999_; + wire _16000_; + wire _16001_; + wire _16002_; + wire _16003_; + wire _16004_; + wire _16005_; + wire _16006_; + wire _16007_; + wire _16008_; + wire _16009_; + wire _16010_; + wire _16011_; + wire _16012_; + wire _16013_; + wire _16014_; + wire _16015_; + wire _16016_; + wire _16017_; + wire _16018_; + wire _16019_; + wire _16020_; + wire _16021_; + wire _16022_; + wire _16023_; + wire _16024_; + wire _16025_; + wire _16026_; + wire _16027_; + wire _16028_; + wire _16029_; + wire _16030_; + wire _16031_; + wire _16032_; + wire _16033_; + wire _16034_; + wire _16035_; + wire _16036_; + wire _16037_; + wire _16038_; + wire _16039_; + wire _16040_; + wire _16041_; + wire _16042_; + wire _16043_; + wire _16044_; + wire _16045_; + wire _16046_; + wire _16047_; + wire _16048_; + wire _16049_; + wire _16050_; + wire _16051_; + wire _16052_; + wire _16053_; + wire _16054_; + wire _16055_; + wire _16056_; + wire _16057_; + wire _16058_; + wire _16059_; + wire _16060_; + wire _16061_; + wire _16062_; + wire _16063_; + wire _16064_; + wire _16065_; + wire _16066_; + wire _16067_; + wire _16068_; + wire _16069_; + wire _16070_; + wire _16071_; + wire _16072_; + wire _16073_; + wire _16074_; + wire _16075_; + wire _16076_; + wire _16077_; + wire _16078_; + wire _16079_; + wire _16080_; + wire _16081_; + wire _16082_; + wire _16083_; + wire _16084_; + wire _16085_; + wire _16086_; + wire _16087_; + wire _16088_; + wire _16089_; + wire _16090_; + wire _16091_; + wire _16092_; + wire _16093_; + wire _16094_; + wire _16095_; + wire _16096_; + wire _16097_; + wire _16098_; + wire _16099_; + wire _16100_; + wire _16101_; + wire _16102_; + wire _16103_; + wire _16104_; + wire _16105_; + wire _16106_; + wire _16107_; + wire _16108_; + wire _16109_; + wire _16110_; + wire _16111_; + wire _16112_; + wire _16113_; + wire _16114_; + wire _16115_; + wire _16116_; + wire _16117_; + wire _16118_; + wire _16119_; + wire _16120_; + wire _16121_; + wire _16122_; + wire _16123_; + wire _16124_; + wire _16125_; + wire _16126_; + wire _16127_; + wire _16128_; + wire _16129_; + wire _16130_; + wire _16131_; + wire _16132_; + wire _16133_; + wire _16134_; + wire _16135_; + wire _16136_; + wire _16137_; + wire _16138_; + wire _16139_; + wire _16140_; + wire _16141_; + wire _16142_; + wire _16143_; + wire _16144_; + wire _16145_; + wire _16146_; + wire _16147_; + wire _16148_; + wire _16149_; + wire _16150_; + wire _16151_; + wire _16152_; + wire _16153_; + wire _16154_; + wire _16155_; + wire _16156_; + wire _16157_; + wire _16158_; + wire _16159_; + wire _16160_; + wire _16161_; + wire _16162_; + wire _16163_; + wire _16164_; + wire _16165_; + wire _16166_; + wire _16167_; + wire _16168_; + wire _16169_; + wire _16170_; + wire _16171_; + wire _16172_; + wire _16173_; + wire _16174_; + wire _16175_; + wire _16176_; + wire _16177_; + wire _16178_; + wire _16179_; + wire _16180_; + wire _16181_; + wire _16182_; + wire _16183_; + wire _16184_; + wire _16185_; + wire _16186_; + wire _16187_; + wire _16188_; + wire _16189_; + wire _16190_; + wire _16191_; + wire _16192_; + wire _16193_; + wire _16194_; + wire _16195_; + wire _16196_; + wire _16197_; + wire _16198_; + wire _16199_; + wire _16200_; + wire _16201_; + wire _16202_; + wire _16203_; + wire _16204_; + wire _16205_; + wire _16206_; + wire _16207_; + wire _16208_; + wire _16209_; + wire _16210_; + wire _16211_; + wire _16212_; + wire _16213_; + wire _16214_; + wire _16215_; + wire _16216_; + wire _16217_; + wire _16218_; + wire _16219_; + wire _16220_; + wire _16221_; + wire _16222_; + wire _16223_; + wire _16224_; + wire _16225_; + wire _16226_; + wire _16227_; + wire _16228_; + wire _16229_; + wire _16230_; + wire _16231_; + wire _16232_; + wire _16233_; + wire _16234_; + wire _16235_; + wire _16236_; + wire _16237_; + wire _16238_; + wire _16239_; + wire _16240_; + wire _16241_; + wire _16242_; + wire _16243_; + wire _16244_; + wire _16245_; + wire _16246_; + wire _16247_; + wire _16248_; + wire _16249_; + wire _16250_; + wire _16251_; + wire _16252_; + wire _16253_; + wire _16254_; + wire _16255_; + wire _16256_; + wire _16257_; + wire _16258_; + wire _16259_; + wire _16260_; + wire _16261_; + wire _16262_; + wire _16263_; + wire _16264_; + wire _16265_; + wire _16266_; + wire _16267_; + wire _16268_; + wire _16269_; + wire _16270_; + wire _16271_; + wire _16272_; + wire _16273_; + wire _16274_; + wire _16275_; + wire _16276_; + wire _16277_; + wire _16278_; + wire _16279_; + wire _16280_; + wire _16281_; + wire _16282_; + wire _16283_; + wire _16284_; + wire _16285_; + wire _16286_; + wire _16287_; + wire _16288_; + wire _16289_; + wire _16290_; + wire _16291_; + wire _16292_; + wire _16293_; + wire _16294_; + wire _16295_; + wire _16296_; + wire _16297_; + wire _16298_; + wire _16299_; + wire _16300_; + wire _16301_; + wire _16302_; + wire _16303_; + wire _16304_; + wire _16305_; + wire _16306_; + wire _16307_; + wire _16308_; + wire _16309_; + wire _16310_; + wire _16311_; + wire _16312_; + wire _16313_; + wire _16314_; + wire _16315_; + wire _16316_; + wire _16317_; + wire _16318_; + wire _16319_; + wire _16320_; + wire _16321_; + wire _16322_; + wire _16323_; + wire _16324_; + wire _16325_; + wire _16326_; + wire _16327_; + wire _16328_; + wire _16329_; + wire _16330_; + wire _16331_; + wire _16332_; + wire _16333_; + wire _16334_; + wire _16335_; + wire _16336_; + wire _16337_; + wire _16338_; + wire _16339_; + wire _16340_; + wire _16341_; + wire _16342_; + wire _16343_; + wire _16344_; + wire _16345_; + wire _16346_; + wire _16347_; + wire _16348_; + wire _16349_; + wire _16350_; + wire _16351_; + wire _16352_; + wire _16353_; + wire _16354_; + wire _16355_; + wire _16356_; + wire _16357_; + wire _16358_; + wire _16359_; + wire _16360_; + wire _16361_; + wire _16362_; + wire _16363_; + wire _16364_; + wire _16365_; + wire _16366_; + wire _16367_; + wire _16368_; + wire _16369_; + wire _16370_; + wire _16371_; + wire _16372_; + wire _16373_; + wire _16374_; + wire _16375_; + wire _16376_; + wire _16377_; + wire _16378_; + wire _16379_; + wire _16380_; + wire _16381_; + wire _16382_; + wire _16383_; + wire _16384_; + wire _16385_; + wire _16386_; + wire _16387_; + wire _16388_; + wire _16389_; + wire _16390_; + wire _16391_; + wire _16392_; + wire _16393_; + wire _16394_; + wire _16395_; + wire _16396_; + wire _16397_; + wire _16398_; + wire _16399_; + wire _16400_; + wire _16401_; + wire _16402_; + wire _16403_; + wire _16404_; + wire _16405_; + wire _16406_; + wire _16407_; + wire _16408_; + wire _16409_; + wire _16410_; + wire _16411_; + wire _16412_; + wire _16413_; + input clock_core; + wire clock_core; + wire ext_clk_sel; + wire ext_reset; + output flash_clk_frame; + wire flash_clk_frame; + output flash_clk_oeb; + wire flash_clk_oeb; + output flash_csb_frame; + wire flash_csb_frame; + output flash_csb_oeb; + wire flash_csb_oeb; + input flash_io0_di; + wire flash_io0_di; + output flash_io0_do; + wire flash_io0_do; + output flash_io0_ieb; + wire flash_io0_ieb; + output flash_io0_oeb; + wire flash_io0_oeb; + input flash_io1_di; + wire flash_io1_di; + output flash_io1_do; + wire flash_io1_do; + output flash_io1_ieb; + wire flash_io1_ieb; + output flash_io1_oeb; + wire flash_io1_oeb; + wire \gpio_control_bidir_1[0].gpio_ana_en ; + wire \gpio_control_bidir_1[0].gpio_ana_pol ; + wire \gpio_control_bidir_1[0].gpio_ana_sel ; + wire \gpio_control_bidir_1[0].gpio_defaults[0] ; + wire \gpio_control_bidir_1[0].gpio_defaults[10] ; + wire \gpio_control_bidir_1[0].gpio_defaults[11] ; + wire \gpio_control_bidir_1[0].gpio_defaults[12] ; + wire \gpio_control_bidir_1[0].gpio_defaults[1] ; + wire \gpio_control_bidir_1[0].gpio_defaults[2] ; + wire \gpio_control_bidir_1[0].gpio_defaults[3] ; + wire \gpio_control_bidir_1[0].gpio_defaults[4] ; + wire \gpio_control_bidir_1[0].gpio_defaults[5] ; + wire \gpio_control_bidir_1[0].gpio_defaults[6] ; + wire \gpio_control_bidir_1[0].gpio_defaults[7] ; + wire \gpio_control_bidir_1[0].gpio_defaults[8] ; + wire \gpio_control_bidir_1[0].gpio_defaults[9] ; + wire \gpio_control_bidir_1[0].gpio_holdover ; + wire \gpio_control_bidir_1[0].gpio_ib_mode_sel ; + wire \gpio_control_bidir_1[0].gpio_inenb ; + wire \gpio_control_bidir_1[0].gpio_logic1 ; + wire \gpio_control_bidir_1[0].gpio_outenb ; + wire \gpio_control_bidir_1[0].gpio_slow_sel ; + wire \gpio_control_bidir_1[0].gpio_vtrip_sel ; + wire \gpio_control_bidir_1[0].mgmt_ena ; + wire \gpio_control_bidir_1[0].one ; + wire \gpio_control_bidir_1[0].pad_gpio_out ; + wire \gpio_control_bidir_1[0].pad_gpio_outenb ; + wire \gpio_control_bidir_1[0].resetn ; + wire \gpio_control_bidir_1[0].resetn_out ; + wire \gpio_control_bidir_1[0].serial_clock ; + wire \gpio_control_bidir_1[0].serial_clock_out ; + wire \gpio_control_bidir_1[0].serial_data_in ; + wire \gpio_control_bidir_1[0].serial_data_out ; + wire \gpio_control_bidir_1[0].serial_load ; + wire \gpio_control_bidir_1[0].serial_load_out ; + wire \gpio_control_bidir_1[0].shift_register[0] ; + wire \gpio_control_bidir_1[0].shift_register[10] ; + wire \gpio_control_bidir_1[0].shift_register[11] ; + wire \gpio_control_bidir_1[0].shift_register[12] ; + wire \gpio_control_bidir_1[0].shift_register[1] ; + wire \gpio_control_bidir_1[0].shift_register[2] ; + wire \gpio_control_bidir_1[0].shift_register[3] ; + wire \gpio_control_bidir_1[0].shift_register[4] ; + wire \gpio_control_bidir_1[0].shift_register[5] ; + wire \gpio_control_bidir_1[0].shift_register[6] ; + wire \gpio_control_bidir_1[0].shift_register[7] ; + wire \gpio_control_bidir_1[0].shift_register[8] ; + wire \gpio_control_bidir_1[0].shift_register[9] ; + wire \gpio_control_bidir_1[0].user_gpio_in ; + wire \gpio_control_bidir_1[0].zero ; + wire \gpio_control_bidir_1[1].gpio_ana_en ; + wire \gpio_control_bidir_1[1].gpio_ana_pol ; + wire \gpio_control_bidir_1[1].gpio_ana_sel ; + wire \gpio_control_bidir_1[1].gpio_defaults[0] ; + wire \gpio_control_bidir_1[1].gpio_defaults[10] ; + wire \gpio_control_bidir_1[1].gpio_defaults[11] ; + wire \gpio_control_bidir_1[1].gpio_defaults[12] ; + wire \gpio_control_bidir_1[1].gpio_defaults[1] ; + wire \gpio_control_bidir_1[1].gpio_defaults[2] ; + wire \gpio_control_bidir_1[1].gpio_defaults[3] ; + wire \gpio_control_bidir_1[1].gpio_defaults[4] ; + wire \gpio_control_bidir_1[1].gpio_defaults[5] ; + wire \gpio_control_bidir_1[1].gpio_defaults[6] ; + wire \gpio_control_bidir_1[1].gpio_defaults[7] ; + wire \gpio_control_bidir_1[1].gpio_defaults[8] ; + wire \gpio_control_bidir_1[1].gpio_defaults[9] ; + wire \gpio_control_bidir_1[1].gpio_holdover ; + wire \gpio_control_bidir_1[1].gpio_ib_mode_sel ; + wire \gpio_control_bidir_1[1].gpio_inenb ; + wire \gpio_control_bidir_1[1].gpio_logic1 ; + wire \gpio_control_bidir_1[1].gpio_outenb ; + wire \gpio_control_bidir_1[1].gpio_slow_sel ; + wire \gpio_control_bidir_1[1].gpio_vtrip_sel ; + wire \gpio_control_bidir_1[1].mgmt_ena ; + wire \gpio_control_bidir_1[1].one ; + wire \gpio_control_bidir_1[1].pad_gpio_out ; + wire \gpio_control_bidir_1[1].pad_gpio_outenb ; + wire \gpio_control_bidir_1[1].resetn_out ; + wire \gpio_control_bidir_1[1].serial_clock_out ; + wire \gpio_control_bidir_1[1].serial_data_out ; + wire \gpio_control_bidir_1[1].serial_load_out ; + wire \gpio_control_bidir_1[1].shift_register[0] ; + wire \gpio_control_bidir_1[1].shift_register[10] ; + wire \gpio_control_bidir_1[1].shift_register[11] ; + wire \gpio_control_bidir_1[1].shift_register[12] ; + wire \gpio_control_bidir_1[1].shift_register[1] ; + wire \gpio_control_bidir_1[1].shift_register[2] ; + wire \gpio_control_bidir_1[1].shift_register[3] ; + wire \gpio_control_bidir_1[1].shift_register[4] ; + wire \gpio_control_bidir_1[1].shift_register[5] ; + wire \gpio_control_bidir_1[1].shift_register[6] ; + wire \gpio_control_bidir_1[1].shift_register[7] ; + wire \gpio_control_bidir_1[1].shift_register[8] ; + wire \gpio_control_bidir_1[1].shift_register[9] ; + wire \gpio_control_bidir_1[1].user_gpio_in ; + wire \gpio_control_bidir_1[1].zero ; + wire \gpio_control_bidir_2[0].gpio_ana_en ; + wire \gpio_control_bidir_2[0].gpio_ana_pol ; + wire \gpio_control_bidir_2[0].gpio_ana_sel ; + wire \gpio_control_bidir_2[0].gpio_defaults[0] ; + wire \gpio_control_bidir_2[0].gpio_defaults[10] ; + wire \gpio_control_bidir_2[0].gpio_defaults[11] ; + wire \gpio_control_bidir_2[0].gpio_defaults[12] ; + wire \gpio_control_bidir_2[0].gpio_defaults[1] ; + wire \gpio_control_bidir_2[0].gpio_defaults[2] ; + wire \gpio_control_bidir_2[0].gpio_defaults[3] ; + wire \gpio_control_bidir_2[0].gpio_defaults[4] ; + wire \gpio_control_bidir_2[0].gpio_defaults[5] ; + wire \gpio_control_bidir_2[0].gpio_defaults[6] ; + wire \gpio_control_bidir_2[0].gpio_defaults[7] ; + wire \gpio_control_bidir_2[0].gpio_defaults[8] ; + wire \gpio_control_bidir_2[0].gpio_defaults[9] ; + wire \gpio_control_bidir_2[0].gpio_holdover ; + wire \gpio_control_bidir_2[0].gpio_ib_mode_sel ; + wire \gpio_control_bidir_2[0].gpio_inenb ; + wire \gpio_control_bidir_2[0].gpio_logic1 ; + wire \gpio_control_bidir_2[0].gpio_outenb ; + wire \gpio_control_bidir_2[0].gpio_slow_sel ; + wire \gpio_control_bidir_2[0].gpio_vtrip_sel ; + wire \gpio_control_bidir_2[0].mgmt_ena ; + wire \gpio_control_bidir_2[0].one ; + wire \gpio_control_bidir_2[0].pad_gpio_out ; + wire \gpio_control_bidir_2[0].pad_gpio_outenb ; + wire \gpio_control_bidir_2[0].resetn ; + wire \gpio_control_bidir_2[0].resetn_out ; + wire \gpio_control_bidir_2[0].serial_clock ; + wire \gpio_control_bidir_2[0].serial_clock_out ; + wire \gpio_control_bidir_2[0].serial_data_in ; + wire \gpio_control_bidir_2[0].serial_data_out ; + wire \gpio_control_bidir_2[0].serial_load ; + wire \gpio_control_bidir_2[0].serial_load_out ; + wire \gpio_control_bidir_2[0].shift_register[0] ; + wire \gpio_control_bidir_2[0].shift_register[10] ; + wire \gpio_control_bidir_2[0].shift_register[11] ; + wire \gpio_control_bidir_2[0].shift_register[12] ; + wire \gpio_control_bidir_2[0].shift_register[1] ; + wire \gpio_control_bidir_2[0].shift_register[2] ; + wire \gpio_control_bidir_2[0].shift_register[3] ; + wire \gpio_control_bidir_2[0].shift_register[4] ; + wire \gpio_control_bidir_2[0].shift_register[5] ; + wire \gpio_control_bidir_2[0].shift_register[6] ; + wire \gpio_control_bidir_2[0].shift_register[7] ; + wire \gpio_control_bidir_2[0].shift_register[8] ; + wire \gpio_control_bidir_2[0].shift_register[9] ; + wire \gpio_control_bidir_2[0].user_gpio_in ; + wire \gpio_control_bidir_2[0].zero ; + wire \gpio_control_bidir_2[1].gpio_ana_en ; + wire \gpio_control_bidir_2[1].gpio_ana_pol ; + wire \gpio_control_bidir_2[1].gpio_ana_sel ; + wire \gpio_control_bidir_2[1].gpio_defaults[0] ; + wire \gpio_control_bidir_2[1].gpio_defaults[10] ; + wire \gpio_control_bidir_2[1].gpio_defaults[11] ; + wire \gpio_control_bidir_2[1].gpio_defaults[12] ; + wire \gpio_control_bidir_2[1].gpio_defaults[1] ; + wire \gpio_control_bidir_2[1].gpio_defaults[2] ; + wire \gpio_control_bidir_2[1].gpio_defaults[3] ; + wire \gpio_control_bidir_2[1].gpio_defaults[4] ; + wire \gpio_control_bidir_2[1].gpio_defaults[5] ; + wire \gpio_control_bidir_2[1].gpio_defaults[6] ; + wire \gpio_control_bidir_2[1].gpio_defaults[7] ; + wire \gpio_control_bidir_2[1].gpio_defaults[8] ; + wire \gpio_control_bidir_2[1].gpio_defaults[9] ; + wire \gpio_control_bidir_2[1].gpio_holdover ; + wire \gpio_control_bidir_2[1].gpio_ib_mode_sel ; + wire \gpio_control_bidir_2[1].gpio_inenb ; + wire \gpio_control_bidir_2[1].gpio_logic1 ; + wire \gpio_control_bidir_2[1].gpio_outenb ; + wire \gpio_control_bidir_2[1].gpio_slow_sel ; + wire \gpio_control_bidir_2[1].gpio_vtrip_sel ; + wire \gpio_control_bidir_2[1].mgmt_ena ; + wire \gpio_control_bidir_2[1].one ; + wire \gpio_control_bidir_2[1].pad_gpio_out ; + wire \gpio_control_bidir_2[1].pad_gpio_outenb ; + wire \gpio_control_bidir_2[1].resetn ; + wire \gpio_control_bidir_2[1].serial_clock ; + wire \gpio_control_bidir_2[1].serial_data_in ; + wire \gpio_control_bidir_2[1].serial_load ; + wire \gpio_control_bidir_2[1].shift_register[0] ; + wire \gpio_control_bidir_2[1].shift_register[10] ; + wire \gpio_control_bidir_2[1].shift_register[11] ; + wire \gpio_control_bidir_2[1].shift_register[12] ; + wire \gpio_control_bidir_2[1].shift_register[1] ; + wire \gpio_control_bidir_2[1].shift_register[2] ; + wire \gpio_control_bidir_2[1].shift_register[3] ; + wire \gpio_control_bidir_2[1].shift_register[4] ; + wire \gpio_control_bidir_2[1].shift_register[5] ; + wire \gpio_control_bidir_2[1].shift_register[6] ; + wire \gpio_control_bidir_2[1].shift_register[7] ; + wire \gpio_control_bidir_2[1].shift_register[8] ; + wire \gpio_control_bidir_2[1].shift_register[9] ; + wire \gpio_control_bidir_2[1].user_gpio_in ; + wire \gpio_control_bidir_2[1].zero ; + wire \gpio_control_bidir_2[2].gpio_ana_en ; + wire \gpio_control_bidir_2[2].gpio_ana_pol ; + wire \gpio_control_bidir_2[2].gpio_ana_sel ; + wire \gpio_control_bidir_2[2].gpio_defaults[0] ; + wire \gpio_control_bidir_2[2].gpio_defaults[10] ; + wire \gpio_control_bidir_2[2].gpio_defaults[11] ; + wire \gpio_control_bidir_2[2].gpio_defaults[12] ; + wire \gpio_control_bidir_2[2].gpio_defaults[1] ; + wire \gpio_control_bidir_2[2].gpio_defaults[2] ; + wire \gpio_control_bidir_2[2].gpio_defaults[3] ; + wire \gpio_control_bidir_2[2].gpio_defaults[4] ; + wire \gpio_control_bidir_2[2].gpio_defaults[5] ; + wire \gpio_control_bidir_2[2].gpio_defaults[6] ; + wire \gpio_control_bidir_2[2].gpio_defaults[7] ; + wire \gpio_control_bidir_2[2].gpio_defaults[8] ; + wire \gpio_control_bidir_2[2].gpio_defaults[9] ; + wire \gpio_control_bidir_2[2].gpio_holdover ; + wire \gpio_control_bidir_2[2].gpio_ib_mode_sel ; + wire \gpio_control_bidir_2[2].gpio_inenb ; + wire \gpio_control_bidir_2[2].gpio_logic1 ; + wire \gpio_control_bidir_2[2].gpio_outenb ; + wire \gpio_control_bidir_2[2].gpio_slow_sel ; + wire \gpio_control_bidir_2[2].gpio_vtrip_sel ; + wire \gpio_control_bidir_2[2].mgmt_ena ; + wire \gpio_control_bidir_2[2].one ; + wire \gpio_control_bidir_2[2].pad_gpio_out ; + wire \gpio_control_bidir_2[2].pad_gpio_outenb ; + wire \gpio_control_bidir_2[2].serial_data_in ; + wire \gpio_control_bidir_2[2].shift_register[0] ; + wire \gpio_control_bidir_2[2].shift_register[10] ; + wire \gpio_control_bidir_2[2].shift_register[11] ; + wire \gpio_control_bidir_2[2].shift_register[12] ; + wire \gpio_control_bidir_2[2].shift_register[1] ; + wire \gpio_control_bidir_2[2].shift_register[2] ; + wire \gpio_control_bidir_2[2].shift_register[3] ; + wire \gpio_control_bidir_2[2].shift_register[4] ; + wire \gpio_control_bidir_2[2].shift_register[5] ; + wire \gpio_control_bidir_2[2].shift_register[6] ; + wire \gpio_control_bidir_2[2].shift_register[7] ; + wire \gpio_control_bidir_2[2].shift_register[8] ; + wire \gpio_control_bidir_2[2].shift_register[9] ; + wire \gpio_control_bidir_2[2].user_gpio_in ; + wire \gpio_control_bidir_2[2].zero ; + wire \gpio_control_in_1[0].gpio_ana_en ; + wire \gpio_control_in_1[0].gpio_ana_pol ; + wire \gpio_control_in_1[0].gpio_ana_sel ; + wire \gpio_control_in_1[0].gpio_defaults[0] ; + wire \gpio_control_in_1[0].gpio_defaults[10] ; + wire \gpio_control_in_1[0].gpio_defaults[11] ; + wire \gpio_control_in_1[0].gpio_defaults[12] ; + wire \gpio_control_in_1[0].gpio_defaults[1] ; + wire \gpio_control_in_1[0].gpio_defaults[2] ; + wire \gpio_control_in_1[0].gpio_defaults[3] ; + wire \gpio_control_in_1[0].gpio_defaults[4] ; + wire \gpio_control_in_1[0].gpio_defaults[5] ; + wire \gpio_control_in_1[0].gpio_defaults[6] ; + wire \gpio_control_in_1[0].gpio_defaults[7] ; + wire \gpio_control_in_1[0].gpio_defaults[8] ; + wire \gpio_control_in_1[0].gpio_defaults[9] ; + wire \gpio_control_in_1[0].gpio_holdover ; + wire \gpio_control_in_1[0].gpio_ib_mode_sel ; + wire \gpio_control_in_1[0].gpio_inenb ; + wire \gpio_control_in_1[0].gpio_logic1 ; + wire \gpio_control_in_1[0].gpio_outenb ; + wire \gpio_control_in_1[0].gpio_slow_sel ; + wire \gpio_control_in_1[0].gpio_vtrip_sel ; + wire \gpio_control_in_1[0].mgmt_ena ; + wire \gpio_control_in_1[0].mgmt_gpio_oeb ; + wire \gpio_control_in_1[0].pad_gpio_out ; + wire \gpio_control_in_1[0].pad_gpio_outenb ; + wire \gpio_control_in_1[0].resetn ; + wire \gpio_control_in_1[0].resetn_out ; + wire \gpio_control_in_1[0].serial_clock ; + wire \gpio_control_in_1[0].serial_clock_out ; + wire \gpio_control_in_1[0].serial_data_in ; + wire \gpio_control_in_1[0].serial_data_out ; + wire \gpio_control_in_1[0].serial_load ; + wire \gpio_control_in_1[0].serial_load_out ; + wire \gpio_control_in_1[0].shift_register[0] ; + wire \gpio_control_in_1[0].shift_register[10] ; + wire \gpio_control_in_1[0].shift_register[11] ; + wire \gpio_control_in_1[0].shift_register[12] ; + wire \gpio_control_in_1[0].shift_register[1] ; + wire \gpio_control_in_1[0].shift_register[2] ; + wire \gpio_control_in_1[0].shift_register[3] ; + wire \gpio_control_in_1[0].shift_register[4] ; + wire \gpio_control_in_1[0].shift_register[5] ; + wire \gpio_control_in_1[0].shift_register[6] ; + wire \gpio_control_in_1[0].shift_register[7] ; + wire \gpio_control_in_1[0].shift_register[8] ; + wire \gpio_control_in_1[0].shift_register[9] ; + wire \gpio_control_in_1[0].user_gpio_in ; + wire \gpio_control_in_1[0].zero ; + wire \gpio_control_in_1[10].gpio_ana_en ; + wire \gpio_control_in_1[10].gpio_ana_pol ; + wire \gpio_control_in_1[10].gpio_ana_sel ; + wire \gpio_control_in_1[10].gpio_defaults[0] ; + wire \gpio_control_in_1[10].gpio_defaults[10] ; + wire \gpio_control_in_1[10].gpio_defaults[11] ; + wire \gpio_control_in_1[10].gpio_defaults[12] ; + wire \gpio_control_in_1[10].gpio_defaults[1] ; + wire \gpio_control_in_1[10].gpio_defaults[2] ; + wire \gpio_control_in_1[10].gpio_defaults[3] ; + wire \gpio_control_in_1[10].gpio_defaults[4] ; + wire \gpio_control_in_1[10].gpio_defaults[5] ; + wire \gpio_control_in_1[10].gpio_defaults[6] ; + wire \gpio_control_in_1[10].gpio_defaults[7] ; + wire \gpio_control_in_1[10].gpio_defaults[8] ; + wire \gpio_control_in_1[10].gpio_defaults[9] ; + wire \gpio_control_in_1[10].gpio_holdover ; + wire \gpio_control_in_1[10].gpio_ib_mode_sel ; + wire \gpio_control_in_1[10].gpio_inenb ; + wire \gpio_control_in_1[10].gpio_logic1 ; + wire \gpio_control_in_1[10].gpio_outenb ; + wire \gpio_control_in_1[10].gpio_slow_sel ; + wire \gpio_control_in_1[10].gpio_vtrip_sel ; + wire \gpio_control_in_1[10].mgmt_ena ; + wire \gpio_control_in_1[10].mgmt_gpio_oeb ; + wire \gpio_control_in_1[10].pad_gpio_out ; + wire \gpio_control_in_1[10].pad_gpio_outenb ; + wire \gpio_control_in_1[10].resetn ; + wire \gpio_control_in_1[10].resetn_out ; + wire \gpio_control_in_1[10].serial_clock ; + wire \gpio_control_in_1[10].serial_clock_out ; + wire \gpio_control_in_1[10].serial_data_in ; + wire \gpio_control_in_1[10].serial_load ; + wire \gpio_control_in_1[10].serial_load_out ; + wire \gpio_control_in_1[10].shift_register[0] ; + wire \gpio_control_in_1[10].shift_register[10] ; + wire \gpio_control_in_1[10].shift_register[11] ; + wire \gpio_control_in_1[10].shift_register[12] ; + wire \gpio_control_in_1[10].shift_register[1] ; + wire \gpio_control_in_1[10].shift_register[2] ; + wire \gpio_control_in_1[10].shift_register[3] ; + wire \gpio_control_in_1[10].shift_register[4] ; + wire \gpio_control_in_1[10].shift_register[5] ; + wire \gpio_control_in_1[10].shift_register[6] ; + wire \gpio_control_in_1[10].shift_register[7] ; + wire \gpio_control_in_1[10].shift_register[8] ; + wire \gpio_control_in_1[10].shift_register[9] ; + wire \gpio_control_in_1[10].user_gpio_in ; + wire \gpio_control_in_1[10].zero ; + wire \gpio_control_in_1[1].gpio_ana_en ; + wire \gpio_control_in_1[1].gpio_ana_pol ; + wire \gpio_control_in_1[1].gpio_ana_sel ; + wire \gpio_control_in_1[1].gpio_defaults[0] ; + wire \gpio_control_in_1[1].gpio_defaults[10] ; + wire \gpio_control_in_1[1].gpio_defaults[11] ; + wire \gpio_control_in_1[1].gpio_defaults[12] ; + wire \gpio_control_in_1[1].gpio_defaults[1] ; + wire \gpio_control_in_1[1].gpio_defaults[2] ; + wire \gpio_control_in_1[1].gpio_defaults[3] ; + wire \gpio_control_in_1[1].gpio_defaults[4] ; + wire \gpio_control_in_1[1].gpio_defaults[5] ; + wire \gpio_control_in_1[1].gpio_defaults[6] ; + wire \gpio_control_in_1[1].gpio_defaults[7] ; + wire \gpio_control_in_1[1].gpio_defaults[8] ; + wire \gpio_control_in_1[1].gpio_defaults[9] ; + wire \gpio_control_in_1[1].gpio_holdover ; + wire \gpio_control_in_1[1].gpio_ib_mode_sel ; + wire \gpio_control_in_1[1].gpio_inenb ; + wire \gpio_control_in_1[1].gpio_logic1 ; + wire \gpio_control_in_1[1].gpio_outenb ; + wire \gpio_control_in_1[1].gpio_slow_sel ; + wire \gpio_control_in_1[1].gpio_vtrip_sel ; + wire \gpio_control_in_1[1].mgmt_ena ; + wire \gpio_control_in_1[1].mgmt_gpio_oeb ; + wire \gpio_control_in_1[1].pad_gpio_out ; + wire \gpio_control_in_1[1].pad_gpio_outenb ; + wire \gpio_control_in_1[1].resetn_out ; + wire \gpio_control_in_1[1].serial_clock_out ; + wire \gpio_control_in_1[1].serial_data_out ; + wire \gpio_control_in_1[1].serial_load_out ; + wire \gpio_control_in_1[1].shift_register[0] ; + wire \gpio_control_in_1[1].shift_register[10] ; + wire \gpio_control_in_1[1].shift_register[11] ; + wire \gpio_control_in_1[1].shift_register[12] ; + wire \gpio_control_in_1[1].shift_register[1] ; + wire \gpio_control_in_1[1].shift_register[2] ; + wire \gpio_control_in_1[1].shift_register[3] ; + wire \gpio_control_in_1[1].shift_register[4] ; + wire \gpio_control_in_1[1].shift_register[5] ; + wire \gpio_control_in_1[1].shift_register[6] ; + wire \gpio_control_in_1[1].shift_register[7] ; + wire \gpio_control_in_1[1].shift_register[8] ; + wire \gpio_control_in_1[1].shift_register[9] ; + wire \gpio_control_in_1[1].user_gpio_in ; + wire \gpio_control_in_1[1].zero ; + wire \gpio_control_in_1[2].gpio_ana_en ; + wire \gpio_control_in_1[2].gpio_ana_pol ; + wire \gpio_control_in_1[2].gpio_ana_sel ; + wire \gpio_control_in_1[2].gpio_defaults[0] ; + wire \gpio_control_in_1[2].gpio_defaults[10] ; + wire \gpio_control_in_1[2].gpio_defaults[11] ; + wire \gpio_control_in_1[2].gpio_defaults[12] ; + wire \gpio_control_in_1[2].gpio_defaults[1] ; + wire \gpio_control_in_1[2].gpio_defaults[2] ; + wire \gpio_control_in_1[2].gpio_defaults[3] ; + wire \gpio_control_in_1[2].gpio_defaults[4] ; + wire \gpio_control_in_1[2].gpio_defaults[5] ; + wire \gpio_control_in_1[2].gpio_defaults[6] ; + wire \gpio_control_in_1[2].gpio_defaults[7] ; + wire \gpio_control_in_1[2].gpio_defaults[8] ; + wire \gpio_control_in_1[2].gpio_defaults[9] ; + wire \gpio_control_in_1[2].gpio_holdover ; + wire \gpio_control_in_1[2].gpio_ib_mode_sel ; + wire \gpio_control_in_1[2].gpio_inenb ; + wire \gpio_control_in_1[2].gpio_logic1 ; + wire \gpio_control_in_1[2].gpio_outenb ; + wire \gpio_control_in_1[2].gpio_slow_sel ; + wire \gpio_control_in_1[2].gpio_vtrip_sel ; + wire \gpio_control_in_1[2].mgmt_ena ; + wire \gpio_control_in_1[2].mgmt_gpio_oeb ; + wire \gpio_control_in_1[2].pad_gpio_out ; + wire \gpio_control_in_1[2].pad_gpio_outenb ; + wire \gpio_control_in_1[2].resetn_out ; + wire \gpio_control_in_1[2].serial_clock_out ; + wire \gpio_control_in_1[2].serial_data_out ; + wire \gpio_control_in_1[2].serial_load_out ; + wire \gpio_control_in_1[2].shift_register[0] ; + wire \gpio_control_in_1[2].shift_register[10] ; + wire \gpio_control_in_1[2].shift_register[11] ; + wire \gpio_control_in_1[2].shift_register[12] ; + wire \gpio_control_in_1[2].shift_register[1] ; + wire \gpio_control_in_1[2].shift_register[2] ; + wire \gpio_control_in_1[2].shift_register[3] ; + wire \gpio_control_in_1[2].shift_register[4] ; + wire \gpio_control_in_1[2].shift_register[5] ; + wire \gpio_control_in_1[2].shift_register[6] ; + wire \gpio_control_in_1[2].shift_register[7] ; + wire \gpio_control_in_1[2].shift_register[8] ; + wire \gpio_control_in_1[2].shift_register[9] ; + wire \gpio_control_in_1[2].user_gpio_in ; + wire \gpio_control_in_1[2].zero ; + wire \gpio_control_in_1[3].gpio_ana_en ; + wire \gpio_control_in_1[3].gpio_ana_pol ; + wire \gpio_control_in_1[3].gpio_ana_sel ; + wire \gpio_control_in_1[3].gpio_defaults[0] ; + wire \gpio_control_in_1[3].gpio_defaults[10] ; + wire \gpio_control_in_1[3].gpio_defaults[11] ; + wire \gpio_control_in_1[3].gpio_defaults[12] ; + wire \gpio_control_in_1[3].gpio_defaults[1] ; + wire \gpio_control_in_1[3].gpio_defaults[2] ; + wire \gpio_control_in_1[3].gpio_defaults[3] ; + wire \gpio_control_in_1[3].gpio_defaults[4] ; + wire \gpio_control_in_1[3].gpio_defaults[5] ; + wire \gpio_control_in_1[3].gpio_defaults[6] ; + wire \gpio_control_in_1[3].gpio_defaults[7] ; + wire \gpio_control_in_1[3].gpio_defaults[8] ; + wire \gpio_control_in_1[3].gpio_defaults[9] ; + wire \gpio_control_in_1[3].gpio_holdover ; + wire \gpio_control_in_1[3].gpio_ib_mode_sel ; + wire \gpio_control_in_1[3].gpio_inenb ; + wire \gpio_control_in_1[3].gpio_logic1 ; + wire \gpio_control_in_1[3].gpio_outenb ; + wire \gpio_control_in_1[3].gpio_slow_sel ; + wire \gpio_control_in_1[3].gpio_vtrip_sel ; + wire \gpio_control_in_1[3].mgmt_ena ; + wire \gpio_control_in_1[3].mgmt_gpio_oeb ; + wire \gpio_control_in_1[3].pad_gpio_out ; + wire \gpio_control_in_1[3].pad_gpio_outenb ; + wire \gpio_control_in_1[3].resetn_out ; + wire \gpio_control_in_1[3].serial_clock_out ; + wire \gpio_control_in_1[3].serial_data_out ; + wire \gpio_control_in_1[3].serial_load_out ; + wire \gpio_control_in_1[3].shift_register[0] ; + wire \gpio_control_in_1[3].shift_register[10] ; + wire \gpio_control_in_1[3].shift_register[11] ; + wire \gpio_control_in_1[3].shift_register[12] ; + wire \gpio_control_in_1[3].shift_register[1] ; + wire \gpio_control_in_1[3].shift_register[2] ; + wire \gpio_control_in_1[3].shift_register[3] ; + wire \gpio_control_in_1[3].shift_register[4] ; + wire \gpio_control_in_1[3].shift_register[5] ; + wire \gpio_control_in_1[3].shift_register[6] ; + wire \gpio_control_in_1[3].shift_register[7] ; + wire \gpio_control_in_1[3].shift_register[8] ; + wire \gpio_control_in_1[3].shift_register[9] ; + wire \gpio_control_in_1[3].user_gpio_in ; + wire \gpio_control_in_1[3].zero ; + wire \gpio_control_in_1[4].gpio_ana_en ; + wire \gpio_control_in_1[4].gpio_ana_pol ; + wire \gpio_control_in_1[4].gpio_ana_sel ; + wire \gpio_control_in_1[4].gpio_defaults[0] ; + wire \gpio_control_in_1[4].gpio_defaults[10] ; + wire \gpio_control_in_1[4].gpio_defaults[11] ; + wire \gpio_control_in_1[4].gpio_defaults[12] ; + wire \gpio_control_in_1[4].gpio_defaults[1] ; + wire \gpio_control_in_1[4].gpio_defaults[2] ; + wire \gpio_control_in_1[4].gpio_defaults[3] ; + wire \gpio_control_in_1[4].gpio_defaults[4] ; + wire \gpio_control_in_1[4].gpio_defaults[5] ; + wire \gpio_control_in_1[4].gpio_defaults[6] ; + wire \gpio_control_in_1[4].gpio_defaults[7] ; + wire \gpio_control_in_1[4].gpio_defaults[8] ; + wire \gpio_control_in_1[4].gpio_defaults[9] ; + wire \gpio_control_in_1[4].gpio_holdover ; + wire \gpio_control_in_1[4].gpio_ib_mode_sel ; + wire \gpio_control_in_1[4].gpio_inenb ; + wire \gpio_control_in_1[4].gpio_logic1 ; + wire \gpio_control_in_1[4].gpio_outenb ; + wire \gpio_control_in_1[4].gpio_slow_sel ; + wire \gpio_control_in_1[4].gpio_vtrip_sel ; + wire \gpio_control_in_1[4].mgmt_ena ; + wire \gpio_control_in_1[4].mgmt_gpio_oeb ; + wire \gpio_control_in_1[4].pad_gpio_out ; + wire \gpio_control_in_1[4].pad_gpio_outenb ; + wire \gpio_control_in_1[4].resetn_out ; + wire \gpio_control_in_1[4].serial_clock_out ; + wire \gpio_control_in_1[4].serial_data_out ; + wire \gpio_control_in_1[4].serial_load_out ; + wire \gpio_control_in_1[4].shift_register[0] ; + wire \gpio_control_in_1[4].shift_register[10] ; + wire \gpio_control_in_1[4].shift_register[11] ; + wire \gpio_control_in_1[4].shift_register[12] ; + wire \gpio_control_in_1[4].shift_register[1] ; + wire \gpio_control_in_1[4].shift_register[2] ; + wire \gpio_control_in_1[4].shift_register[3] ; + wire \gpio_control_in_1[4].shift_register[4] ; + wire \gpio_control_in_1[4].shift_register[5] ; + wire \gpio_control_in_1[4].shift_register[6] ; + wire \gpio_control_in_1[4].shift_register[7] ; + wire \gpio_control_in_1[4].shift_register[8] ; + wire \gpio_control_in_1[4].shift_register[9] ; + wire \gpio_control_in_1[4].user_gpio_in ; + wire \gpio_control_in_1[4].zero ; + wire \gpio_control_in_1[5].gpio_ana_en ; + wire \gpio_control_in_1[5].gpio_ana_pol ; + wire \gpio_control_in_1[5].gpio_ana_sel ; + wire \gpio_control_in_1[5].gpio_defaults[0] ; + wire \gpio_control_in_1[5].gpio_defaults[10] ; + wire \gpio_control_in_1[5].gpio_defaults[11] ; + wire \gpio_control_in_1[5].gpio_defaults[12] ; + wire \gpio_control_in_1[5].gpio_defaults[1] ; + wire \gpio_control_in_1[5].gpio_defaults[2] ; + wire \gpio_control_in_1[5].gpio_defaults[3] ; + wire \gpio_control_in_1[5].gpio_defaults[4] ; + wire \gpio_control_in_1[5].gpio_defaults[5] ; + wire \gpio_control_in_1[5].gpio_defaults[6] ; + wire \gpio_control_in_1[5].gpio_defaults[7] ; + wire \gpio_control_in_1[5].gpio_defaults[8] ; + wire \gpio_control_in_1[5].gpio_defaults[9] ; + wire \gpio_control_in_1[5].gpio_holdover ; + wire \gpio_control_in_1[5].gpio_ib_mode_sel ; + wire \gpio_control_in_1[5].gpio_inenb ; + wire \gpio_control_in_1[5].gpio_logic1 ; + wire \gpio_control_in_1[5].gpio_outenb ; + wire \gpio_control_in_1[5].gpio_slow_sel ; + wire \gpio_control_in_1[5].gpio_vtrip_sel ; + wire \gpio_control_in_1[5].mgmt_ena ; + wire \gpio_control_in_1[5].mgmt_gpio_oeb ; + wire \gpio_control_in_1[5].pad_gpio_out ; + wire \gpio_control_in_1[5].pad_gpio_outenb ; + wire \gpio_control_in_1[5].resetn_out ; + wire \gpio_control_in_1[5].serial_clock_out ; + wire \gpio_control_in_1[5].serial_data_out ; + wire \gpio_control_in_1[5].serial_load_out ; + wire \gpio_control_in_1[5].shift_register[0] ; + wire \gpio_control_in_1[5].shift_register[10] ; + wire \gpio_control_in_1[5].shift_register[11] ; + wire \gpio_control_in_1[5].shift_register[12] ; + wire \gpio_control_in_1[5].shift_register[1] ; + wire \gpio_control_in_1[5].shift_register[2] ; + wire \gpio_control_in_1[5].shift_register[3] ; + wire \gpio_control_in_1[5].shift_register[4] ; + wire \gpio_control_in_1[5].shift_register[5] ; + wire \gpio_control_in_1[5].shift_register[6] ; + wire \gpio_control_in_1[5].shift_register[7] ; + wire \gpio_control_in_1[5].shift_register[8] ; + wire \gpio_control_in_1[5].shift_register[9] ; + wire \gpio_control_in_1[5].user_gpio_in ; + wire \gpio_control_in_1[5].zero ; + wire \gpio_control_in_1[6].gpio_ana_en ; + wire \gpio_control_in_1[6].gpio_ana_pol ; + wire \gpio_control_in_1[6].gpio_ana_sel ; + wire \gpio_control_in_1[6].gpio_defaults[0] ; + wire \gpio_control_in_1[6].gpio_defaults[10] ; + wire \gpio_control_in_1[6].gpio_defaults[11] ; + wire \gpio_control_in_1[6].gpio_defaults[12] ; + wire \gpio_control_in_1[6].gpio_defaults[1] ; + wire \gpio_control_in_1[6].gpio_defaults[2] ; + wire \gpio_control_in_1[6].gpio_defaults[3] ; + wire \gpio_control_in_1[6].gpio_defaults[4] ; + wire \gpio_control_in_1[6].gpio_defaults[5] ; + wire \gpio_control_in_1[6].gpio_defaults[6] ; + wire \gpio_control_in_1[6].gpio_defaults[7] ; + wire \gpio_control_in_1[6].gpio_defaults[8] ; + wire \gpio_control_in_1[6].gpio_defaults[9] ; + wire \gpio_control_in_1[6].gpio_holdover ; + wire \gpio_control_in_1[6].gpio_ib_mode_sel ; + wire \gpio_control_in_1[6].gpio_inenb ; + wire \gpio_control_in_1[6].gpio_logic1 ; + wire \gpio_control_in_1[6].gpio_outenb ; + wire \gpio_control_in_1[6].gpio_slow_sel ; + wire \gpio_control_in_1[6].gpio_vtrip_sel ; + wire \gpio_control_in_1[6].mgmt_ena ; + wire \gpio_control_in_1[6].mgmt_gpio_oeb ; + wire \gpio_control_in_1[6].pad_gpio_out ; + wire \gpio_control_in_1[6].pad_gpio_outenb ; + wire \gpio_control_in_1[6].resetn_out ; + wire \gpio_control_in_1[6].serial_clock_out ; + wire \gpio_control_in_1[6].serial_data_out ; + wire \gpio_control_in_1[6].serial_load_out ; + wire \gpio_control_in_1[6].shift_register[0] ; + wire \gpio_control_in_1[6].shift_register[10] ; + wire \gpio_control_in_1[6].shift_register[11] ; + wire \gpio_control_in_1[6].shift_register[12] ; + wire \gpio_control_in_1[6].shift_register[1] ; + wire \gpio_control_in_1[6].shift_register[2] ; + wire \gpio_control_in_1[6].shift_register[3] ; + wire \gpio_control_in_1[6].shift_register[4] ; + wire \gpio_control_in_1[6].shift_register[5] ; + wire \gpio_control_in_1[6].shift_register[6] ; + wire \gpio_control_in_1[6].shift_register[7] ; + wire \gpio_control_in_1[6].shift_register[8] ; + wire \gpio_control_in_1[6].shift_register[9] ; + wire \gpio_control_in_1[6].user_gpio_in ; + wire \gpio_control_in_1[6].zero ; + wire \gpio_control_in_1[7].gpio_ana_en ; + wire \gpio_control_in_1[7].gpio_ana_pol ; + wire \gpio_control_in_1[7].gpio_ana_sel ; + wire \gpio_control_in_1[7].gpio_defaults[0] ; + wire \gpio_control_in_1[7].gpio_defaults[10] ; + wire \gpio_control_in_1[7].gpio_defaults[11] ; + wire \gpio_control_in_1[7].gpio_defaults[12] ; + wire \gpio_control_in_1[7].gpio_defaults[1] ; + wire \gpio_control_in_1[7].gpio_defaults[2] ; + wire \gpio_control_in_1[7].gpio_defaults[3] ; + wire \gpio_control_in_1[7].gpio_defaults[4] ; + wire \gpio_control_in_1[7].gpio_defaults[5] ; + wire \gpio_control_in_1[7].gpio_defaults[6] ; + wire \gpio_control_in_1[7].gpio_defaults[7] ; + wire \gpio_control_in_1[7].gpio_defaults[8] ; + wire \gpio_control_in_1[7].gpio_defaults[9] ; + wire \gpio_control_in_1[7].gpio_holdover ; + wire \gpio_control_in_1[7].gpio_ib_mode_sel ; + wire \gpio_control_in_1[7].gpio_inenb ; + wire \gpio_control_in_1[7].gpio_logic1 ; + wire \gpio_control_in_1[7].gpio_outenb ; + wire \gpio_control_in_1[7].gpio_slow_sel ; + wire \gpio_control_in_1[7].gpio_vtrip_sel ; + wire \gpio_control_in_1[7].mgmt_ena ; + wire \gpio_control_in_1[7].mgmt_gpio_oeb ; + wire \gpio_control_in_1[7].pad_gpio_out ; + wire \gpio_control_in_1[7].pad_gpio_outenb ; + wire \gpio_control_in_1[7].resetn_out ; + wire \gpio_control_in_1[7].serial_clock_out ; + wire \gpio_control_in_1[7].serial_data_out ; + wire \gpio_control_in_1[7].serial_load_out ; + wire \gpio_control_in_1[7].shift_register[0] ; + wire \gpio_control_in_1[7].shift_register[10] ; + wire \gpio_control_in_1[7].shift_register[11] ; + wire \gpio_control_in_1[7].shift_register[12] ; + wire \gpio_control_in_1[7].shift_register[1] ; + wire \gpio_control_in_1[7].shift_register[2] ; + wire \gpio_control_in_1[7].shift_register[3] ; + wire \gpio_control_in_1[7].shift_register[4] ; + wire \gpio_control_in_1[7].shift_register[5] ; + wire \gpio_control_in_1[7].shift_register[6] ; + wire \gpio_control_in_1[7].shift_register[7] ; + wire \gpio_control_in_1[7].shift_register[8] ; + wire \gpio_control_in_1[7].shift_register[9] ; + wire \gpio_control_in_1[7].user_gpio_in ; + wire \gpio_control_in_1[7].zero ; + wire \gpio_control_in_1[8].gpio_ana_en ; + wire \gpio_control_in_1[8].gpio_ana_pol ; + wire \gpio_control_in_1[8].gpio_ana_sel ; + wire \gpio_control_in_1[8].gpio_defaults[0] ; + wire \gpio_control_in_1[8].gpio_defaults[10] ; + wire \gpio_control_in_1[8].gpio_defaults[11] ; + wire \gpio_control_in_1[8].gpio_defaults[12] ; + wire \gpio_control_in_1[8].gpio_defaults[1] ; + wire \gpio_control_in_1[8].gpio_defaults[2] ; + wire \gpio_control_in_1[8].gpio_defaults[3] ; + wire \gpio_control_in_1[8].gpio_defaults[4] ; + wire \gpio_control_in_1[8].gpio_defaults[5] ; + wire \gpio_control_in_1[8].gpio_defaults[6] ; + wire \gpio_control_in_1[8].gpio_defaults[7] ; + wire \gpio_control_in_1[8].gpio_defaults[8] ; + wire \gpio_control_in_1[8].gpio_defaults[9] ; + wire \gpio_control_in_1[8].gpio_holdover ; + wire \gpio_control_in_1[8].gpio_ib_mode_sel ; + wire \gpio_control_in_1[8].gpio_inenb ; + wire \gpio_control_in_1[8].gpio_logic1 ; + wire \gpio_control_in_1[8].gpio_outenb ; + wire \gpio_control_in_1[8].gpio_slow_sel ; + wire \gpio_control_in_1[8].gpio_vtrip_sel ; + wire \gpio_control_in_1[8].mgmt_ena ; + wire \gpio_control_in_1[8].mgmt_gpio_oeb ; + wire \gpio_control_in_1[8].pad_gpio_out ; + wire \gpio_control_in_1[8].pad_gpio_outenb ; + wire \gpio_control_in_1[8].resetn_out ; + wire \gpio_control_in_1[8].serial_clock_out ; + wire \gpio_control_in_1[8].serial_data_out ; + wire \gpio_control_in_1[8].serial_load_out ; + wire \gpio_control_in_1[8].shift_register[0] ; + wire \gpio_control_in_1[8].shift_register[10] ; + wire \gpio_control_in_1[8].shift_register[11] ; + wire \gpio_control_in_1[8].shift_register[12] ; + wire \gpio_control_in_1[8].shift_register[1] ; + wire \gpio_control_in_1[8].shift_register[2] ; + wire \gpio_control_in_1[8].shift_register[3] ; + wire \gpio_control_in_1[8].shift_register[4] ; + wire \gpio_control_in_1[8].shift_register[5] ; + wire \gpio_control_in_1[8].shift_register[6] ; + wire \gpio_control_in_1[8].shift_register[7] ; + wire \gpio_control_in_1[8].shift_register[8] ; + wire \gpio_control_in_1[8].shift_register[9] ; + wire \gpio_control_in_1[8].user_gpio_in ; + wire \gpio_control_in_1[8].zero ; + wire \gpio_control_in_1[9].gpio_ana_en ; + wire \gpio_control_in_1[9].gpio_ana_pol ; + wire \gpio_control_in_1[9].gpio_ana_sel ; + wire \gpio_control_in_1[9].gpio_defaults[0] ; + wire \gpio_control_in_1[9].gpio_defaults[10] ; + wire \gpio_control_in_1[9].gpio_defaults[11] ; + wire \gpio_control_in_1[9].gpio_defaults[12] ; + wire \gpio_control_in_1[9].gpio_defaults[1] ; + wire \gpio_control_in_1[9].gpio_defaults[2] ; + wire \gpio_control_in_1[9].gpio_defaults[3] ; + wire \gpio_control_in_1[9].gpio_defaults[4] ; + wire \gpio_control_in_1[9].gpio_defaults[5] ; + wire \gpio_control_in_1[9].gpio_defaults[6] ; + wire \gpio_control_in_1[9].gpio_defaults[7] ; + wire \gpio_control_in_1[9].gpio_defaults[8] ; + wire \gpio_control_in_1[9].gpio_defaults[9] ; + wire \gpio_control_in_1[9].gpio_holdover ; + wire \gpio_control_in_1[9].gpio_ib_mode_sel ; + wire \gpio_control_in_1[9].gpio_inenb ; + wire \gpio_control_in_1[9].gpio_logic1 ; + wire \gpio_control_in_1[9].gpio_outenb ; + wire \gpio_control_in_1[9].gpio_slow_sel ; + wire \gpio_control_in_1[9].gpio_vtrip_sel ; + wire \gpio_control_in_1[9].mgmt_ena ; + wire \gpio_control_in_1[9].mgmt_gpio_oeb ; + wire \gpio_control_in_1[9].pad_gpio_out ; + wire \gpio_control_in_1[9].pad_gpio_outenb ; + wire \gpio_control_in_1[9].shift_register[0] ; + wire \gpio_control_in_1[9].shift_register[10] ; + wire \gpio_control_in_1[9].shift_register[11] ; + wire \gpio_control_in_1[9].shift_register[12] ; + wire \gpio_control_in_1[9].shift_register[1] ; + wire \gpio_control_in_1[9].shift_register[2] ; + wire \gpio_control_in_1[9].shift_register[3] ; + wire \gpio_control_in_1[9].shift_register[4] ; + wire \gpio_control_in_1[9].shift_register[5] ; + wire \gpio_control_in_1[9].shift_register[6] ; + wire \gpio_control_in_1[9].shift_register[7] ; + wire \gpio_control_in_1[9].shift_register[8] ; + wire \gpio_control_in_1[9].shift_register[9] ; + wire \gpio_control_in_1[9].user_gpio_in ; + wire \gpio_control_in_1[9].zero ; + wire \gpio_control_in_1a[0].gpio_ana_en ; + wire \gpio_control_in_1a[0].gpio_ana_pol ; + wire \gpio_control_in_1a[0].gpio_ana_sel ; + wire \gpio_control_in_1a[0].gpio_defaults[0] ; + wire \gpio_control_in_1a[0].gpio_defaults[10] ; + wire \gpio_control_in_1a[0].gpio_defaults[11] ; + wire \gpio_control_in_1a[0].gpio_defaults[12] ; + wire \gpio_control_in_1a[0].gpio_defaults[1] ; + wire \gpio_control_in_1a[0].gpio_defaults[2] ; + wire \gpio_control_in_1a[0].gpio_defaults[3] ; + wire \gpio_control_in_1a[0].gpio_defaults[4] ; + wire \gpio_control_in_1a[0].gpio_defaults[5] ; + wire \gpio_control_in_1a[0].gpio_defaults[6] ; + wire \gpio_control_in_1a[0].gpio_defaults[7] ; + wire \gpio_control_in_1a[0].gpio_defaults[8] ; + wire \gpio_control_in_1a[0].gpio_defaults[9] ; + wire \gpio_control_in_1a[0].gpio_holdover ; + wire \gpio_control_in_1a[0].gpio_ib_mode_sel ; + wire \gpio_control_in_1a[0].gpio_inenb ; + wire \gpio_control_in_1a[0].gpio_logic1 ; + wire \gpio_control_in_1a[0].gpio_outenb ; + wire \gpio_control_in_1a[0].gpio_slow_sel ; + wire \gpio_control_in_1a[0].gpio_vtrip_sel ; + wire \gpio_control_in_1a[0].mgmt_ena ; + wire \gpio_control_in_1a[0].mgmt_gpio_oeb ; + wire \gpio_control_in_1a[0].pad_gpio_out ; + wire \gpio_control_in_1a[0].pad_gpio_outenb ; + wire \gpio_control_in_1a[0].resetn_out ; + wire \gpio_control_in_1a[0].serial_clock_out ; + wire \gpio_control_in_1a[0].serial_data_out ; + wire \gpio_control_in_1a[0].serial_load_out ; + wire \gpio_control_in_1a[0].shift_register[0] ; + wire \gpio_control_in_1a[0].shift_register[10] ; + wire \gpio_control_in_1a[0].shift_register[11] ; + wire \gpio_control_in_1a[0].shift_register[12] ; + wire \gpio_control_in_1a[0].shift_register[1] ; + wire \gpio_control_in_1a[0].shift_register[2] ; + wire \gpio_control_in_1a[0].shift_register[3] ; + wire \gpio_control_in_1a[0].shift_register[4] ; + wire \gpio_control_in_1a[0].shift_register[5] ; + wire \gpio_control_in_1a[0].shift_register[6] ; + wire \gpio_control_in_1a[0].shift_register[7] ; + wire \gpio_control_in_1a[0].shift_register[8] ; + wire \gpio_control_in_1a[0].shift_register[9] ; + wire \gpio_control_in_1a[0].user_gpio_in ; + wire \gpio_control_in_1a[0].zero ; + wire \gpio_control_in_1a[1].gpio_ana_en ; + wire \gpio_control_in_1a[1].gpio_ana_pol ; + wire \gpio_control_in_1a[1].gpio_ana_sel ; + wire \gpio_control_in_1a[1].gpio_defaults[0] ; + wire \gpio_control_in_1a[1].gpio_defaults[10] ; + wire \gpio_control_in_1a[1].gpio_defaults[11] ; + wire \gpio_control_in_1a[1].gpio_defaults[12] ; + wire \gpio_control_in_1a[1].gpio_defaults[1] ; + wire \gpio_control_in_1a[1].gpio_defaults[2] ; + wire \gpio_control_in_1a[1].gpio_defaults[3] ; + wire \gpio_control_in_1a[1].gpio_defaults[4] ; + wire \gpio_control_in_1a[1].gpio_defaults[5] ; + wire \gpio_control_in_1a[1].gpio_defaults[6] ; + wire \gpio_control_in_1a[1].gpio_defaults[7] ; + wire \gpio_control_in_1a[1].gpio_defaults[8] ; + wire \gpio_control_in_1a[1].gpio_defaults[9] ; + wire \gpio_control_in_1a[1].gpio_holdover ; + wire \gpio_control_in_1a[1].gpio_ib_mode_sel ; + wire \gpio_control_in_1a[1].gpio_inenb ; + wire \gpio_control_in_1a[1].gpio_logic1 ; + wire \gpio_control_in_1a[1].gpio_outenb ; + wire \gpio_control_in_1a[1].gpio_slow_sel ; + wire \gpio_control_in_1a[1].gpio_vtrip_sel ; + wire \gpio_control_in_1a[1].mgmt_ena ; + wire \gpio_control_in_1a[1].mgmt_gpio_oeb ; + wire \gpio_control_in_1a[1].pad_gpio_out ; + wire \gpio_control_in_1a[1].pad_gpio_outenb ; + wire \gpio_control_in_1a[1].resetn_out ; + wire \gpio_control_in_1a[1].serial_clock_out ; + wire \gpio_control_in_1a[1].serial_data_out ; + wire \gpio_control_in_1a[1].serial_load_out ; + wire \gpio_control_in_1a[1].shift_register[0] ; + wire \gpio_control_in_1a[1].shift_register[10] ; + wire \gpio_control_in_1a[1].shift_register[11] ; + wire \gpio_control_in_1a[1].shift_register[12] ; + wire \gpio_control_in_1a[1].shift_register[1] ; + wire \gpio_control_in_1a[1].shift_register[2] ; + wire \gpio_control_in_1a[1].shift_register[3] ; + wire \gpio_control_in_1a[1].shift_register[4] ; + wire \gpio_control_in_1a[1].shift_register[5] ; + wire \gpio_control_in_1a[1].shift_register[6] ; + wire \gpio_control_in_1a[1].shift_register[7] ; + wire \gpio_control_in_1a[1].shift_register[8] ; + wire \gpio_control_in_1a[1].shift_register[9] ; + wire \gpio_control_in_1a[1].user_gpio_in ; + wire \gpio_control_in_1a[1].zero ; + wire \gpio_control_in_1a[2].gpio_ana_en ; + wire \gpio_control_in_1a[2].gpio_ana_pol ; + wire \gpio_control_in_1a[2].gpio_ana_sel ; + wire \gpio_control_in_1a[2].gpio_defaults[0] ; + wire \gpio_control_in_1a[2].gpio_defaults[10] ; + wire \gpio_control_in_1a[2].gpio_defaults[11] ; + wire \gpio_control_in_1a[2].gpio_defaults[12] ; + wire \gpio_control_in_1a[2].gpio_defaults[1] ; + wire \gpio_control_in_1a[2].gpio_defaults[2] ; + wire \gpio_control_in_1a[2].gpio_defaults[3] ; + wire \gpio_control_in_1a[2].gpio_defaults[4] ; + wire \gpio_control_in_1a[2].gpio_defaults[5] ; + wire \gpio_control_in_1a[2].gpio_defaults[6] ; + wire \gpio_control_in_1a[2].gpio_defaults[7] ; + wire \gpio_control_in_1a[2].gpio_defaults[8] ; + wire \gpio_control_in_1a[2].gpio_defaults[9] ; + wire \gpio_control_in_1a[2].gpio_holdover ; + wire \gpio_control_in_1a[2].gpio_ib_mode_sel ; + wire \gpio_control_in_1a[2].gpio_inenb ; + wire \gpio_control_in_1a[2].gpio_logic1 ; + wire \gpio_control_in_1a[2].gpio_outenb ; + wire \gpio_control_in_1a[2].gpio_slow_sel ; + wire \gpio_control_in_1a[2].gpio_vtrip_sel ; + wire \gpio_control_in_1a[2].mgmt_ena ; + wire \gpio_control_in_1a[2].mgmt_gpio_oeb ; + wire \gpio_control_in_1a[2].pad_gpio_out ; + wire \gpio_control_in_1a[2].pad_gpio_outenb ; + wire \gpio_control_in_1a[2].resetn_out ; + wire \gpio_control_in_1a[2].serial_clock_out ; + wire \gpio_control_in_1a[2].serial_data_out ; + wire \gpio_control_in_1a[2].serial_load_out ; + wire \gpio_control_in_1a[2].shift_register[0] ; + wire \gpio_control_in_1a[2].shift_register[10] ; + wire \gpio_control_in_1a[2].shift_register[11] ; + wire \gpio_control_in_1a[2].shift_register[12] ; + wire \gpio_control_in_1a[2].shift_register[1] ; + wire \gpio_control_in_1a[2].shift_register[2] ; + wire \gpio_control_in_1a[2].shift_register[3] ; + wire \gpio_control_in_1a[2].shift_register[4] ; + wire \gpio_control_in_1a[2].shift_register[5] ; + wire \gpio_control_in_1a[2].shift_register[6] ; + wire \gpio_control_in_1a[2].shift_register[7] ; + wire \gpio_control_in_1a[2].shift_register[8] ; + wire \gpio_control_in_1a[2].shift_register[9] ; + wire \gpio_control_in_1a[2].user_gpio_in ; + wire \gpio_control_in_1a[2].zero ; + wire \gpio_control_in_1a[3].gpio_ana_en ; + wire \gpio_control_in_1a[3].gpio_ana_pol ; + wire \gpio_control_in_1a[3].gpio_ana_sel ; + wire \gpio_control_in_1a[3].gpio_defaults[0] ; + wire \gpio_control_in_1a[3].gpio_defaults[10] ; + wire \gpio_control_in_1a[3].gpio_defaults[11] ; + wire \gpio_control_in_1a[3].gpio_defaults[12] ; + wire \gpio_control_in_1a[3].gpio_defaults[1] ; + wire \gpio_control_in_1a[3].gpio_defaults[2] ; + wire \gpio_control_in_1a[3].gpio_defaults[3] ; + wire \gpio_control_in_1a[3].gpio_defaults[4] ; + wire \gpio_control_in_1a[3].gpio_defaults[5] ; + wire \gpio_control_in_1a[3].gpio_defaults[6] ; + wire \gpio_control_in_1a[3].gpio_defaults[7] ; + wire \gpio_control_in_1a[3].gpio_defaults[8] ; + wire \gpio_control_in_1a[3].gpio_defaults[9] ; + wire \gpio_control_in_1a[3].gpio_holdover ; + wire \gpio_control_in_1a[3].gpio_ib_mode_sel ; + wire \gpio_control_in_1a[3].gpio_inenb ; + wire \gpio_control_in_1a[3].gpio_logic1 ; + wire \gpio_control_in_1a[3].gpio_outenb ; + wire \gpio_control_in_1a[3].gpio_slow_sel ; + wire \gpio_control_in_1a[3].gpio_vtrip_sel ; + wire \gpio_control_in_1a[3].mgmt_ena ; + wire \gpio_control_in_1a[3].mgmt_gpio_oeb ; + wire \gpio_control_in_1a[3].pad_gpio_out ; + wire \gpio_control_in_1a[3].pad_gpio_outenb ; + wire \gpio_control_in_1a[3].resetn_out ; + wire \gpio_control_in_1a[3].serial_clock_out ; + wire \gpio_control_in_1a[3].serial_data_out ; + wire \gpio_control_in_1a[3].serial_load_out ; + wire \gpio_control_in_1a[3].shift_register[0] ; + wire \gpio_control_in_1a[3].shift_register[10] ; + wire \gpio_control_in_1a[3].shift_register[11] ; + wire \gpio_control_in_1a[3].shift_register[12] ; + wire \gpio_control_in_1a[3].shift_register[1] ; + wire \gpio_control_in_1a[3].shift_register[2] ; + wire \gpio_control_in_1a[3].shift_register[3] ; + wire \gpio_control_in_1a[3].shift_register[4] ; + wire \gpio_control_in_1a[3].shift_register[5] ; + wire \gpio_control_in_1a[3].shift_register[6] ; + wire \gpio_control_in_1a[3].shift_register[7] ; + wire \gpio_control_in_1a[3].shift_register[8] ; + wire \gpio_control_in_1a[3].shift_register[9] ; + wire \gpio_control_in_1a[3].user_gpio_in ; + wire \gpio_control_in_1a[3].zero ; + wire \gpio_control_in_1a[4].gpio_ana_en ; + wire \gpio_control_in_1a[4].gpio_ana_pol ; + wire \gpio_control_in_1a[4].gpio_ana_sel ; + wire \gpio_control_in_1a[4].gpio_defaults[0] ; + wire \gpio_control_in_1a[4].gpio_defaults[10] ; + wire \gpio_control_in_1a[4].gpio_defaults[11] ; + wire \gpio_control_in_1a[4].gpio_defaults[12] ; + wire \gpio_control_in_1a[4].gpio_defaults[1] ; + wire \gpio_control_in_1a[4].gpio_defaults[2] ; + wire \gpio_control_in_1a[4].gpio_defaults[3] ; + wire \gpio_control_in_1a[4].gpio_defaults[4] ; + wire \gpio_control_in_1a[4].gpio_defaults[5] ; + wire \gpio_control_in_1a[4].gpio_defaults[6] ; + wire \gpio_control_in_1a[4].gpio_defaults[7] ; + wire \gpio_control_in_1a[4].gpio_defaults[8] ; + wire \gpio_control_in_1a[4].gpio_defaults[9] ; + wire \gpio_control_in_1a[4].gpio_holdover ; + wire \gpio_control_in_1a[4].gpio_ib_mode_sel ; + wire \gpio_control_in_1a[4].gpio_inenb ; + wire \gpio_control_in_1a[4].gpio_logic1 ; + wire \gpio_control_in_1a[4].gpio_outenb ; + wire \gpio_control_in_1a[4].gpio_slow_sel ; + wire \gpio_control_in_1a[4].gpio_vtrip_sel ; + wire \gpio_control_in_1a[4].mgmt_ena ; + wire \gpio_control_in_1a[4].mgmt_gpio_oeb ; + wire \gpio_control_in_1a[4].pad_gpio_out ; + wire \gpio_control_in_1a[4].pad_gpio_outenb ; + wire \gpio_control_in_1a[4].resetn_out ; + wire \gpio_control_in_1a[4].serial_clock_out ; + wire \gpio_control_in_1a[4].serial_data_out ; + wire \gpio_control_in_1a[4].serial_load_out ; + wire \gpio_control_in_1a[4].shift_register[0] ; + wire \gpio_control_in_1a[4].shift_register[10] ; + wire \gpio_control_in_1a[4].shift_register[11] ; + wire \gpio_control_in_1a[4].shift_register[12] ; + wire \gpio_control_in_1a[4].shift_register[1] ; + wire \gpio_control_in_1a[4].shift_register[2] ; + wire \gpio_control_in_1a[4].shift_register[3] ; + wire \gpio_control_in_1a[4].shift_register[4] ; + wire \gpio_control_in_1a[4].shift_register[5] ; + wire \gpio_control_in_1a[4].shift_register[6] ; + wire \gpio_control_in_1a[4].shift_register[7] ; + wire \gpio_control_in_1a[4].shift_register[8] ; + wire \gpio_control_in_1a[4].shift_register[9] ; + wire \gpio_control_in_1a[4].user_gpio_in ; + wire \gpio_control_in_1a[4].zero ; + wire \gpio_control_in_1a[5].gpio_ana_en ; + wire \gpio_control_in_1a[5].gpio_ana_pol ; + wire \gpio_control_in_1a[5].gpio_ana_sel ; + wire \gpio_control_in_1a[5].gpio_defaults[0] ; + wire \gpio_control_in_1a[5].gpio_defaults[10] ; + wire \gpio_control_in_1a[5].gpio_defaults[11] ; + wire \gpio_control_in_1a[5].gpio_defaults[12] ; + wire \gpio_control_in_1a[5].gpio_defaults[1] ; + wire \gpio_control_in_1a[5].gpio_defaults[2] ; + wire \gpio_control_in_1a[5].gpio_defaults[3] ; + wire \gpio_control_in_1a[5].gpio_defaults[4] ; + wire \gpio_control_in_1a[5].gpio_defaults[5] ; + wire \gpio_control_in_1a[5].gpio_defaults[6] ; + wire \gpio_control_in_1a[5].gpio_defaults[7] ; + wire \gpio_control_in_1a[5].gpio_defaults[8] ; + wire \gpio_control_in_1a[5].gpio_defaults[9] ; + wire \gpio_control_in_1a[5].gpio_holdover ; + wire \gpio_control_in_1a[5].gpio_ib_mode_sel ; + wire \gpio_control_in_1a[5].gpio_inenb ; + wire \gpio_control_in_1a[5].gpio_logic1 ; + wire \gpio_control_in_1a[5].gpio_outenb ; + wire \gpio_control_in_1a[5].gpio_slow_sel ; + wire \gpio_control_in_1a[5].gpio_vtrip_sel ; + wire \gpio_control_in_1a[5].mgmt_ena ; + wire \gpio_control_in_1a[5].mgmt_gpio_oeb ; + wire \gpio_control_in_1a[5].pad_gpio_out ; + wire \gpio_control_in_1a[5].pad_gpio_outenb ; + wire \gpio_control_in_1a[5].shift_register[0] ; + wire \gpio_control_in_1a[5].shift_register[10] ; + wire \gpio_control_in_1a[5].shift_register[11] ; + wire \gpio_control_in_1a[5].shift_register[12] ; + wire \gpio_control_in_1a[5].shift_register[1] ; + wire \gpio_control_in_1a[5].shift_register[2] ; + wire \gpio_control_in_1a[5].shift_register[3] ; + wire \gpio_control_in_1a[5].shift_register[4] ; + wire \gpio_control_in_1a[5].shift_register[5] ; + wire \gpio_control_in_1a[5].shift_register[6] ; + wire \gpio_control_in_1a[5].shift_register[7] ; + wire \gpio_control_in_1a[5].shift_register[8] ; + wire \gpio_control_in_1a[5].shift_register[9] ; + wire \gpio_control_in_1a[5].user_gpio_in ; + wire \gpio_control_in_1a[5].zero ; + wire \gpio_control_in_2[0].gpio_ana_en ; + wire \gpio_control_in_2[0].gpio_ana_pol ; + wire \gpio_control_in_2[0].gpio_ana_sel ; + wire \gpio_control_in_2[0].gpio_defaults[0] ; + wire \gpio_control_in_2[0].gpio_defaults[10] ; + wire \gpio_control_in_2[0].gpio_defaults[11] ; + wire \gpio_control_in_2[0].gpio_defaults[12] ; + wire \gpio_control_in_2[0].gpio_defaults[1] ; + wire \gpio_control_in_2[0].gpio_defaults[2] ; + wire \gpio_control_in_2[0].gpio_defaults[3] ; + wire \gpio_control_in_2[0].gpio_defaults[4] ; + wire \gpio_control_in_2[0].gpio_defaults[5] ; + wire \gpio_control_in_2[0].gpio_defaults[6] ; + wire \gpio_control_in_2[0].gpio_defaults[7] ; + wire \gpio_control_in_2[0].gpio_defaults[8] ; + wire \gpio_control_in_2[0].gpio_defaults[9] ; + wire \gpio_control_in_2[0].gpio_holdover ; + wire \gpio_control_in_2[0].gpio_ib_mode_sel ; + wire \gpio_control_in_2[0].gpio_inenb ; + wire \gpio_control_in_2[0].gpio_logic1 ; + wire \gpio_control_in_2[0].gpio_outenb ; + wire \gpio_control_in_2[0].gpio_slow_sel ; + wire \gpio_control_in_2[0].gpio_vtrip_sel ; + wire \gpio_control_in_2[0].mgmt_ena ; + wire \gpio_control_in_2[0].mgmt_gpio_oeb ; + wire \gpio_control_in_2[0].pad_gpio_out ; + wire \gpio_control_in_2[0].pad_gpio_outenb ; + wire \gpio_control_in_2[0].resetn ; + wire \gpio_control_in_2[0].resetn_out ; + wire \gpio_control_in_2[0].serial_clock ; + wire \gpio_control_in_2[0].serial_clock_out ; + wire \gpio_control_in_2[0].serial_data_in ; + wire \gpio_control_in_2[0].serial_load ; + wire \gpio_control_in_2[0].serial_load_out ; + wire \gpio_control_in_2[0].shift_register[0] ; + wire \gpio_control_in_2[0].shift_register[10] ; + wire \gpio_control_in_2[0].shift_register[11] ; + wire \gpio_control_in_2[0].shift_register[12] ; + wire \gpio_control_in_2[0].shift_register[1] ; + wire \gpio_control_in_2[0].shift_register[2] ; + wire \gpio_control_in_2[0].shift_register[3] ; + wire \gpio_control_in_2[0].shift_register[4] ; + wire \gpio_control_in_2[0].shift_register[5] ; + wire \gpio_control_in_2[0].shift_register[6] ; + wire \gpio_control_in_2[0].shift_register[7] ; + wire \gpio_control_in_2[0].shift_register[8] ; + wire \gpio_control_in_2[0].shift_register[9] ; + wire \gpio_control_in_2[0].user_gpio_in ; + wire \gpio_control_in_2[0].zero ; + wire \gpio_control_in_2[10].gpio_ana_en ; + wire \gpio_control_in_2[10].gpio_ana_pol ; + wire \gpio_control_in_2[10].gpio_ana_sel ; + wire \gpio_control_in_2[10].gpio_defaults[0] ; + wire \gpio_control_in_2[10].gpio_defaults[10] ; + wire \gpio_control_in_2[10].gpio_defaults[11] ; + wire \gpio_control_in_2[10].gpio_defaults[12] ; + wire \gpio_control_in_2[10].gpio_defaults[1] ; + wire \gpio_control_in_2[10].gpio_defaults[2] ; + wire \gpio_control_in_2[10].gpio_defaults[3] ; + wire \gpio_control_in_2[10].gpio_defaults[4] ; + wire \gpio_control_in_2[10].gpio_defaults[5] ; + wire \gpio_control_in_2[10].gpio_defaults[6] ; + wire \gpio_control_in_2[10].gpio_defaults[7] ; + wire \gpio_control_in_2[10].gpio_defaults[8] ; + wire \gpio_control_in_2[10].gpio_defaults[9] ; + wire \gpio_control_in_2[10].gpio_holdover ; + wire \gpio_control_in_2[10].gpio_ib_mode_sel ; + wire \gpio_control_in_2[10].gpio_inenb ; + wire \gpio_control_in_2[10].gpio_logic1 ; + wire \gpio_control_in_2[10].gpio_outenb ; + wire \gpio_control_in_2[10].gpio_slow_sel ; + wire \gpio_control_in_2[10].gpio_vtrip_sel ; + wire \gpio_control_in_2[10].mgmt_ena ; + wire \gpio_control_in_2[10].mgmt_gpio_oeb ; + wire \gpio_control_in_2[10].pad_gpio_out ; + wire \gpio_control_in_2[10].pad_gpio_outenb ; + wire \gpio_control_in_2[10].resetn ; + wire \gpio_control_in_2[10].resetn_out ; + wire \gpio_control_in_2[10].serial_clock ; + wire \gpio_control_in_2[10].serial_clock_out ; + wire \gpio_control_in_2[10].serial_data_in ; + wire \gpio_control_in_2[10].serial_data_out ; + wire \gpio_control_in_2[10].serial_load ; + wire \gpio_control_in_2[10].serial_load_out ; + wire \gpio_control_in_2[10].shift_register[0] ; + wire \gpio_control_in_2[10].shift_register[10] ; + wire \gpio_control_in_2[10].shift_register[11] ; + wire \gpio_control_in_2[10].shift_register[12] ; + wire \gpio_control_in_2[10].shift_register[1] ; + wire \gpio_control_in_2[10].shift_register[2] ; + wire \gpio_control_in_2[10].shift_register[3] ; + wire \gpio_control_in_2[10].shift_register[4] ; + wire \gpio_control_in_2[10].shift_register[5] ; + wire \gpio_control_in_2[10].shift_register[6] ; + wire \gpio_control_in_2[10].shift_register[7] ; + wire \gpio_control_in_2[10].shift_register[8] ; + wire \gpio_control_in_2[10].shift_register[9] ; + wire \gpio_control_in_2[10].user_gpio_in ; + wire \gpio_control_in_2[10].zero ; + wire \gpio_control_in_2[11].gpio_ana_en ; + wire \gpio_control_in_2[11].gpio_ana_pol ; + wire \gpio_control_in_2[11].gpio_ana_sel ; + wire \gpio_control_in_2[11].gpio_defaults[0] ; + wire \gpio_control_in_2[11].gpio_defaults[10] ; + wire \gpio_control_in_2[11].gpio_defaults[11] ; + wire \gpio_control_in_2[11].gpio_defaults[12] ; + wire \gpio_control_in_2[11].gpio_defaults[1] ; + wire \gpio_control_in_2[11].gpio_defaults[2] ; + wire \gpio_control_in_2[11].gpio_defaults[3] ; + wire \gpio_control_in_2[11].gpio_defaults[4] ; + wire \gpio_control_in_2[11].gpio_defaults[5] ; + wire \gpio_control_in_2[11].gpio_defaults[6] ; + wire \gpio_control_in_2[11].gpio_defaults[7] ; + wire \gpio_control_in_2[11].gpio_defaults[8] ; + wire \gpio_control_in_2[11].gpio_defaults[9] ; + wire \gpio_control_in_2[11].gpio_holdover ; + wire \gpio_control_in_2[11].gpio_ib_mode_sel ; + wire \gpio_control_in_2[11].gpio_inenb ; + wire \gpio_control_in_2[11].gpio_logic1 ; + wire \gpio_control_in_2[11].gpio_outenb ; + wire \gpio_control_in_2[11].gpio_slow_sel ; + wire \gpio_control_in_2[11].gpio_vtrip_sel ; + wire \gpio_control_in_2[11].mgmt_ena ; + wire \gpio_control_in_2[11].mgmt_gpio_oeb ; + wire \gpio_control_in_2[11].pad_gpio_out ; + wire \gpio_control_in_2[11].pad_gpio_outenb ; + wire \gpio_control_in_2[11].resetn ; + wire \gpio_control_in_2[11].serial_clock ; + wire \gpio_control_in_2[11].serial_data_in ; + wire \gpio_control_in_2[11].serial_load ; + wire \gpio_control_in_2[11].shift_register[0] ; + wire \gpio_control_in_2[11].shift_register[10] ; + wire \gpio_control_in_2[11].shift_register[11] ; + wire \gpio_control_in_2[11].shift_register[12] ; + wire \gpio_control_in_2[11].shift_register[1] ; + wire \gpio_control_in_2[11].shift_register[2] ; + wire \gpio_control_in_2[11].shift_register[3] ; + wire \gpio_control_in_2[11].shift_register[4] ; + wire \gpio_control_in_2[11].shift_register[5] ; + wire \gpio_control_in_2[11].shift_register[6] ; + wire \gpio_control_in_2[11].shift_register[7] ; + wire \gpio_control_in_2[11].shift_register[8] ; + wire \gpio_control_in_2[11].shift_register[9] ; + wire \gpio_control_in_2[11].user_gpio_in ; + wire \gpio_control_in_2[11].zero ; + wire \gpio_control_in_2[12].gpio_ana_en ; + wire \gpio_control_in_2[12].gpio_ana_pol ; + wire \gpio_control_in_2[12].gpio_ana_sel ; + wire \gpio_control_in_2[12].gpio_defaults[0] ; + wire \gpio_control_in_2[12].gpio_defaults[10] ; + wire \gpio_control_in_2[12].gpio_defaults[11] ; + wire \gpio_control_in_2[12].gpio_defaults[12] ; + wire \gpio_control_in_2[12].gpio_defaults[1] ; + wire \gpio_control_in_2[12].gpio_defaults[2] ; + wire \gpio_control_in_2[12].gpio_defaults[3] ; + wire \gpio_control_in_2[12].gpio_defaults[4] ; + wire \gpio_control_in_2[12].gpio_defaults[5] ; + wire \gpio_control_in_2[12].gpio_defaults[6] ; + wire \gpio_control_in_2[12].gpio_defaults[7] ; + wire \gpio_control_in_2[12].gpio_defaults[8] ; + wire \gpio_control_in_2[12].gpio_defaults[9] ; + wire \gpio_control_in_2[12].gpio_holdover ; + wire \gpio_control_in_2[12].gpio_ib_mode_sel ; + wire \gpio_control_in_2[12].gpio_inenb ; + wire \gpio_control_in_2[12].gpio_logic1 ; + wire \gpio_control_in_2[12].gpio_outenb ; + wire \gpio_control_in_2[12].gpio_slow_sel ; + wire \gpio_control_in_2[12].gpio_vtrip_sel ; + wire \gpio_control_in_2[12].mgmt_ena ; + wire \gpio_control_in_2[12].mgmt_gpio_oeb ; + wire \gpio_control_in_2[12].pad_gpio_out ; + wire \gpio_control_in_2[12].pad_gpio_outenb ; + wire \gpio_control_in_2[12].resetn ; + wire \gpio_control_in_2[12].serial_clock ; + wire \gpio_control_in_2[12].serial_data_in ; + wire \gpio_control_in_2[12].serial_load ; + wire \gpio_control_in_2[12].shift_register[0] ; + wire \gpio_control_in_2[12].shift_register[10] ; + wire \gpio_control_in_2[12].shift_register[11] ; + wire \gpio_control_in_2[12].shift_register[12] ; + wire \gpio_control_in_2[12].shift_register[1] ; + wire \gpio_control_in_2[12].shift_register[2] ; + wire \gpio_control_in_2[12].shift_register[3] ; + wire \gpio_control_in_2[12].shift_register[4] ; + wire \gpio_control_in_2[12].shift_register[5] ; + wire \gpio_control_in_2[12].shift_register[6] ; + wire \gpio_control_in_2[12].shift_register[7] ; + wire \gpio_control_in_2[12].shift_register[8] ; + wire \gpio_control_in_2[12].shift_register[9] ; + wire \gpio_control_in_2[12].user_gpio_in ; + wire \gpio_control_in_2[12].zero ; + wire \gpio_control_in_2[13].gpio_ana_en ; + wire \gpio_control_in_2[13].gpio_ana_pol ; + wire \gpio_control_in_2[13].gpio_ana_sel ; + wire \gpio_control_in_2[13].gpio_defaults[0] ; + wire \gpio_control_in_2[13].gpio_defaults[10] ; + wire \gpio_control_in_2[13].gpio_defaults[11] ; + wire \gpio_control_in_2[13].gpio_defaults[12] ; + wire \gpio_control_in_2[13].gpio_defaults[1] ; + wire \gpio_control_in_2[13].gpio_defaults[2] ; + wire \gpio_control_in_2[13].gpio_defaults[3] ; + wire \gpio_control_in_2[13].gpio_defaults[4] ; + wire \gpio_control_in_2[13].gpio_defaults[5] ; + wire \gpio_control_in_2[13].gpio_defaults[6] ; + wire \gpio_control_in_2[13].gpio_defaults[7] ; + wire \gpio_control_in_2[13].gpio_defaults[8] ; + wire \gpio_control_in_2[13].gpio_defaults[9] ; + wire \gpio_control_in_2[13].gpio_holdover ; + wire \gpio_control_in_2[13].gpio_ib_mode_sel ; + wire \gpio_control_in_2[13].gpio_inenb ; + wire \gpio_control_in_2[13].gpio_logic1 ; + wire \gpio_control_in_2[13].gpio_outenb ; + wire \gpio_control_in_2[13].gpio_slow_sel ; + wire \gpio_control_in_2[13].gpio_vtrip_sel ; + wire \gpio_control_in_2[13].mgmt_ena ; + wire \gpio_control_in_2[13].mgmt_gpio_oeb ; + wire \gpio_control_in_2[13].pad_gpio_out ; + wire \gpio_control_in_2[13].pad_gpio_outenb ; + wire \gpio_control_in_2[13].resetn ; + wire \gpio_control_in_2[13].serial_clock ; + wire \gpio_control_in_2[13].serial_data_in ; + wire \gpio_control_in_2[13].serial_load ; + wire \gpio_control_in_2[13].shift_register[0] ; + wire \gpio_control_in_2[13].shift_register[10] ; + wire \gpio_control_in_2[13].shift_register[11] ; + wire \gpio_control_in_2[13].shift_register[12] ; + wire \gpio_control_in_2[13].shift_register[1] ; + wire \gpio_control_in_2[13].shift_register[2] ; + wire \gpio_control_in_2[13].shift_register[3] ; + wire \gpio_control_in_2[13].shift_register[4] ; + wire \gpio_control_in_2[13].shift_register[5] ; + wire \gpio_control_in_2[13].shift_register[6] ; + wire \gpio_control_in_2[13].shift_register[7] ; + wire \gpio_control_in_2[13].shift_register[8] ; + wire \gpio_control_in_2[13].shift_register[9] ; + wire \gpio_control_in_2[13].user_gpio_in ; + wire \gpio_control_in_2[13].zero ; + wire \gpio_control_in_2[14].gpio_ana_en ; + wire \gpio_control_in_2[14].gpio_ana_pol ; + wire \gpio_control_in_2[14].gpio_ana_sel ; + wire \gpio_control_in_2[14].gpio_defaults[0] ; + wire \gpio_control_in_2[14].gpio_defaults[10] ; + wire \gpio_control_in_2[14].gpio_defaults[11] ; + wire \gpio_control_in_2[14].gpio_defaults[12] ; + wire \gpio_control_in_2[14].gpio_defaults[1] ; + wire \gpio_control_in_2[14].gpio_defaults[2] ; + wire \gpio_control_in_2[14].gpio_defaults[3] ; + wire \gpio_control_in_2[14].gpio_defaults[4] ; + wire \gpio_control_in_2[14].gpio_defaults[5] ; + wire \gpio_control_in_2[14].gpio_defaults[6] ; + wire \gpio_control_in_2[14].gpio_defaults[7] ; + wire \gpio_control_in_2[14].gpio_defaults[8] ; + wire \gpio_control_in_2[14].gpio_defaults[9] ; + wire \gpio_control_in_2[14].gpio_holdover ; + wire \gpio_control_in_2[14].gpio_ib_mode_sel ; + wire \gpio_control_in_2[14].gpio_inenb ; + wire \gpio_control_in_2[14].gpio_logic1 ; + wire \gpio_control_in_2[14].gpio_outenb ; + wire \gpio_control_in_2[14].gpio_slow_sel ; + wire \gpio_control_in_2[14].gpio_vtrip_sel ; + wire \gpio_control_in_2[14].mgmt_ena ; + wire \gpio_control_in_2[14].mgmt_gpio_oeb ; + wire \gpio_control_in_2[14].pad_gpio_out ; + wire \gpio_control_in_2[14].pad_gpio_outenb ; + wire \gpio_control_in_2[14].resetn ; + wire \gpio_control_in_2[14].serial_clock ; + wire \gpio_control_in_2[14].serial_data_in ; + wire \gpio_control_in_2[14].serial_load ; + wire \gpio_control_in_2[14].shift_register[0] ; + wire \gpio_control_in_2[14].shift_register[10] ; + wire \gpio_control_in_2[14].shift_register[11] ; + wire \gpio_control_in_2[14].shift_register[12] ; + wire \gpio_control_in_2[14].shift_register[1] ; + wire \gpio_control_in_2[14].shift_register[2] ; + wire \gpio_control_in_2[14].shift_register[3] ; + wire \gpio_control_in_2[14].shift_register[4] ; + wire \gpio_control_in_2[14].shift_register[5] ; + wire \gpio_control_in_2[14].shift_register[6] ; + wire \gpio_control_in_2[14].shift_register[7] ; + wire \gpio_control_in_2[14].shift_register[8] ; + wire \gpio_control_in_2[14].shift_register[9] ; + wire \gpio_control_in_2[14].user_gpio_in ; + wire \gpio_control_in_2[14].zero ; + wire \gpio_control_in_2[15].gpio_ana_en ; + wire \gpio_control_in_2[15].gpio_ana_pol ; + wire \gpio_control_in_2[15].gpio_ana_sel ; + wire \gpio_control_in_2[15].gpio_defaults[0] ; + wire \gpio_control_in_2[15].gpio_defaults[10] ; + wire \gpio_control_in_2[15].gpio_defaults[11] ; + wire \gpio_control_in_2[15].gpio_defaults[12] ; + wire \gpio_control_in_2[15].gpio_defaults[1] ; + wire \gpio_control_in_2[15].gpio_defaults[2] ; + wire \gpio_control_in_2[15].gpio_defaults[3] ; + wire \gpio_control_in_2[15].gpio_defaults[4] ; + wire \gpio_control_in_2[15].gpio_defaults[5] ; + wire \gpio_control_in_2[15].gpio_defaults[6] ; + wire \gpio_control_in_2[15].gpio_defaults[7] ; + wire \gpio_control_in_2[15].gpio_defaults[8] ; + wire \gpio_control_in_2[15].gpio_defaults[9] ; + wire \gpio_control_in_2[15].gpio_holdover ; + wire \gpio_control_in_2[15].gpio_ib_mode_sel ; + wire \gpio_control_in_2[15].gpio_inenb ; + wire \gpio_control_in_2[15].gpio_logic1 ; + wire \gpio_control_in_2[15].gpio_outenb ; + wire \gpio_control_in_2[15].gpio_slow_sel ; + wire \gpio_control_in_2[15].gpio_vtrip_sel ; + wire \gpio_control_in_2[15].mgmt_ena ; + wire \gpio_control_in_2[15].mgmt_gpio_oeb ; + wire \gpio_control_in_2[15].pad_gpio_out ; + wire \gpio_control_in_2[15].pad_gpio_outenb ; + wire \gpio_control_in_2[15].shift_register[0] ; + wire \gpio_control_in_2[15].shift_register[10] ; + wire \gpio_control_in_2[15].shift_register[11] ; + wire \gpio_control_in_2[15].shift_register[12] ; + wire \gpio_control_in_2[15].shift_register[1] ; + wire \gpio_control_in_2[15].shift_register[2] ; + wire \gpio_control_in_2[15].shift_register[3] ; + wire \gpio_control_in_2[15].shift_register[4] ; + wire \gpio_control_in_2[15].shift_register[5] ; + wire \gpio_control_in_2[15].shift_register[6] ; + wire \gpio_control_in_2[15].shift_register[7] ; + wire \gpio_control_in_2[15].shift_register[8] ; + wire \gpio_control_in_2[15].shift_register[9] ; + wire \gpio_control_in_2[15].user_gpio_in ; + wire \gpio_control_in_2[15].zero ; + wire \gpio_control_in_2[1].gpio_ana_en ; + wire \gpio_control_in_2[1].gpio_ana_pol ; + wire \gpio_control_in_2[1].gpio_ana_sel ; + wire \gpio_control_in_2[1].gpio_defaults[0] ; + wire \gpio_control_in_2[1].gpio_defaults[10] ; + wire \gpio_control_in_2[1].gpio_defaults[11] ; + wire \gpio_control_in_2[1].gpio_defaults[12] ; + wire \gpio_control_in_2[1].gpio_defaults[1] ; + wire \gpio_control_in_2[1].gpio_defaults[2] ; + wire \gpio_control_in_2[1].gpio_defaults[3] ; + wire \gpio_control_in_2[1].gpio_defaults[4] ; + wire \gpio_control_in_2[1].gpio_defaults[5] ; + wire \gpio_control_in_2[1].gpio_defaults[6] ; + wire \gpio_control_in_2[1].gpio_defaults[7] ; + wire \gpio_control_in_2[1].gpio_defaults[8] ; + wire \gpio_control_in_2[1].gpio_defaults[9] ; + wire \gpio_control_in_2[1].gpio_holdover ; + wire \gpio_control_in_2[1].gpio_ib_mode_sel ; + wire \gpio_control_in_2[1].gpio_inenb ; + wire \gpio_control_in_2[1].gpio_logic1 ; + wire \gpio_control_in_2[1].gpio_outenb ; + wire \gpio_control_in_2[1].gpio_slow_sel ; + wire \gpio_control_in_2[1].gpio_vtrip_sel ; + wire \gpio_control_in_2[1].mgmt_ena ; + wire \gpio_control_in_2[1].mgmt_gpio_oeb ; + wire \gpio_control_in_2[1].pad_gpio_out ; + wire \gpio_control_in_2[1].pad_gpio_outenb ; + wire \gpio_control_in_2[1].resetn ; + wire \gpio_control_in_2[1].serial_clock ; + wire \gpio_control_in_2[1].serial_data_in ; + wire \gpio_control_in_2[1].serial_load ; + wire \gpio_control_in_2[1].shift_register[0] ; + wire \gpio_control_in_2[1].shift_register[10] ; + wire \gpio_control_in_2[1].shift_register[11] ; + wire \gpio_control_in_2[1].shift_register[12] ; + wire \gpio_control_in_2[1].shift_register[1] ; + wire \gpio_control_in_2[1].shift_register[2] ; + wire \gpio_control_in_2[1].shift_register[3] ; + wire \gpio_control_in_2[1].shift_register[4] ; + wire \gpio_control_in_2[1].shift_register[5] ; + wire \gpio_control_in_2[1].shift_register[6] ; + wire \gpio_control_in_2[1].shift_register[7] ; + wire \gpio_control_in_2[1].shift_register[8] ; + wire \gpio_control_in_2[1].shift_register[9] ; + wire \gpio_control_in_2[1].user_gpio_in ; + wire \gpio_control_in_2[1].zero ; + wire \gpio_control_in_2[2].gpio_ana_en ; + wire \gpio_control_in_2[2].gpio_ana_pol ; + wire \gpio_control_in_2[2].gpio_ana_sel ; + wire \gpio_control_in_2[2].gpio_defaults[0] ; + wire \gpio_control_in_2[2].gpio_defaults[10] ; + wire \gpio_control_in_2[2].gpio_defaults[11] ; + wire \gpio_control_in_2[2].gpio_defaults[12] ; + wire \gpio_control_in_2[2].gpio_defaults[1] ; + wire \gpio_control_in_2[2].gpio_defaults[2] ; + wire \gpio_control_in_2[2].gpio_defaults[3] ; + wire \gpio_control_in_2[2].gpio_defaults[4] ; + wire \gpio_control_in_2[2].gpio_defaults[5] ; + wire \gpio_control_in_2[2].gpio_defaults[6] ; + wire \gpio_control_in_2[2].gpio_defaults[7] ; + wire \gpio_control_in_2[2].gpio_defaults[8] ; + wire \gpio_control_in_2[2].gpio_defaults[9] ; + wire \gpio_control_in_2[2].gpio_holdover ; + wire \gpio_control_in_2[2].gpio_ib_mode_sel ; + wire \gpio_control_in_2[2].gpio_inenb ; + wire \gpio_control_in_2[2].gpio_logic1 ; + wire \gpio_control_in_2[2].gpio_outenb ; + wire \gpio_control_in_2[2].gpio_slow_sel ; + wire \gpio_control_in_2[2].gpio_vtrip_sel ; + wire \gpio_control_in_2[2].mgmt_ena ; + wire \gpio_control_in_2[2].mgmt_gpio_oeb ; + wire \gpio_control_in_2[2].pad_gpio_out ; + wire \gpio_control_in_2[2].pad_gpio_outenb ; + wire \gpio_control_in_2[2].resetn ; + wire \gpio_control_in_2[2].serial_clock ; + wire \gpio_control_in_2[2].serial_data_in ; + wire \gpio_control_in_2[2].serial_load ; + wire \gpio_control_in_2[2].shift_register[0] ; + wire \gpio_control_in_2[2].shift_register[10] ; + wire \gpio_control_in_2[2].shift_register[11] ; + wire \gpio_control_in_2[2].shift_register[12] ; + wire \gpio_control_in_2[2].shift_register[1] ; + wire \gpio_control_in_2[2].shift_register[2] ; + wire \gpio_control_in_2[2].shift_register[3] ; + wire \gpio_control_in_2[2].shift_register[4] ; + wire \gpio_control_in_2[2].shift_register[5] ; + wire \gpio_control_in_2[2].shift_register[6] ; + wire \gpio_control_in_2[2].shift_register[7] ; + wire \gpio_control_in_2[2].shift_register[8] ; + wire \gpio_control_in_2[2].shift_register[9] ; + wire \gpio_control_in_2[2].user_gpio_in ; + wire \gpio_control_in_2[2].zero ; + wire \gpio_control_in_2[3].gpio_ana_en ; + wire \gpio_control_in_2[3].gpio_ana_pol ; + wire \gpio_control_in_2[3].gpio_ana_sel ; + wire \gpio_control_in_2[3].gpio_defaults[0] ; + wire \gpio_control_in_2[3].gpio_defaults[10] ; + wire \gpio_control_in_2[3].gpio_defaults[11] ; + wire \gpio_control_in_2[3].gpio_defaults[12] ; + wire \gpio_control_in_2[3].gpio_defaults[1] ; + wire \gpio_control_in_2[3].gpio_defaults[2] ; + wire \gpio_control_in_2[3].gpio_defaults[3] ; + wire \gpio_control_in_2[3].gpio_defaults[4] ; + wire \gpio_control_in_2[3].gpio_defaults[5] ; + wire \gpio_control_in_2[3].gpio_defaults[6] ; + wire \gpio_control_in_2[3].gpio_defaults[7] ; + wire \gpio_control_in_2[3].gpio_defaults[8] ; + wire \gpio_control_in_2[3].gpio_defaults[9] ; + wire \gpio_control_in_2[3].gpio_holdover ; + wire \gpio_control_in_2[3].gpio_ib_mode_sel ; + wire \gpio_control_in_2[3].gpio_inenb ; + wire \gpio_control_in_2[3].gpio_logic1 ; + wire \gpio_control_in_2[3].gpio_outenb ; + wire \gpio_control_in_2[3].gpio_slow_sel ; + wire \gpio_control_in_2[3].gpio_vtrip_sel ; + wire \gpio_control_in_2[3].mgmt_ena ; + wire \gpio_control_in_2[3].mgmt_gpio_oeb ; + wire \gpio_control_in_2[3].pad_gpio_out ; + wire \gpio_control_in_2[3].pad_gpio_outenb ; + wire \gpio_control_in_2[3].resetn ; + wire \gpio_control_in_2[3].serial_clock ; + wire \gpio_control_in_2[3].serial_data_in ; + wire \gpio_control_in_2[3].serial_load ; + wire \gpio_control_in_2[3].shift_register[0] ; + wire \gpio_control_in_2[3].shift_register[10] ; + wire \gpio_control_in_2[3].shift_register[11] ; + wire \gpio_control_in_2[3].shift_register[12] ; + wire \gpio_control_in_2[3].shift_register[1] ; + wire \gpio_control_in_2[3].shift_register[2] ; + wire \gpio_control_in_2[3].shift_register[3] ; + wire \gpio_control_in_2[3].shift_register[4] ; + wire \gpio_control_in_2[3].shift_register[5] ; + wire \gpio_control_in_2[3].shift_register[6] ; + wire \gpio_control_in_2[3].shift_register[7] ; + wire \gpio_control_in_2[3].shift_register[8] ; + wire \gpio_control_in_2[3].shift_register[9] ; + wire \gpio_control_in_2[3].user_gpio_in ; + wire \gpio_control_in_2[3].zero ; + wire \gpio_control_in_2[4].gpio_ana_en ; + wire \gpio_control_in_2[4].gpio_ana_pol ; + wire \gpio_control_in_2[4].gpio_ana_sel ; + wire \gpio_control_in_2[4].gpio_defaults[0] ; + wire \gpio_control_in_2[4].gpio_defaults[10] ; + wire \gpio_control_in_2[4].gpio_defaults[11] ; + wire \gpio_control_in_2[4].gpio_defaults[12] ; + wire \gpio_control_in_2[4].gpio_defaults[1] ; + wire \gpio_control_in_2[4].gpio_defaults[2] ; + wire \gpio_control_in_2[4].gpio_defaults[3] ; + wire \gpio_control_in_2[4].gpio_defaults[4] ; + wire \gpio_control_in_2[4].gpio_defaults[5] ; + wire \gpio_control_in_2[4].gpio_defaults[6] ; + wire \gpio_control_in_2[4].gpio_defaults[7] ; + wire \gpio_control_in_2[4].gpio_defaults[8] ; + wire \gpio_control_in_2[4].gpio_defaults[9] ; + wire \gpio_control_in_2[4].gpio_holdover ; + wire \gpio_control_in_2[4].gpio_ib_mode_sel ; + wire \gpio_control_in_2[4].gpio_inenb ; + wire \gpio_control_in_2[4].gpio_logic1 ; + wire \gpio_control_in_2[4].gpio_outenb ; + wire \gpio_control_in_2[4].gpio_slow_sel ; + wire \gpio_control_in_2[4].gpio_vtrip_sel ; + wire \gpio_control_in_2[4].mgmt_ena ; + wire \gpio_control_in_2[4].mgmt_gpio_oeb ; + wire \gpio_control_in_2[4].pad_gpio_out ; + wire \gpio_control_in_2[4].pad_gpio_outenb ; + wire \gpio_control_in_2[4].resetn ; + wire \gpio_control_in_2[4].serial_clock ; + wire \gpio_control_in_2[4].serial_data_in ; + wire \gpio_control_in_2[4].serial_load ; + wire \gpio_control_in_2[4].shift_register[0] ; + wire \gpio_control_in_2[4].shift_register[10] ; + wire \gpio_control_in_2[4].shift_register[11] ; + wire \gpio_control_in_2[4].shift_register[12] ; + wire \gpio_control_in_2[4].shift_register[1] ; + wire \gpio_control_in_2[4].shift_register[2] ; + wire \gpio_control_in_2[4].shift_register[3] ; + wire \gpio_control_in_2[4].shift_register[4] ; + wire \gpio_control_in_2[4].shift_register[5] ; + wire \gpio_control_in_2[4].shift_register[6] ; + wire \gpio_control_in_2[4].shift_register[7] ; + wire \gpio_control_in_2[4].shift_register[8] ; + wire \gpio_control_in_2[4].shift_register[9] ; + wire \gpio_control_in_2[4].user_gpio_in ; + wire \gpio_control_in_2[4].zero ; + wire \gpio_control_in_2[5].gpio_ana_en ; + wire \gpio_control_in_2[5].gpio_ana_pol ; + wire \gpio_control_in_2[5].gpio_ana_sel ; + wire \gpio_control_in_2[5].gpio_defaults[0] ; + wire \gpio_control_in_2[5].gpio_defaults[10] ; + wire \gpio_control_in_2[5].gpio_defaults[11] ; + wire \gpio_control_in_2[5].gpio_defaults[12] ; + wire \gpio_control_in_2[5].gpio_defaults[1] ; + wire \gpio_control_in_2[5].gpio_defaults[2] ; + wire \gpio_control_in_2[5].gpio_defaults[3] ; + wire \gpio_control_in_2[5].gpio_defaults[4] ; + wire \gpio_control_in_2[5].gpio_defaults[5] ; + wire \gpio_control_in_2[5].gpio_defaults[6] ; + wire \gpio_control_in_2[5].gpio_defaults[7] ; + wire \gpio_control_in_2[5].gpio_defaults[8] ; + wire \gpio_control_in_2[5].gpio_defaults[9] ; + wire \gpio_control_in_2[5].gpio_holdover ; + wire \gpio_control_in_2[5].gpio_ib_mode_sel ; + wire \gpio_control_in_2[5].gpio_inenb ; + wire \gpio_control_in_2[5].gpio_logic1 ; + wire \gpio_control_in_2[5].gpio_outenb ; + wire \gpio_control_in_2[5].gpio_slow_sel ; + wire \gpio_control_in_2[5].gpio_vtrip_sel ; + wire \gpio_control_in_2[5].mgmt_ena ; + wire \gpio_control_in_2[5].mgmt_gpio_oeb ; + wire \gpio_control_in_2[5].pad_gpio_out ; + wire \gpio_control_in_2[5].pad_gpio_outenb ; + wire \gpio_control_in_2[5].resetn ; + wire \gpio_control_in_2[5].serial_clock ; + wire \gpio_control_in_2[5].serial_data_in ; + wire \gpio_control_in_2[5].serial_load ; + wire \gpio_control_in_2[5].shift_register[0] ; + wire \gpio_control_in_2[5].shift_register[10] ; + wire \gpio_control_in_2[5].shift_register[11] ; + wire \gpio_control_in_2[5].shift_register[12] ; + wire \gpio_control_in_2[5].shift_register[1] ; + wire \gpio_control_in_2[5].shift_register[2] ; + wire \gpio_control_in_2[5].shift_register[3] ; + wire \gpio_control_in_2[5].shift_register[4] ; + wire \gpio_control_in_2[5].shift_register[5] ; + wire \gpio_control_in_2[5].shift_register[6] ; + wire \gpio_control_in_2[5].shift_register[7] ; + wire \gpio_control_in_2[5].shift_register[8] ; + wire \gpio_control_in_2[5].shift_register[9] ; + wire \gpio_control_in_2[5].user_gpio_in ; + wire \gpio_control_in_2[5].zero ; + wire \gpio_control_in_2[6].gpio_ana_en ; + wire \gpio_control_in_2[6].gpio_ana_pol ; + wire \gpio_control_in_2[6].gpio_ana_sel ; + wire \gpio_control_in_2[6].gpio_defaults[0] ; + wire \gpio_control_in_2[6].gpio_defaults[10] ; + wire \gpio_control_in_2[6].gpio_defaults[11] ; + wire \gpio_control_in_2[6].gpio_defaults[12] ; + wire \gpio_control_in_2[6].gpio_defaults[1] ; + wire \gpio_control_in_2[6].gpio_defaults[2] ; + wire \gpio_control_in_2[6].gpio_defaults[3] ; + wire \gpio_control_in_2[6].gpio_defaults[4] ; + wire \gpio_control_in_2[6].gpio_defaults[5] ; + wire \gpio_control_in_2[6].gpio_defaults[6] ; + wire \gpio_control_in_2[6].gpio_defaults[7] ; + wire \gpio_control_in_2[6].gpio_defaults[8] ; + wire \gpio_control_in_2[6].gpio_defaults[9] ; + wire \gpio_control_in_2[6].gpio_holdover ; + wire \gpio_control_in_2[6].gpio_ib_mode_sel ; + wire \gpio_control_in_2[6].gpio_inenb ; + wire \gpio_control_in_2[6].gpio_logic1 ; + wire \gpio_control_in_2[6].gpio_outenb ; + wire \gpio_control_in_2[6].gpio_slow_sel ; + wire \gpio_control_in_2[6].gpio_vtrip_sel ; + wire \gpio_control_in_2[6].mgmt_ena ; + wire \gpio_control_in_2[6].mgmt_gpio_oeb ; + wire \gpio_control_in_2[6].pad_gpio_out ; + wire \gpio_control_in_2[6].pad_gpio_outenb ; + wire \gpio_control_in_2[6].resetn ; + wire \gpio_control_in_2[6].serial_clock ; + wire \gpio_control_in_2[6].serial_data_in ; + wire \gpio_control_in_2[6].serial_load ; + wire \gpio_control_in_2[6].shift_register[0] ; + wire \gpio_control_in_2[6].shift_register[10] ; + wire \gpio_control_in_2[6].shift_register[11] ; + wire \gpio_control_in_2[6].shift_register[12] ; + wire \gpio_control_in_2[6].shift_register[1] ; + wire \gpio_control_in_2[6].shift_register[2] ; + wire \gpio_control_in_2[6].shift_register[3] ; + wire \gpio_control_in_2[6].shift_register[4] ; + wire \gpio_control_in_2[6].shift_register[5] ; + wire \gpio_control_in_2[6].shift_register[6] ; + wire \gpio_control_in_2[6].shift_register[7] ; + wire \gpio_control_in_2[6].shift_register[8] ; + wire \gpio_control_in_2[6].shift_register[9] ; + wire \gpio_control_in_2[6].user_gpio_in ; + wire \gpio_control_in_2[6].zero ; + wire \gpio_control_in_2[7].gpio_ana_en ; + wire \gpio_control_in_2[7].gpio_ana_pol ; + wire \gpio_control_in_2[7].gpio_ana_sel ; + wire \gpio_control_in_2[7].gpio_defaults[0] ; + wire \gpio_control_in_2[7].gpio_defaults[10] ; + wire \gpio_control_in_2[7].gpio_defaults[11] ; + wire \gpio_control_in_2[7].gpio_defaults[12] ; + wire \gpio_control_in_2[7].gpio_defaults[1] ; + wire \gpio_control_in_2[7].gpio_defaults[2] ; + wire \gpio_control_in_2[7].gpio_defaults[3] ; + wire \gpio_control_in_2[7].gpio_defaults[4] ; + wire \gpio_control_in_2[7].gpio_defaults[5] ; + wire \gpio_control_in_2[7].gpio_defaults[6] ; + wire \gpio_control_in_2[7].gpio_defaults[7] ; + wire \gpio_control_in_2[7].gpio_defaults[8] ; + wire \gpio_control_in_2[7].gpio_defaults[9] ; + wire \gpio_control_in_2[7].gpio_holdover ; + wire \gpio_control_in_2[7].gpio_ib_mode_sel ; + wire \gpio_control_in_2[7].gpio_inenb ; + wire \gpio_control_in_2[7].gpio_logic1 ; + wire \gpio_control_in_2[7].gpio_outenb ; + wire \gpio_control_in_2[7].gpio_slow_sel ; + wire \gpio_control_in_2[7].gpio_vtrip_sel ; + wire \gpio_control_in_2[7].mgmt_ena ; + wire \gpio_control_in_2[7].mgmt_gpio_oeb ; + wire \gpio_control_in_2[7].pad_gpio_out ; + wire \gpio_control_in_2[7].pad_gpio_outenb ; + wire \gpio_control_in_2[7].resetn ; + wire \gpio_control_in_2[7].serial_clock ; + wire \gpio_control_in_2[7].serial_data_in ; + wire \gpio_control_in_2[7].serial_load ; + wire \gpio_control_in_2[7].shift_register[0] ; + wire \gpio_control_in_2[7].shift_register[10] ; + wire \gpio_control_in_2[7].shift_register[11] ; + wire \gpio_control_in_2[7].shift_register[12] ; + wire \gpio_control_in_2[7].shift_register[1] ; + wire \gpio_control_in_2[7].shift_register[2] ; + wire \gpio_control_in_2[7].shift_register[3] ; + wire \gpio_control_in_2[7].shift_register[4] ; + wire \gpio_control_in_2[7].shift_register[5] ; + wire \gpio_control_in_2[7].shift_register[6] ; + wire \gpio_control_in_2[7].shift_register[7] ; + wire \gpio_control_in_2[7].shift_register[8] ; + wire \gpio_control_in_2[7].shift_register[9] ; + wire \gpio_control_in_2[7].user_gpio_in ; + wire \gpio_control_in_2[7].zero ; + wire \gpio_control_in_2[8].gpio_ana_en ; + wire \gpio_control_in_2[8].gpio_ana_pol ; + wire \gpio_control_in_2[8].gpio_ana_sel ; + wire \gpio_control_in_2[8].gpio_defaults[0] ; + wire \gpio_control_in_2[8].gpio_defaults[10] ; + wire \gpio_control_in_2[8].gpio_defaults[11] ; + wire \gpio_control_in_2[8].gpio_defaults[12] ; + wire \gpio_control_in_2[8].gpio_defaults[1] ; + wire \gpio_control_in_2[8].gpio_defaults[2] ; + wire \gpio_control_in_2[8].gpio_defaults[3] ; + wire \gpio_control_in_2[8].gpio_defaults[4] ; + wire \gpio_control_in_2[8].gpio_defaults[5] ; + wire \gpio_control_in_2[8].gpio_defaults[6] ; + wire \gpio_control_in_2[8].gpio_defaults[7] ; + wire \gpio_control_in_2[8].gpio_defaults[8] ; + wire \gpio_control_in_2[8].gpio_defaults[9] ; + wire \gpio_control_in_2[8].gpio_holdover ; + wire \gpio_control_in_2[8].gpio_ib_mode_sel ; + wire \gpio_control_in_2[8].gpio_inenb ; + wire \gpio_control_in_2[8].gpio_logic1 ; + wire \gpio_control_in_2[8].gpio_outenb ; + wire \gpio_control_in_2[8].gpio_slow_sel ; + wire \gpio_control_in_2[8].gpio_vtrip_sel ; + wire \gpio_control_in_2[8].mgmt_ena ; + wire \gpio_control_in_2[8].mgmt_gpio_oeb ; + wire \gpio_control_in_2[8].pad_gpio_out ; + wire \gpio_control_in_2[8].pad_gpio_outenb ; + wire \gpio_control_in_2[8].resetn ; + wire \gpio_control_in_2[8].serial_clock ; + wire \gpio_control_in_2[8].serial_data_in ; + wire \gpio_control_in_2[8].serial_load ; + wire \gpio_control_in_2[8].shift_register[0] ; + wire \gpio_control_in_2[8].shift_register[10] ; + wire \gpio_control_in_2[8].shift_register[11] ; + wire \gpio_control_in_2[8].shift_register[12] ; + wire \gpio_control_in_2[8].shift_register[1] ; + wire \gpio_control_in_2[8].shift_register[2] ; + wire \gpio_control_in_2[8].shift_register[3] ; + wire \gpio_control_in_2[8].shift_register[4] ; + wire \gpio_control_in_2[8].shift_register[5] ; + wire \gpio_control_in_2[8].shift_register[6] ; + wire \gpio_control_in_2[8].shift_register[7] ; + wire \gpio_control_in_2[8].shift_register[8] ; + wire \gpio_control_in_2[8].shift_register[9] ; + wire \gpio_control_in_2[8].user_gpio_in ; + wire \gpio_control_in_2[8].zero ; + wire \gpio_control_in_2[9].gpio_ana_en ; + wire \gpio_control_in_2[9].gpio_ana_pol ; + wire \gpio_control_in_2[9].gpio_ana_sel ; + wire \gpio_control_in_2[9].gpio_defaults[0] ; + wire \gpio_control_in_2[9].gpio_defaults[10] ; + wire \gpio_control_in_2[9].gpio_defaults[11] ; + wire \gpio_control_in_2[9].gpio_defaults[12] ; + wire \gpio_control_in_2[9].gpio_defaults[1] ; + wire \gpio_control_in_2[9].gpio_defaults[2] ; + wire \gpio_control_in_2[9].gpio_defaults[3] ; + wire \gpio_control_in_2[9].gpio_defaults[4] ; + wire \gpio_control_in_2[9].gpio_defaults[5] ; + wire \gpio_control_in_2[9].gpio_defaults[6] ; + wire \gpio_control_in_2[9].gpio_defaults[7] ; + wire \gpio_control_in_2[9].gpio_defaults[8] ; + wire \gpio_control_in_2[9].gpio_defaults[9] ; + wire \gpio_control_in_2[9].gpio_holdover ; + wire \gpio_control_in_2[9].gpio_ib_mode_sel ; + wire \gpio_control_in_2[9].gpio_inenb ; + wire \gpio_control_in_2[9].gpio_logic1 ; + wire \gpio_control_in_2[9].gpio_outenb ; + wire \gpio_control_in_2[9].gpio_slow_sel ; + wire \gpio_control_in_2[9].gpio_vtrip_sel ; + wire \gpio_control_in_2[9].mgmt_ena ; + wire \gpio_control_in_2[9].mgmt_gpio_oeb ; + wire \gpio_control_in_2[9].pad_gpio_out ; + wire \gpio_control_in_2[9].pad_gpio_outenb ; + wire \gpio_control_in_2[9].shift_register[0] ; + wire \gpio_control_in_2[9].shift_register[10] ; + wire \gpio_control_in_2[9].shift_register[11] ; + wire \gpio_control_in_2[9].shift_register[12] ; + wire \gpio_control_in_2[9].shift_register[1] ; + wire \gpio_control_in_2[9].shift_register[2] ; + wire \gpio_control_in_2[9].shift_register[3] ; + wire \gpio_control_in_2[9].shift_register[4] ; + wire \gpio_control_in_2[9].shift_register[5] ; + wire \gpio_control_in_2[9].shift_register[6] ; + wire \gpio_control_in_2[9].shift_register[7] ; + wire \gpio_control_in_2[9].shift_register[8] ; + wire \gpio_control_in_2[9].shift_register[9] ; + wire \gpio_control_in_2[9].user_gpio_in ; + wire \gpio_control_in_2[9].zero ; + input gpio_in_core; + wire gpio_in_core; + output gpio_inenb_core; + wire gpio_inenb_core; + output gpio_mode0_core; + wire gpio_mode0_core; + output gpio_mode1_core; + wire gpio_mode1_core; + output gpio_out_core; + wire gpio_out_core; + output gpio_outenb_core; + wire gpio_outenb_core; + wire \irq_spi[0] ; + wire \irq_spi[1] ; + wire \irq_spi[2] ; + wire \mgmt_buffers.caravel_clk ; + wire \mgmt_buffers.caravel_clk2 ; + wire \mgmt_buffers.caravel_rstn ; + wire \mgmt_buffers.la_data_in_core[0] ; + wire \mgmt_buffers.la_data_in_core[100] ; + wire \mgmt_buffers.la_data_in_core[101] ; + wire \mgmt_buffers.la_data_in_core[102] ; + wire \mgmt_buffers.la_data_in_core[103] ; + wire \mgmt_buffers.la_data_in_core[104] ; + wire \mgmt_buffers.la_data_in_core[105] ; + wire \mgmt_buffers.la_data_in_core[106] ; + wire \mgmt_buffers.la_data_in_core[107] ; + wire \mgmt_buffers.la_data_in_core[108] ; + wire \mgmt_buffers.la_data_in_core[109] ; + wire \mgmt_buffers.la_data_in_core[10] ; + wire \mgmt_buffers.la_data_in_core[110] ; + wire \mgmt_buffers.la_data_in_core[111] ; + wire \mgmt_buffers.la_data_in_core[112] ; + wire \mgmt_buffers.la_data_in_core[113] ; + wire \mgmt_buffers.la_data_in_core[114] ; + wire \mgmt_buffers.la_data_in_core[115] ; + wire \mgmt_buffers.la_data_in_core[116] ; + wire \mgmt_buffers.la_data_in_core[117] ; + wire \mgmt_buffers.la_data_in_core[118] ; + wire \mgmt_buffers.la_data_in_core[119] ; + wire \mgmt_buffers.la_data_in_core[11] ; + wire \mgmt_buffers.la_data_in_core[120] ; + wire \mgmt_buffers.la_data_in_core[121] ; + wire \mgmt_buffers.la_data_in_core[122] ; + wire \mgmt_buffers.la_data_in_core[123] ; + wire \mgmt_buffers.la_data_in_core[124] ; + wire \mgmt_buffers.la_data_in_core[125] ; + wire \mgmt_buffers.la_data_in_core[126] ; + wire \mgmt_buffers.la_data_in_core[127] ; + wire \mgmt_buffers.la_data_in_core[12] ; + wire \mgmt_buffers.la_data_in_core[13] ; + wire \mgmt_buffers.la_data_in_core[14] ; + wire \mgmt_buffers.la_data_in_core[15] ; + wire \mgmt_buffers.la_data_in_core[16] ; + wire \mgmt_buffers.la_data_in_core[17] ; + wire \mgmt_buffers.la_data_in_core[18] ; + wire \mgmt_buffers.la_data_in_core[19] ; + wire \mgmt_buffers.la_data_in_core[1] ; + wire \mgmt_buffers.la_data_in_core[20] ; + wire \mgmt_buffers.la_data_in_core[21] ; + wire \mgmt_buffers.la_data_in_core[22] ; + wire \mgmt_buffers.la_data_in_core[23] ; + wire \mgmt_buffers.la_data_in_core[24] ; + wire \mgmt_buffers.la_data_in_core[25] ; + wire \mgmt_buffers.la_data_in_core[26] ; + wire \mgmt_buffers.la_data_in_core[27] ; + wire \mgmt_buffers.la_data_in_core[28] ; + wire \mgmt_buffers.la_data_in_core[29] ; + wire \mgmt_buffers.la_data_in_core[2] ; + wire \mgmt_buffers.la_data_in_core[30] ; + wire \mgmt_buffers.la_data_in_core[31] ; + wire \mgmt_buffers.la_data_in_core[32] ; + wire \mgmt_buffers.la_data_in_core[33] ; + wire \mgmt_buffers.la_data_in_core[34] ; + wire \mgmt_buffers.la_data_in_core[35] ; + wire \mgmt_buffers.la_data_in_core[36] ; + wire \mgmt_buffers.la_data_in_core[37] ; + wire \mgmt_buffers.la_data_in_core[38] ; + wire \mgmt_buffers.la_data_in_core[39] ; + wire \mgmt_buffers.la_data_in_core[3] ; + wire \mgmt_buffers.la_data_in_core[40] ; + wire \mgmt_buffers.la_data_in_core[41] ; + wire \mgmt_buffers.la_data_in_core[42] ; + wire \mgmt_buffers.la_data_in_core[43] ; + wire \mgmt_buffers.la_data_in_core[44] ; + wire \mgmt_buffers.la_data_in_core[45] ; + wire \mgmt_buffers.la_data_in_core[46] ; + wire \mgmt_buffers.la_data_in_core[47] ; + wire \mgmt_buffers.la_data_in_core[48] ; + wire \mgmt_buffers.la_data_in_core[49] ; + wire \mgmt_buffers.la_data_in_core[4] ; + wire \mgmt_buffers.la_data_in_core[50] ; + wire \mgmt_buffers.la_data_in_core[51] ; + wire \mgmt_buffers.la_data_in_core[52] ; + wire \mgmt_buffers.la_data_in_core[53] ; + wire \mgmt_buffers.la_data_in_core[54] ; + wire \mgmt_buffers.la_data_in_core[55] ; + wire \mgmt_buffers.la_data_in_core[56] ; + wire \mgmt_buffers.la_data_in_core[57] ; + wire \mgmt_buffers.la_data_in_core[58] ; + wire \mgmt_buffers.la_data_in_core[59] ; + wire \mgmt_buffers.la_data_in_core[5] ; + wire \mgmt_buffers.la_data_in_core[60] ; + wire \mgmt_buffers.la_data_in_core[61] ; + wire \mgmt_buffers.la_data_in_core[62] ; + wire \mgmt_buffers.la_data_in_core[63] ; + wire \mgmt_buffers.la_data_in_core[64] ; + wire \mgmt_buffers.la_data_in_core[65] ; + wire \mgmt_buffers.la_data_in_core[66] ; + wire \mgmt_buffers.la_data_in_core[67] ; + wire \mgmt_buffers.la_data_in_core[68] ; + wire \mgmt_buffers.la_data_in_core[69] ; + wire \mgmt_buffers.la_data_in_core[6] ; + wire \mgmt_buffers.la_data_in_core[70] ; + wire \mgmt_buffers.la_data_in_core[71] ; + wire \mgmt_buffers.la_data_in_core[72] ; + wire \mgmt_buffers.la_data_in_core[73] ; + wire \mgmt_buffers.la_data_in_core[74] ; + wire \mgmt_buffers.la_data_in_core[75] ; + wire \mgmt_buffers.la_data_in_core[76] ; + wire \mgmt_buffers.la_data_in_core[77] ; + wire \mgmt_buffers.la_data_in_core[78] ; + wire \mgmt_buffers.la_data_in_core[79] ; + wire \mgmt_buffers.la_data_in_core[7] ; + wire \mgmt_buffers.la_data_in_core[80] ; + wire \mgmt_buffers.la_data_in_core[81] ; + wire \mgmt_buffers.la_data_in_core[82] ; + wire \mgmt_buffers.la_data_in_core[83] ; + wire \mgmt_buffers.la_data_in_core[84] ; + wire \mgmt_buffers.la_data_in_core[85] ; + wire \mgmt_buffers.la_data_in_core[86] ; + wire \mgmt_buffers.la_data_in_core[87] ; + wire \mgmt_buffers.la_data_in_core[88] ; + wire \mgmt_buffers.la_data_in_core[89] ; + wire \mgmt_buffers.la_data_in_core[8] ; + wire \mgmt_buffers.la_data_in_core[90] ; + wire \mgmt_buffers.la_data_in_core[91] ; + wire \mgmt_buffers.la_data_in_core[92] ; + wire \mgmt_buffers.la_data_in_core[93] ; + wire \mgmt_buffers.la_data_in_core[94] ; + wire \mgmt_buffers.la_data_in_core[95] ; + wire \mgmt_buffers.la_data_in_core[96] ; + wire \mgmt_buffers.la_data_in_core[97] ; + wire \mgmt_buffers.la_data_in_core[98] ; + wire \mgmt_buffers.la_data_in_core[99] ; + wire \mgmt_buffers.la_data_in_core[9] ; + wire \mgmt_buffers.la_data_in_enable[0] ; + wire \mgmt_buffers.la_data_in_enable[100] ; + wire \mgmt_buffers.la_data_in_enable[101] ; + wire \mgmt_buffers.la_data_in_enable[102] ; + wire \mgmt_buffers.la_data_in_enable[103] ; + wire \mgmt_buffers.la_data_in_enable[104] ; + wire \mgmt_buffers.la_data_in_enable[105] ; + wire \mgmt_buffers.la_data_in_enable[106] ; + wire \mgmt_buffers.la_data_in_enable[107] ; + wire \mgmt_buffers.la_data_in_enable[108] ; + wire \mgmt_buffers.la_data_in_enable[109] ; + wire \mgmt_buffers.la_data_in_enable[10] ; + wire \mgmt_buffers.la_data_in_enable[110] ; + wire \mgmt_buffers.la_data_in_enable[111] ; + wire \mgmt_buffers.la_data_in_enable[112] ; + wire \mgmt_buffers.la_data_in_enable[113] ; + wire \mgmt_buffers.la_data_in_enable[114] ; + wire \mgmt_buffers.la_data_in_enable[115] ; + wire \mgmt_buffers.la_data_in_enable[116] ; + wire \mgmt_buffers.la_data_in_enable[117] ; + wire \mgmt_buffers.la_data_in_enable[118] ; + wire \mgmt_buffers.la_data_in_enable[119] ; + wire \mgmt_buffers.la_data_in_enable[11] ; + wire \mgmt_buffers.la_data_in_enable[120] ; + wire \mgmt_buffers.la_data_in_enable[121] ; + wire \mgmt_buffers.la_data_in_enable[122] ; + wire \mgmt_buffers.la_data_in_enable[123] ; + wire \mgmt_buffers.la_data_in_enable[124] ; + wire \mgmt_buffers.la_data_in_enable[125] ; + wire \mgmt_buffers.la_data_in_enable[126] ; + wire \mgmt_buffers.la_data_in_enable[127] ; + wire \mgmt_buffers.la_data_in_enable[12] ; + wire \mgmt_buffers.la_data_in_enable[13] ; + wire \mgmt_buffers.la_data_in_enable[14] ; + wire \mgmt_buffers.la_data_in_enable[15] ; + wire \mgmt_buffers.la_data_in_enable[16] ; + wire \mgmt_buffers.la_data_in_enable[17] ; + wire \mgmt_buffers.la_data_in_enable[18] ; + wire \mgmt_buffers.la_data_in_enable[19] ; + wire \mgmt_buffers.la_data_in_enable[1] ; + wire \mgmt_buffers.la_data_in_enable[20] ; + wire \mgmt_buffers.la_data_in_enable[21] ; + wire \mgmt_buffers.la_data_in_enable[22] ; + wire \mgmt_buffers.la_data_in_enable[23] ; + wire \mgmt_buffers.la_data_in_enable[24] ; + wire \mgmt_buffers.la_data_in_enable[25] ; + wire \mgmt_buffers.la_data_in_enable[26] ; + wire \mgmt_buffers.la_data_in_enable[27] ; + wire \mgmt_buffers.la_data_in_enable[28] ; + wire \mgmt_buffers.la_data_in_enable[29] ; + wire \mgmt_buffers.la_data_in_enable[2] ; + wire \mgmt_buffers.la_data_in_enable[30] ; + wire \mgmt_buffers.la_data_in_enable[31] ; + wire \mgmt_buffers.la_data_in_enable[32] ; + wire \mgmt_buffers.la_data_in_enable[33] ; + wire \mgmt_buffers.la_data_in_enable[34] ; + wire \mgmt_buffers.la_data_in_enable[35] ; + wire \mgmt_buffers.la_data_in_enable[36] ; + wire \mgmt_buffers.la_data_in_enable[37] ; + wire \mgmt_buffers.la_data_in_enable[38] ; + wire \mgmt_buffers.la_data_in_enable[39] ; + wire \mgmt_buffers.la_data_in_enable[3] ; + wire \mgmt_buffers.la_data_in_enable[40] ; + wire \mgmt_buffers.la_data_in_enable[41] ; + wire \mgmt_buffers.la_data_in_enable[42] ; + wire \mgmt_buffers.la_data_in_enable[43] ; + wire \mgmt_buffers.la_data_in_enable[44] ; + wire \mgmt_buffers.la_data_in_enable[45] ; + wire \mgmt_buffers.la_data_in_enable[46] ; + wire \mgmt_buffers.la_data_in_enable[47] ; + wire \mgmt_buffers.la_data_in_enable[48] ; + wire \mgmt_buffers.la_data_in_enable[49] ; + wire \mgmt_buffers.la_data_in_enable[4] ; + wire \mgmt_buffers.la_data_in_enable[50] ; + wire \mgmt_buffers.la_data_in_enable[51] ; + wire \mgmt_buffers.la_data_in_enable[52] ; + wire \mgmt_buffers.la_data_in_enable[53] ; + wire \mgmt_buffers.la_data_in_enable[54] ; + wire \mgmt_buffers.la_data_in_enable[55] ; + wire \mgmt_buffers.la_data_in_enable[56] ; + wire \mgmt_buffers.la_data_in_enable[57] ; + wire \mgmt_buffers.la_data_in_enable[58] ; + wire \mgmt_buffers.la_data_in_enable[59] ; + wire \mgmt_buffers.la_data_in_enable[5] ; + wire \mgmt_buffers.la_data_in_enable[60] ; + wire \mgmt_buffers.la_data_in_enable[61] ; + wire \mgmt_buffers.la_data_in_enable[62] ; + wire \mgmt_buffers.la_data_in_enable[63] ; + wire \mgmt_buffers.la_data_in_enable[64] ; + wire \mgmt_buffers.la_data_in_enable[65] ; + wire \mgmt_buffers.la_data_in_enable[66] ; + wire \mgmt_buffers.la_data_in_enable[67] ; + wire \mgmt_buffers.la_data_in_enable[68] ; + wire \mgmt_buffers.la_data_in_enable[69] ; + wire \mgmt_buffers.la_data_in_enable[6] ; + wire \mgmt_buffers.la_data_in_enable[70] ; + wire \mgmt_buffers.la_data_in_enable[71] ; + wire \mgmt_buffers.la_data_in_enable[72] ; + wire \mgmt_buffers.la_data_in_enable[73] ; + wire \mgmt_buffers.la_data_in_enable[74] ; + wire \mgmt_buffers.la_data_in_enable[75] ; + wire \mgmt_buffers.la_data_in_enable[76] ; + wire \mgmt_buffers.la_data_in_enable[77] ; + wire \mgmt_buffers.la_data_in_enable[78] ; + wire \mgmt_buffers.la_data_in_enable[79] ; + wire \mgmt_buffers.la_data_in_enable[7] ; + wire \mgmt_buffers.la_data_in_enable[80] ; + wire \mgmt_buffers.la_data_in_enable[81] ; + wire \mgmt_buffers.la_data_in_enable[82] ; + wire \mgmt_buffers.la_data_in_enable[83] ; + wire \mgmt_buffers.la_data_in_enable[84] ; + wire \mgmt_buffers.la_data_in_enable[85] ; + wire \mgmt_buffers.la_data_in_enable[86] ; + wire \mgmt_buffers.la_data_in_enable[87] ; + wire \mgmt_buffers.la_data_in_enable[88] ; + wire \mgmt_buffers.la_data_in_enable[89] ; + wire \mgmt_buffers.la_data_in_enable[8] ; + wire \mgmt_buffers.la_data_in_enable[90] ; + wire \mgmt_buffers.la_data_in_enable[91] ; + wire \mgmt_buffers.la_data_in_enable[92] ; + wire \mgmt_buffers.la_data_in_enable[93] ; + wire \mgmt_buffers.la_data_in_enable[94] ; + wire \mgmt_buffers.la_data_in_enable[95] ; + wire \mgmt_buffers.la_data_in_enable[96] ; + wire \mgmt_buffers.la_data_in_enable[97] ; + wire \mgmt_buffers.la_data_in_enable[98] ; + wire \mgmt_buffers.la_data_in_enable[99] ; + wire \mgmt_buffers.la_data_in_enable[9] ; + wire \mgmt_buffers.la_data_in_mprj[0] ; + wire \mgmt_buffers.la_data_in_mprj[100] ; + wire \mgmt_buffers.la_data_in_mprj[101] ; + wire \mgmt_buffers.la_data_in_mprj[102] ; + wire \mgmt_buffers.la_data_in_mprj[103] ; + wire \mgmt_buffers.la_data_in_mprj[104] ; + wire \mgmt_buffers.la_data_in_mprj[105] ; + wire \mgmt_buffers.la_data_in_mprj[106] ; + wire \mgmt_buffers.la_data_in_mprj[107] ; + wire \mgmt_buffers.la_data_in_mprj[108] ; + wire \mgmt_buffers.la_data_in_mprj[109] ; + wire \mgmt_buffers.la_data_in_mprj[10] ; + wire \mgmt_buffers.la_data_in_mprj[110] ; + wire \mgmt_buffers.la_data_in_mprj[111] ; + wire \mgmt_buffers.la_data_in_mprj[112] ; + wire \mgmt_buffers.la_data_in_mprj[113] ; + wire \mgmt_buffers.la_data_in_mprj[114] ; + wire \mgmt_buffers.la_data_in_mprj[115] ; + wire \mgmt_buffers.la_data_in_mprj[116] ; + wire \mgmt_buffers.la_data_in_mprj[117] ; + wire \mgmt_buffers.la_data_in_mprj[118] ; + wire \mgmt_buffers.la_data_in_mprj[119] ; + wire \mgmt_buffers.la_data_in_mprj[11] ; + wire \mgmt_buffers.la_data_in_mprj[120] ; + wire \mgmt_buffers.la_data_in_mprj[121] ; + wire \mgmt_buffers.la_data_in_mprj[122] ; + wire \mgmt_buffers.la_data_in_mprj[123] ; + wire \mgmt_buffers.la_data_in_mprj[124] ; + wire \mgmt_buffers.la_data_in_mprj[125] ; + wire \mgmt_buffers.la_data_in_mprj[126] ; + wire \mgmt_buffers.la_data_in_mprj[127] ; + wire \mgmt_buffers.la_data_in_mprj[12] ; + wire \mgmt_buffers.la_data_in_mprj[13] ; + wire \mgmt_buffers.la_data_in_mprj[14] ; + wire \mgmt_buffers.la_data_in_mprj[15] ; + wire \mgmt_buffers.la_data_in_mprj[16] ; + wire \mgmt_buffers.la_data_in_mprj[17] ; + wire \mgmt_buffers.la_data_in_mprj[18] ; + wire \mgmt_buffers.la_data_in_mprj[19] ; + wire \mgmt_buffers.la_data_in_mprj[1] ; + wire \mgmt_buffers.la_data_in_mprj[20] ; + wire \mgmt_buffers.la_data_in_mprj[21] ; + wire \mgmt_buffers.la_data_in_mprj[22] ; + wire \mgmt_buffers.la_data_in_mprj[23] ; + wire \mgmt_buffers.la_data_in_mprj[24] ; + wire \mgmt_buffers.la_data_in_mprj[25] ; + wire \mgmt_buffers.la_data_in_mprj[26] ; + wire \mgmt_buffers.la_data_in_mprj[27] ; + wire \mgmt_buffers.la_data_in_mprj[28] ; + wire \mgmt_buffers.la_data_in_mprj[29] ; + wire \mgmt_buffers.la_data_in_mprj[2] ; + wire \mgmt_buffers.la_data_in_mprj[30] ; + wire \mgmt_buffers.la_data_in_mprj[31] ; + wire \mgmt_buffers.la_data_in_mprj[32] ; + wire \mgmt_buffers.la_data_in_mprj[33] ; + wire \mgmt_buffers.la_data_in_mprj[34] ; + wire \mgmt_buffers.la_data_in_mprj[35] ; + wire \mgmt_buffers.la_data_in_mprj[36] ; + wire \mgmt_buffers.la_data_in_mprj[37] ; + wire \mgmt_buffers.la_data_in_mprj[38] ; + wire \mgmt_buffers.la_data_in_mprj[39] ; + wire \mgmt_buffers.la_data_in_mprj[3] ; + wire \mgmt_buffers.la_data_in_mprj[40] ; + wire \mgmt_buffers.la_data_in_mprj[41] ; + wire \mgmt_buffers.la_data_in_mprj[42] ; + wire \mgmt_buffers.la_data_in_mprj[43] ; + wire \mgmt_buffers.la_data_in_mprj[44] ; + wire \mgmt_buffers.la_data_in_mprj[45] ; + wire \mgmt_buffers.la_data_in_mprj[46] ; + wire \mgmt_buffers.la_data_in_mprj[47] ; + wire \mgmt_buffers.la_data_in_mprj[48] ; + wire \mgmt_buffers.la_data_in_mprj[49] ; + wire \mgmt_buffers.la_data_in_mprj[4] ; + wire \mgmt_buffers.la_data_in_mprj[50] ; + wire \mgmt_buffers.la_data_in_mprj[51] ; + wire \mgmt_buffers.la_data_in_mprj[52] ; + wire \mgmt_buffers.la_data_in_mprj[53] ; + wire \mgmt_buffers.la_data_in_mprj[54] ; + wire \mgmt_buffers.la_data_in_mprj[55] ; + wire \mgmt_buffers.la_data_in_mprj[56] ; + wire \mgmt_buffers.la_data_in_mprj[57] ; + wire \mgmt_buffers.la_data_in_mprj[58] ; + wire \mgmt_buffers.la_data_in_mprj[59] ; + wire \mgmt_buffers.la_data_in_mprj[5] ; + wire \mgmt_buffers.la_data_in_mprj[60] ; + wire \mgmt_buffers.la_data_in_mprj[61] ; + wire \mgmt_buffers.la_data_in_mprj[62] ; + wire \mgmt_buffers.la_data_in_mprj[63] ; + wire \mgmt_buffers.la_data_in_mprj[64] ; + wire \mgmt_buffers.la_data_in_mprj[65] ; + wire \mgmt_buffers.la_data_in_mprj[66] ; + wire \mgmt_buffers.la_data_in_mprj[67] ; + wire \mgmt_buffers.la_data_in_mprj[68] ; + wire \mgmt_buffers.la_data_in_mprj[69] ; + wire \mgmt_buffers.la_data_in_mprj[6] ; + wire \mgmt_buffers.la_data_in_mprj[70] ; + wire \mgmt_buffers.la_data_in_mprj[71] ; + wire \mgmt_buffers.la_data_in_mprj[72] ; + wire \mgmt_buffers.la_data_in_mprj[73] ; + wire \mgmt_buffers.la_data_in_mprj[74] ; + wire \mgmt_buffers.la_data_in_mprj[75] ; + wire \mgmt_buffers.la_data_in_mprj[76] ; + wire \mgmt_buffers.la_data_in_mprj[77] ; + wire \mgmt_buffers.la_data_in_mprj[78] ; + wire \mgmt_buffers.la_data_in_mprj[79] ; + wire \mgmt_buffers.la_data_in_mprj[7] ; + wire \mgmt_buffers.la_data_in_mprj[80] ; + wire \mgmt_buffers.la_data_in_mprj[81] ; + wire \mgmt_buffers.la_data_in_mprj[82] ; + wire \mgmt_buffers.la_data_in_mprj[83] ; + wire \mgmt_buffers.la_data_in_mprj[84] ; + wire \mgmt_buffers.la_data_in_mprj[85] ; + wire \mgmt_buffers.la_data_in_mprj[86] ; + wire \mgmt_buffers.la_data_in_mprj[87] ; + wire \mgmt_buffers.la_data_in_mprj[88] ; + wire \mgmt_buffers.la_data_in_mprj[89] ; + wire \mgmt_buffers.la_data_in_mprj[8] ; + wire \mgmt_buffers.la_data_in_mprj[90] ; + wire \mgmt_buffers.la_data_in_mprj[91] ; + wire \mgmt_buffers.la_data_in_mprj[92] ; + wire \mgmt_buffers.la_data_in_mprj[93] ; + wire \mgmt_buffers.la_data_in_mprj[94] ; + wire \mgmt_buffers.la_data_in_mprj[95] ; + wire \mgmt_buffers.la_data_in_mprj[96] ; + wire \mgmt_buffers.la_data_in_mprj[97] ; + wire \mgmt_buffers.la_data_in_mprj[98] ; + wire \mgmt_buffers.la_data_in_mprj[99] ; + wire \mgmt_buffers.la_data_in_mprj[9] ; + wire \mgmt_buffers.la_data_in_mprj_bar[0] ; + wire \mgmt_buffers.la_data_in_mprj_bar[100] ; + wire \mgmt_buffers.la_data_in_mprj_bar[101] ; + wire \mgmt_buffers.la_data_in_mprj_bar[102] ; + wire \mgmt_buffers.la_data_in_mprj_bar[103] ; + wire \mgmt_buffers.la_data_in_mprj_bar[104] ; + wire \mgmt_buffers.la_data_in_mprj_bar[105] ; + wire \mgmt_buffers.la_data_in_mprj_bar[106] ; + wire \mgmt_buffers.la_data_in_mprj_bar[107] ; + wire \mgmt_buffers.la_data_in_mprj_bar[108] ; + wire \mgmt_buffers.la_data_in_mprj_bar[109] ; + wire \mgmt_buffers.la_data_in_mprj_bar[10] ; + wire \mgmt_buffers.la_data_in_mprj_bar[110] ; + wire \mgmt_buffers.la_data_in_mprj_bar[111] ; + wire \mgmt_buffers.la_data_in_mprj_bar[112] ; + wire \mgmt_buffers.la_data_in_mprj_bar[113] ; + wire \mgmt_buffers.la_data_in_mprj_bar[114] ; + wire \mgmt_buffers.la_data_in_mprj_bar[115] ; + wire \mgmt_buffers.la_data_in_mprj_bar[116] ; + wire \mgmt_buffers.la_data_in_mprj_bar[117] ; + wire \mgmt_buffers.la_data_in_mprj_bar[118] ; + wire \mgmt_buffers.la_data_in_mprj_bar[119] ; + wire \mgmt_buffers.la_data_in_mprj_bar[11] ; + wire \mgmt_buffers.la_data_in_mprj_bar[120] ; + wire \mgmt_buffers.la_data_in_mprj_bar[121] ; + wire \mgmt_buffers.la_data_in_mprj_bar[122] ; + wire \mgmt_buffers.la_data_in_mprj_bar[123] ; + wire \mgmt_buffers.la_data_in_mprj_bar[124] ; + wire \mgmt_buffers.la_data_in_mprj_bar[125] ; + wire \mgmt_buffers.la_data_in_mprj_bar[126] ; + wire \mgmt_buffers.la_data_in_mprj_bar[127] ; + wire \mgmt_buffers.la_data_in_mprj_bar[12] ; + wire \mgmt_buffers.la_data_in_mprj_bar[13] ; + wire \mgmt_buffers.la_data_in_mprj_bar[14] ; + wire \mgmt_buffers.la_data_in_mprj_bar[15] ; + wire \mgmt_buffers.la_data_in_mprj_bar[16] ; + wire \mgmt_buffers.la_data_in_mprj_bar[17] ; + wire \mgmt_buffers.la_data_in_mprj_bar[18] ; + wire \mgmt_buffers.la_data_in_mprj_bar[19] ; + wire \mgmt_buffers.la_data_in_mprj_bar[1] ; + wire \mgmt_buffers.la_data_in_mprj_bar[20] ; + wire \mgmt_buffers.la_data_in_mprj_bar[21] ; + wire \mgmt_buffers.la_data_in_mprj_bar[22] ; + wire \mgmt_buffers.la_data_in_mprj_bar[23] ; + wire \mgmt_buffers.la_data_in_mprj_bar[24] ; + wire \mgmt_buffers.la_data_in_mprj_bar[25] ; + wire \mgmt_buffers.la_data_in_mprj_bar[26] ; + wire \mgmt_buffers.la_data_in_mprj_bar[27] ; + wire \mgmt_buffers.la_data_in_mprj_bar[28] ; + wire \mgmt_buffers.la_data_in_mprj_bar[29] ; + wire \mgmt_buffers.la_data_in_mprj_bar[2] ; + wire \mgmt_buffers.la_data_in_mprj_bar[30] ; + wire \mgmt_buffers.la_data_in_mprj_bar[31] ; + wire \mgmt_buffers.la_data_in_mprj_bar[32] ; + wire \mgmt_buffers.la_data_in_mprj_bar[33] ; + wire \mgmt_buffers.la_data_in_mprj_bar[34] ; + wire \mgmt_buffers.la_data_in_mprj_bar[35] ; + wire \mgmt_buffers.la_data_in_mprj_bar[36] ; + wire \mgmt_buffers.la_data_in_mprj_bar[37] ; + wire \mgmt_buffers.la_data_in_mprj_bar[38] ; + wire \mgmt_buffers.la_data_in_mprj_bar[39] ; + wire \mgmt_buffers.la_data_in_mprj_bar[3] ; + wire \mgmt_buffers.la_data_in_mprj_bar[40] ; + wire \mgmt_buffers.la_data_in_mprj_bar[41] ; + wire \mgmt_buffers.la_data_in_mprj_bar[42] ; + wire \mgmt_buffers.la_data_in_mprj_bar[43] ; + wire \mgmt_buffers.la_data_in_mprj_bar[44] ; + wire \mgmt_buffers.la_data_in_mprj_bar[45] ; + wire \mgmt_buffers.la_data_in_mprj_bar[46] ; + wire \mgmt_buffers.la_data_in_mprj_bar[47] ; + wire \mgmt_buffers.la_data_in_mprj_bar[48] ; + wire \mgmt_buffers.la_data_in_mprj_bar[49] ; + wire \mgmt_buffers.la_data_in_mprj_bar[4] ; + wire \mgmt_buffers.la_data_in_mprj_bar[50] ; + wire \mgmt_buffers.la_data_in_mprj_bar[51] ; + wire \mgmt_buffers.la_data_in_mprj_bar[52] ; + wire \mgmt_buffers.la_data_in_mprj_bar[53] ; + wire \mgmt_buffers.la_data_in_mprj_bar[54] ; + wire \mgmt_buffers.la_data_in_mprj_bar[55] ; + wire \mgmt_buffers.la_data_in_mprj_bar[56] ; + wire \mgmt_buffers.la_data_in_mprj_bar[57] ; + wire \mgmt_buffers.la_data_in_mprj_bar[58] ; + wire \mgmt_buffers.la_data_in_mprj_bar[59] ; + wire \mgmt_buffers.la_data_in_mprj_bar[5] ; + wire \mgmt_buffers.la_data_in_mprj_bar[60] ; + wire \mgmt_buffers.la_data_in_mprj_bar[61] ; + wire \mgmt_buffers.la_data_in_mprj_bar[62] ; + wire \mgmt_buffers.la_data_in_mprj_bar[63] ; + wire \mgmt_buffers.la_data_in_mprj_bar[64] ; + wire \mgmt_buffers.la_data_in_mprj_bar[65] ; + wire \mgmt_buffers.la_data_in_mprj_bar[66] ; + wire \mgmt_buffers.la_data_in_mprj_bar[67] ; + wire \mgmt_buffers.la_data_in_mprj_bar[68] ; + wire \mgmt_buffers.la_data_in_mprj_bar[69] ; + wire \mgmt_buffers.la_data_in_mprj_bar[6] ; + wire \mgmt_buffers.la_data_in_mprj_bar[70] ; + wire \mgmt_buffers.la_data_in_mprj_bar[71] ; + wire \mgmt_buffers.la_data_in_mprj_bar[72] ; + wire \mgmt_buffers.la_data_in_mprj_bar[73] ; + wire \mgmt_buffers.la_data_in_mprj_bar[74] ; + wire \mgmt_buffers.la_data_in_mprj_bar[75] ; + wire \mgmt_buffers.la_data_in_mprj_bar[76] ; + wire \mgmt_buffers.la_data_in_mprj_bar[77] ; + wire \mgmt_buffers.la_data_in_mprj_bar[78] ; + wire \mgmt_buffers.la_data_in_mprj_bar[79] ; + wire \mgmt_buffers.la_data_in_mprj_bar[7] ; + wire \mgmt_buffers.la_data_in_mprj_bar[80] ; + wire \mgmt_buffers.la_data_in_mprj_bar[81] ; + wire \mgmt_buffers.la_data_in_mprj_bar[82] ; + wire \mgmt_buffers.la_data_in_mprj_bar[83] ; + wire \mgmt_buffers.la_data_in_mprj_bar[84] ; + wire \mgmt_buffers.la_data_in_mprj_bar[85] ; + wire \mgmt_buffers.la_data_in_mprj_bar[86] ; + wire \mgmt_buffers.la_data_in_mprj_bar[87] ; + wire \mgmt_buffers.la_data_in_mprj_bar[88] ; + wire \mgmt_buffers.la_data_in_mprj_bar[89] ; + wire \mgmt_buffers.la_data_in_mprj_bar[8] ; + wire \mgmt_buffers.la_data_in_mprj_bar[90] ; + wire \mgmt_buffers.la_data_in_mprj_bar[91] ; + wire \mgmt_buffers.la_data_in_mprj_bar[92] ; + wire \mgmt_buffers.la_data_in_mprj_bar[93] ; + wire \mgmt_buffers.la_data_in_mprj_bar[94] ; + wire \mgmt_buffers.la_data_in_mprj_bar[95] ; + wire \mgmt_buffers.la_data_in_mprj_bar[96] ; + wire \mgmt_buffers.la_data_in_mprj_bar[97] ; + wire \mgmt_buffers.la_data_in_mprj_bar[98] ; + wire \mgmt_buffers.la_data_in_mprj_bar[99] ; + wire \mgmt_buffers.la_data_in_mprj_bar[9] ; + wire \mgmt_buffers.la_data_out_core[0] ; + wire \mgmt_buffers.la_data_out_core[100] ; + wire \mgmt_buffers.la_data_out_core[101] ; + wire \mgmt_buffers.la_data_out_core[102] ; + wire \mgmt_buffers.la_data_out_core[103] ; + wire \mgmt_buffers.la_data_out_core[104] ; + wire \mgmt_buffers.la_data_out_core[105] ; + wire \mgmt_buffers.la_data_out_core[106] ; + wire \mgmt_buffers.la_data_out_core[107] ; + wire \mgmt_buffers.la_data_out_core[108] ; + wire \mgmt_buffers.la_data_out_core[109] ; + wire \mgmt_buffers.la_data_out_core[10] ; + wire \mgmt_buffers.la_data_out_core[110] ; + wire \mgmt_buffers.la_data_out_core[111] ; + wire \mgmt_buffers.la_data_out_core[112] ; + wire \mgmt_buffers.la_data_out_core[113] ; + wire \mgmt_buffers.la_data_out_core[114] ; + wire \mgmt_buffers.la_data_out_core[115] ; + wire \mgmt_buffers.la_data_out_core[116] ; + wire \mgmt_buffers.la_data_out_core[117] ; + wire \mgmt_buffers.la_data_out_core[118] ; + wire \mgmt_buffers.la_data_out_core[119] ; + wire \mgmt_buffers.la_data_out_core[11] ; + wire \mgmt_buffers.la_data_out_core[120] ; + wire \mgmt_buffers.la_data_out_core[121] ; + wire \mgmt_buffers.la_data_out_core[122] ; + wire \mgmt_buffers.la_data_out_core[123] ; + wire \mgmt_buffers.la_data_out_core[124] ; + wire \mgmt_buffers.la_data_out_core[125] ; + wire \mgmt_buffers.la_data_out_core[126] ; + wire \mgmt_buffers.la_data_out_core[127] ; + wire \mgmt_buffers.la_data_out_core[12] ; + wire \mgmt_buffers.la_data_out_core[13] ; + wire \mgmt_buffers.la_data_out_core[14] ; + wire \mgmt_buffers.la_data_out_core[15] ; + wire \mgmt_buffers.la_data_out_core[16] ; + wire \mgmt_buffers.la_data_out_core[17] ; + wire \mgmt_buffers.la_data_out_core[18] ; + wire \mgmt_buffers.la_data_out_core[19] ; + wire \mgmt_buffers.la_data_out_core[1] ; + wire \mgmt_buffers.la_data_out_core[20] ; + wire \mgmt_buffers.la_data_out_core[21] ; + wire \mgmt_buffers.la_data_out_core[22] ; + wire \mgmt_buffers.la_data_out_core[23] ; + wire \mgmt_buffers.la_data_out_core[24] ; + wire \mgmt_buffers.la_data_out_core[25] ; + wire \mgmt_buffers.la_data_out_core[26] ; + wire \mgmt_buffers.la_data_out_core[27] ; + wire \mgmt_buffers.la_data_out_core[28] ; + wire \mgmt_buffers.la_data_out_core[29] ; + wire \mgmt_buffers.la_data_out_core[2] ; + wire \mgmt_buffers.la_data_out_core[30] ; + wire \mgmt_buffers.la_data_out_core[31] ; + wire \mgmt_buffers.la_data_out_core[32] ; + wire \mgmt_buffers.la_data_out_core[33] ; + wire \mgmt_buffers.la_data_out_core[34] ; + wire \mgmt_buffers.la_data_out_core[35] ; + wire \mgmt_buffers.la_data_out_core[36] ; + wire \mgmt_buffers.la_data_out_core[37] ; + wire \mgmt_buffers.la_data_out_core[38] ; + wire \mgmt_buffers.la_data_out_core[39] ; + wire \mgmt_buffers.la_data_out_core[3] ; + wire \mgmt_buffers.la_data_out_core[40] ; + wire \mgmt_buffers.la_data_out_core[41] ; + wire \mgmt_buffers.la_data_out_core[42] ; + wire \mgmt_buffers.la_data_out_core[43] ; + wire \mgmt_buffers.la_data_out_core[44] ; + wire \mgmt_buffers.la_data_out_core[45] ; + wire \mgmt_buffers.la_data_out_core[46] ; + wire \mgmt_buffers.la_data_out_core[47] ; + wire \mgmt_buffers.la_data_out_core[48] ; + wire \mgmt_buffers.la_data_out_core[49] ; + wire \mgmt_buffers.la_data_out_core[4] ; + wire \mgmt_buffers.la_data_out_core[50] ; + wire \mgmt_buffers.la_data_out_core[51] ; + wire \mgmt_buffers.la_data_out_core[52] ; + wire \mgmt_buffers.la_data_out_core[53] ; + wire \mgmt_buffers.la_data_out_core[54] ; + wire \mgmt_buffers.la_data_out_core[55] ; + wire \mgmt_buffers.la_data_out_core[56] ; + wire \mgmt_buffers.la_data_out_core[57] ; + wire \mgmt_buffers.la_data_out_core[58] ; + wire \mgmt_buffers.la_data_out_core[59] ; + wire \mgmt_buffers.la_data_out_core[5] ; + wire \mgmt_buffers.la_data_out_core[60] ; + wire \mgmt_buffers.la_data_out_core[61] ; + wire \mgmt_buffers.la_data_out_core[62] ; + wire \mgmt_buffers.la_data_out_core[63] ; + wire \mgmt_buffers.la_data_out_core[64] ; + wire \mgmt_buffers.la_data_out_core[65] ; + wire \mgmt_buffers.la_data_out_core[66] ; + wire \mgmt_buffers.la_data_out_core[67] ; + wire \mgmt_buffers.la_data_out_core[68] ; + wire \mgmt_buffers.la_data_out_core[69] ; + wire \mgmt_buffers.la_data_out_core[6] ; + wire \mgmt_buffers.la_data_out_core[70] ; + wire \mgmt_buffers.la_data_out_core[71] ; + wire \mgmt_buffers.la_data_out_core[72] ; + wire \mgmt_buffers.la_data_out_core[73] ; + wire \mgmt_buffers.la_data_out_core[74] ; + wire \mgmt_buffers.la_data_out_core[75] ; + wire \mgmt_buffers.la_data_out_core[76] ; + wire \mgmt_buffers.la_data_out_core[77] ; + wire \mgmt_buffers.la_data_out_core[78] ; + wire \mgmt_buffers.la_data_out_core[79] ; + wire \mgmt_buffers.la_data_out_core[7] ; + wire \mgmt_buffers.la_data_out_core[80] ; + wire \mgmt_buffers.la_data_out_core[81] ; + wire \mgmt_buffers.la_data_out_core[82] ; + wire \mgmt_buffers.la_data_out_core[83] ; + wire \mgmt_buffers.la_data_out_core[84] ; + wire \mgmt_buffers.la_data_out_core[85] ; + wire \mgmt_buffers.la_data_out_core[86] ; + wire \mgmt_buffers.la_data_out_core[87] ; + wire \mgmt_buffers.la_data_out_core[88] ; + wire \mgmt_buffers.la_data_out_core[89] ; + wire \mgmt_buffers.la_data_out_core[8] ; + wire \mgmt_buffers.la_data_out_core[90] ; + wire \mgmt_buffers.la_data_out_core[91] ; + wire \mgmt_buffers.la_data_out_core[92] ; + wire \mgmt_buffers.la_data_out_core[93] ; + wire \mgmt_buffers.la_data_out_core[94] ; + wire \mgmt_buffers.la_data_out_core[95] ; + wire \mgmt_buffers.la_data_out_core[96] ; + wire \mgmt_buffers.la_data_out_core[97] ; + wire \mgmt_buffers.la_data_out_core[98] ; + wire \mgmt_buffers.la_data_out_core[99] ; + wire \mgmt_buffers.la_data_out_core[9] ; + wire \mgmt_buffers.la_oenb_core[0] ; + wire \mgmt_buffers.la_oenb_core[100] ; + wire \mgmt_buffers.la_oenb_core[101] ; + wire \mgmt_buffers.la_oenb_core[102] ; + wire \mgmt_buffers.la_oenb_core[103] ; + wire \mgmt_buffers.la_oenb_core[104] ; + wire \mgmt_buffers.la_oenb_core[105] ; + wire \mgmt_buffers.la_oenb_core[106] ; + wire \mgmt_buffers.la_oenb_core[107] ; + wire \mgmt_buffers.la_oenb_core[108] ; + wire \mgmt_buffers.la_oenb_core[109] ; + wire \mgmt_buffers.la_oenb_core[10] ; + wire \mgmt_buffers.la_oenb_core[110] ; + wire \mgmt_buffers.la_oenb_core[111] ; + wire \mgmt_buffers.la_oenb_core[112] ; + wire \mgmt_buffers.la_oenb_core[113] ; + wire \mgmt_buffers.la_oenb_core[114] ; + wire \mgmt_buffers.la_oenb_core[115] ; + wire \mgmt_buffers.la_oenb_core[116] ; + wire \mgmt_buffers.la_oenb_core[117] ; + wire \mgmt_buffers.la_oenb_core[118] ; + wire \mgmt_buffers.la_oenb_core[119] ; + wire \mgmt_buffers.la_oenb_core[11] ; + wire \mgmt_buffers.la_oenb_core[120] ; + wire \mgmt_buffers.la_oenb_core[121] ; + wire \mgmt_buffers.la_oenb_core[122] ; + wire \mgmt_buffers.la_oenb_core[123] ; + wire \mgmt_buffers.la_oenb_core[124] ; + wire \mgmt_buffers.la_oenb_core[125] ; + wire \mgmt_buffers.la_oenb_core[126] ; + wire \mgmt_buffers.la_oenb_core[127] ; + wire \mgmt_buffers.la_oenb_core[12] ; + wire \mgmt_buffers.la_oenb_core[13] ; + wire \mgmt_buffers.la_oenb_core[14] ; + wire \mgmt_buffers.la_oenb_core[15] ; + wire \mgmt_buffers.la_oenb_core[16] ; + wire \mgmt_buffers.la_oenb_core[17] ; + wire \mgmt_buffers.la_oenb_core[18] ; + wire \mgmt_buffers.la_oenb_core[19] ; + wire \mgmt_buffers.la_oenb_core[1] ; + wire \mgmt_buffers.la_oenb_core[20] ; + wire \mgmt_buffers.la_oenb_core[21] ; + wire \mgmt_buffers.la_oenb_core[22] ; + wire \mgmt_buffers.la_oenb_core[23] ; + wire \mgmt_buffers.la_oenb_core[24] ; + wire \mgmt_buffers.la_oenb_core[25] ; + wire \mgmt_buffers.la_oenb_core[26] ; + wire \mgmt_buffers.la_oenb_core[27] ; + wire \mgmt_buffers.la_oenb_core[28] ; + wire \mgmt_buffers.la_oenb_core[29] ; + wire \mgmt_buffers.la_oenb_core[2] ; + wire \mgmt_buffers.la_oenb_core[30] ; + wire \mgmt_buffers.la_oenb_core[31] ; + wire \mgmt_buffers.la_oenb_core[32] ; + wire \mgmt_buffers.la_oenb_core[33] ; + wire \mgmt_buffers.la_oenb_core[34] ; + wire \mgmt_buffers.la_oenb_core[35] ; + wire \mgmt_buffers.la_oenb_core[36] ; + wire \mgmt_buffers.la_oenb_core[37] ; + wire \mgmt_buffers.la_oenb_core[38] ; + wire \mgmt_buffers.la_oenb_core[39] ; + wire \mgmt_buffers.la_oenb_core[3] ; + wire \mgmt_buffers.la_oenb_core[40] ; + wire \mgmt_buffers.la_oenb_core[41] ; + wire \mgmt_buffers.la_oenb_core[42] ; + wire \mgmt_buffers.la_oenb_core[43] ; + wire \mgmt_buffers.la_oenb_core[44] ; + wire \mgmt_buffers.la_oenb_core[45] ; + wire \mgmt_buffers.la_oenb_core[46] ; + wire \mgmt_buffers.la_oenb_core[47] ; + wire \mgmt_buffers.la_oenb_core[48] ; + wire \mgmt_buffers.la_oenb_core[49] ; + wire \mgmt_buffers.la_oenb_core[4] ; + wire \mgmt_buffers.la_oenb_core[50] ; + wire \mgmt_buffers.la_oenb_core[51] ; + wire \mgmt_buffers.la_oenb_core[52] ; + wire \mgmt_buffers.la_oenb_core[53] ; + wire \mgmt_buffers.la_oenb_core[54] ; + wire \mgmt_buffers.la_oenb_core[55] ; + wire \mgmt_buffers.la_oenb_core[56] ; + wire \mgmt_buffers.la_oenb_core[57] ; + wire \mgmt_buffers.la_oenb_core[58] ; + wire \mgmt_buffers.la_oenb_core[59] ; + wire \mgmt_buffers.la_oenb_core[5] ; + wire \mgmt_buffers.la_oenb_core[60] ; + wire \mgmt_buffers.la_oenb_core[61] ; + wire \mgmt_buffers.la_oenb_core[62] ; + wire \mgmt_buffers.la_oenb_core[63] ; + wire \mgmt_buffers.la_oenb_core[64] ; + wire \mgmt_buffers.la_oenb_core[65] ; + wire \mgmt_buffers.la_oenb_core[66] ; + wire \mgmt_buffers.la_oenb_core[67] ; + wire \mgmt_buffers.la_oenb_core[68] ; + wire \mgmt_buffers.la_oenb_core[69] ; + wire \mgmt_buffers.la_oenb_core[6] ; + wire \mgmt_buffers.la_oenb_core[70] ; + wire \mgmt_buffers.la_oenb_core[71] ; + wire \mgmt_buffers.la_oenb_core[72] ; + wire \mgmt_buffers.la_oenb_core[73] ; + wire \mgmt_buffers.la_oenb_core[74] ; + wire \mgmt_buffers.la_oenb_core[75] ; + wire \mgmt_buffers.la_oenb_core[76] ; + wire \mgmt_buffers.la_oenb_core[77] ; + wire \mgmt_buffers.la_oenb_core[78] ; + wire \mgmt_buffers.la_oenb_core[79] ; + wire \mgmt_buffers.la_oenb_core[7] ; + wire \mgmt_buffers.la_oenb_core[80] ; + wire \mgmt_buffers.la_oenb_core[81] ; + wire \mgmt_buffers.la_oenb_core[82] ; + wire \mgmt_buffers.la_oenb_core[83] ; + wire \mgmt_buffers.la_oenb_core[84] ; + wire \mgmt_buffers.la_oenb_core[85] ; + wire \mgmt_buffers.la_oenb_core[86] ; + wire \mgmt_buffers.la_oenb_core[87] ; + wire \mgmt_buffers.la_oenb_core[88] ; + wire \mgmt_buffers.la_oenb_core[89] ; + wire \mgmt_buffers.la_oenb_core[8] ; + wire \mgmt_buffers.la_oenb_core[90] ; + wire \mgmt_buffers.la_oenb_core[91] ; + wire \mgmt_buffers.la_oenb_core[92] ; + wire \mgmt_buffers.la_oenb_core[93] ; + wire \mgmt_buffers.la_oenb_core[94] ; + wire \mgmt_buffers.la_oenb_core[95] ; + wire \mgmt_buffers.la_oenb_core[96] ; + wire \mgmt_buffers.la_oenb_core[97] ; + wire \mgmt_buffers.la_oenb_core[98] ; + wire \mgmt_buffers.la_oenb_core[99] ; + wire \mgmt_buffers.la_oenb_core[9] ; + wire \mgmt_buffers.mprj2_logic1 ; + wire \mgmt_buffers.mprj2_vdd_logic1 ; + wire \mgmt_buffers.mprj_ack_i_core_bar ; + wire \mgmt_buffers.mprj_ack_i_user ; + wire \mgmt_buffers.mprj_adr_o_core[10] ; + wire \mgmt_buffers.mprj_adr_o_core[11] ; + wire \mgmt_buffers.mprj_adr_o_core[12] ; + wire \mgmt_buffers.mprj_adr_o_core[13] ; + wire \mgmt_buffers.mprj_adr_o_core[14] ; + wire \mgmt_buffers.mprj_adr_o_core[15] ; + wire \mgmt_buffers.mprj_adr_o_core[16] ; + wire \mgmt_buffers.mprj_adr_o_core[17] ; + wire \mgmt_buffers.mprj_adr_o_core[18] ; + wire \mgmt_buffers.mprj_adr_o_core[19] ; + wire \mgmt_buffers.mprj_adr_o_core[20] ; + wire \mgmt_buffers.mprj_adr_o_core[21] ; + wire \mgmt_buffers.mprj_adr_o_core[22] ; + wire \mgmt_buffers.mprj_adr_o_core[23] ; + wire \mgmt_buffers.mprj_adr_o_core[24] ; + wire \mgmt_buffers.mprj_adr_o_core[25] ; + wire \mgmt_buffers.mprj_adr_o_core[26] ; + wire \mgmt_buffers.mprj_adr_o_core[27] ; + wire \mgmt_buffers.mprj_adr_o_core[28] ; + wire \mgmt_buffers.mprj_adr_o_core[29] ; + wire \mgmt_buffers.mprj_adr_o_core[2] ; + wire \mgmt_buffers.mprj_adr_o_core[30] ; + wire \mgmt_buffers.mprj_adr_o_core[31] ; + wire \mgmt_buffers.mprj_adr_o_core[3] ; + wire \mgmt_buffers.mprj_adr_o_core[4] ; + wire \mgmt_buffers.mprj_adr_o_core[5] ; + wire \mgmt_buffers.mprj_adr_o_core[6] ; + wire \mgmt_buffers.mprj_adr_o_core[7] ; + wire \mgmt_buffers.mprj_adr_o_core[8] ; + wire \mgmt_buffers.mprj_adr_o_core[9] ; + wire \mgmt_buffers.mprj_adr_o_user[10] ; + wire \mgmt_buffers.mprj_adr_o_user[11] ; + wire \mgmt_buffers.mprj_adr_o_user[12] ; + wire \mgmt_buffers.mprj_adr_o_user[13] ; + wire \mgmt_buffers.mprj_adr_o_user[14] ; + wire \mgmt_buffers.mprj_adr_o_user[15] ; + wire \mgmt_buffers.mprj_adr_o_user[16] ; + wire \mgmt_buffers.mprj_adr_o_user[17] ; + wire \mgmt_buffers.mprj_adr_o_user[18] ; + wire \mgmt_buffers.mprj_adr_o_user[19] ; + wire \mgmt_buffers.mprj_adr_o_user[20] ; + wire \mgmt_buffers.mprj_adr_o_user[21] ; + wire \mgmt_buffers.mprj_adr_o_user[22] ; + wire \mgmt_buffers.mprj_adr_o_user[23] ; + wire \mgmt_buffers.mprj_adr_o_user[24] ; + wire \mgmt_buffers.mprj_adr_o_user[25] ; + wire \mgmt_buffers.mprj_adr_o_user[26] ; + wire \mgmt_buffers.mprj_adr_o_user[27] ; + wire \mgmt_buffers.mprj_adr_o_user[28] ; + wire \mgmt_buffers.mprj_adr_o_user[29] ; + wire \mgmt_buffers.mprj_adr_o_user[2] ; + wire \mgmt_buffers.mprj_adr_o_user[30] ; + wire \mgmt_buffers.mprj_adr_o_user[31] ; + wire \mgmt_buffers.mprj_adr_o_user[3] ; + wire \mgmt_buffers.mprj_adr_o_user[4] ; + wire \mgmt_buffers.mprj_adr_o_user[5] ; + wire \mgmt_buffers.mprj_adr_o_user[6] ; + wire \mgmt_buffers.mprj_adr_o_user[7] ; + wire \mgmt_buffers.mprj_adr_o_user[8] ; + wire \mgmt_buffers.mprj_adr_o_user[9] ; + wire \mgmt_buffers.mprj_cyc_o_user ; + wire \mgmt_buffers.mprj_dat_i_core_bar[0] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[10] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[11] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[12] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[13] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[14] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[15] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[16] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[17] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[18] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[19] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[1] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[20] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[21] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[22] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[23] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[24] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[25] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[26] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[27] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[28] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[29] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[2] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[30] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[31] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[3] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[4] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[5] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[6] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[7] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[8] ; + wire \mgmt_buffers.mprj_dat_i_core_bar[9] ; + wire \mgmt_buffers.mprj_dat_i_user[0] ; + wire \mgmt_buffers.mprj_dat_i_user[10] ; + wire \mgmt_buffers.mprj_dat_i_user[11] ; + wire \mgmt_buffers.mprj_dat_i_user[12] ; + wire \mgmt_buffers.mprj_dat_i_user[13] ; + wire \mgmt_buffers.mprj_dat_i_user[14] ; + wire \mgmt_buffers.mprj_dat_i_user[15] ; + wire \mgmt_buffers.mprj_dat_i_user[16] ; + wire \mgmt_buffers.mprj_dat_i_user[17] ; + wire \mgmt_buffers.mprj_dat_i_user[18] ; + wire \mgmt_buffers.mprj_dat_i_user[19] ; + wire \mgmt_buffers.mprj_dat_i_user[1] ; + wire \mgmt_buffers.mprj_dat_i_user[20] ; + wire \mgmt_buffers.mprj_dat_i_user[21] ; + wire \mgmt_buffers.mprj_dat_i_user[22] ; + wire \mgmt_buffers.mprj_dat_i_user[23] ; + wire \mgmt_buffers.mprj_dat_i_user[24] ; + wire \mgmt_buffers.mprj_dat_i_user[25] ; + wire \mgmt_buffers.mprj_dat_i_user[26] ; + wire \mgmt_buffers.mprj_dat_i_user[27] ; + wire \mgmt_buffers.mprj_dat_i_user[28] ; + wire \mgmt_buffers.mprj_dat_i_user[29] ; + wire \mgmt_buffers.mprj_dat_i_user[2] ; + wire \mgmt_buffers.mprj_dat_i_user[30] ; + wire \mgmt_buffers.mprj_dat_i_user[31] ; + wire \mgmt_buffers.mprj_dat_i_user[3] ; + wire \mgmt_buffers.mprj_dat_i_user[4] ; + wire \mgmt_buffers.mprj_dat_i_user[5] ; + wire \mgmt_buffers.mprj_dat_i_user[6] ; + wire \mgmt_buffers.mprj_dat_i_user[7] ; + wire \mgmt_buffers.mprj_dat_i_user[8] ; + wire \mgmt_buffers.mprj_dat_i_user[9] ; + wire \mgmt_buffers.mprj_dat_o_core[0] ; + wire \mgmt_buffers.mprj_dat_o_core[10] ; + wire \mgmt_buffers.mprj_dat_o_core[11] ; + wire \mgmt_buffers.mprj_dat_o_core[12] ; + wire \mgmt_buffers.mprj_dat_o_core[13] ; + wire \mgmt_buffers.mprj_dat_o_core[14] ; + wire \mgmt_buffers.mprj_dat_o_core[15] ; + wire \mgmt_buffers.mprj_dat_o_core[16] ; + wire \mgmt_buffers.mprj_dat_o_core[17] ; + wire \mgmt_buffers.mprj_dat_o_core[18] ; + wire \mgmt_buffers.mprj_dat_o_core[19] ; + wire \mgmt_buffers.mprj_dat_o_core[1] ; + wire \mgmt_buffers.mprj_dat_o_core[20] ; + wire \mgmt_buffers.mprj_dat_o_core[21] ; + wire \mgmt_buffers.mprj_dat_o_core[22] ; + wire \mgmt_buffers.mprj_dat_o_core[23] ; + wire \mgmt_buffers.mprj_dat_o_core[24] ; + wire \mgmt_buffers.mprj_dat_o_core[25] ; + wire \mgmt_buffers.mprj_dat_o_core[26] ; + wire \mgmt_buffers.mprj_dat_o_core[27] ; + wire \mgmt_buffers.mprj_dat_o_core[28] ; + wire \mgmt_buffers.mprj_dat_o_core[29] ; + wire \mgmt_buffers.mprj_dat_o_core[2] ; + wire \mgmt_buffers.mprj_dat_o_core[30] ; + wire \mgmt_buffers.mprj_dat_o_core[31] ; + wire \mgmt_buffers.mprj_dat_o_core[3] ; + wire \mgmt_buffers.mprj_dat_o_core[4] ; + wire \mgmt_buffers.mprj_dat_o_core[5] ; + wire \mgmt_buffers.mprj_dat_o_core[6] ; + wire \mgmt_buffers.mprj_dat_o_core[7] ; + wire \mgmt_buffers.mprj_dat_o_core[8] ; + wire \mgmt_buffers.mprj_dat_o_core[9] ; + wire \mgmt_buffers.mprj_dat_o_user[0] ; + wire \mgmt_buffers.mprj_dat_o_user[10] ; + wire \mgmt_buffers.mprj_dat_o_user[11] ; + wire \mgmt_buffers.mprj_dat_o_user[12] ; + wire \mgmt_buffers.mprj_dat_o_user[13] ; + wire \mgmt_buffers.mprj_dat_o_user[14] ; + wire \mgmt_buffers.mprj_dat_o_user[15] ; + wire \mgmt_buffers.mprj_dat_o_user[16] ; + wire \mgmt_buffers.mprj_dat_o_user[17] ; + wire \mgmt_buffers.mprj_dat_o_user[18] ; + wire \mgmt_buffers.mprj_dat_o_user[19] ; + wire \mgmt_buffers.mprj_dat_o_user[1] ; + wire \mgmt_buffers.mprj_dat_o_user[20] ; + wire \mgmt_buffers.mprj_dat_o_user[21] ; + wire \mgmt_buffers.mprj_dat_o_user[22] ; + wire \mgmt_buffers.mprj_dat_o_user[23] ; + wire \mgmt_buffers.mprj_dat_o_user[24] ; + wire \mgmt_buffers.mprj_dat_o_user[25] ; + wire \mgmt_buffers.mprj_dat_o_user[26] ; + wire \mgmt_buffers.mprj_dat_o_user[27] ; + wire \mgmt_buffers.mprj_dat_o_user[28] ; + wire \mgmt_buffers.mprj_dat_o_user[29] ; + wire \mgmt_buffers.mprj_dat_o_user[2] ; + wire \mgmt_buffers.mprj_dat_o_user[30] ; + wire \mgmt_buffers.mprj_dat_o_user[31] ; + wire \mgmt_buffers.mprj_dat_o_user[3] ; + wire \mgmt_buffers.mprj_dat_o_user[4] ; + wire \mgmt_buffers.mprj_dat_o_user[5] ; + wire \mgmt_buffers.mprj_dat_o_user[6] ; + wire \mgmt_buffers.mprj_dat_o_user[7] ; + wire \mgmt_buffers.mprj_dat_o_user[8] ; + wire \mgmt_buffers.mprj_dat_o_user[9] ; + wire \mgmt_buffers.mprj_logic1[0] ; + wire \mgmt_buffers.mprj_logic1[100] ; + wire \mgmt_buffers.mprj_logic1[101] ; + wire \mgmt_buffers.mprj_logic1[102] ; + wire \mgmt_buffers.mprj_logic1[103] ; + wire \mgmt_buffers.mprj_logic1[104] ; + wire \mgmt_buffers.mprj_logic1[105] ; + wire \mgmt_buffers.mprj_logic1[106] ; + wire \mgmt_buffers.mprj_logic1[107] ; + wire \mgmt_buffers.mprj_logic1[108] ; + wire \mgmt_buffers.mprj_logic1[109] ; + wire \mgmt_buffers.mprj_logic1[10] ; + wire \mgmt_buffers.mprj_logic1[110] ; + wire \mgmt_buffers.mprj_logic1[111] ; + wire \mgmt_buffers.mprj_logic1[112] ; + wire \mgmt_buffers.mprj_logic1[113] ; + wire \mgmt_buffers.mprj_logic1[114] ; + wire \mgmt_buffers.mprj_logic1[115] ; + wire \mgmt_buffers.mprj_logic1[116] ; + wire \mgmt_buffers.mprj_logic1[117] ; + wire \mgmt_buffers.mprj_logic1[118] ; + wire \mgmt_buffers.mprj_logic1[119] ; + wire \mgmt_buffers.mprj_logic1[11] ; + wire \mgmt_buffers.mprj_logic1[120] ; + wire \mgmt_buffers.mprj_logic1[121] ; + wire \mgmt_buffers.mprj_logic1[122] ; + wire \mgmt_buffers.mprj_logic1[123] ; + wire \mgmt_buffers.mprj_logic1[124] ; + wire \mgmt_buffers.mprj_logic1[125] ; + wire \mgmt_buffers.mprj_logic1[126] ; + wire \mgmt_buffers.mprj_logic1[127] ; + wire \mgmt_buffers.mprj_logic1[128] ; + wire \mgmt_buffers.mprj_logic1[129] ; + wire \mgmt_buffers.mprj_logic1[12] ; + wire \mgmt_buffers.mprj_logic1[130] ; + wire \mgmt_buffers.mprj_logic1[131] ; + wire \mgmt_buffers.mprj_logic1[132] ; + wire \mgmt_buffers.mprj_logic1[133] ; + wire \mgmt_buffers.mprj_logic1[134] ; + wire \mgmt_buffers.mprj_logic1[135] ; + wire \mgmt_buffers.mprj_logic1[136] ; + wire \mgmt_buffers.mprj_logic1[137] ; + wire \mgmt_buffers.mprj_logic1[138] ; + wire \mgmt_buffers.mprj_logic1[139] ; + wire \mgmt_buffers.mprj_logic1[13] ; + wire \mgmt_buffers.mprj_logic1[140] ; + wire \mgmt_buffers.mprj_logic1[141] ; + wire \mgmt_buffers.mprj_logic1[142] ; + wire \mgmt_buffers.mprj_logic1[143] ; + wire \mgmt_buffers.mprj_logic1[144] ; + wire \mgmt_buffers.mprj_logic1[145] ; + wire \mgmt_buffers.mprj_logic1[146] ; + wire \mgmt_buffers.mprj_logic1[147] ; + wire \mgmt_buffers.mprj_logic1[148] ; + wire \mgmt_buffers.mprj_logic1[149] ; + wire \mgmt_buffers.mprj_logic1[14] ; + wire \mgmt_buffers.mprj_logic1[150] ; + wire \mgmt_buffers.mprj_logic1[151] ; + wire \mgmt_buffers.mprj_logic1[152] ; + wire \mgmt_buffers.mprj_logic1[153] ; + wire \mgmt_buffers.mprj_logic1[154] ; + wire \mgmt_buffers.mprj_logic1[155] ; + wire \mgmt_buffers.mprj_logic1[156] ; + wire \mgmt_buffers.mprj_logic1[157] ; + wire \mgmt_buffers.mprj_logic1[158] ; + wire \mgmt_buffers.mprj_logic1[159] ; + wire \mgmt_buffers.mprj_logic1[15] ; + wire \mgmt_buffers.mprj_logic1[160] ; + wire \mgmt_buffers.mprj_logic1[161] ; + wire \mgmt_buffers.mprj_logic1[162] ; + wire \mgmt_buffers.mprj_logic1[163] ; + wire \mgmt_buffers.mprj_logic1[164] ; + wire \mgmt_buffers.mprj_logic1[165] ; + wire \mgmt_buffers.mprj_logic1[166] ; + wire \mgmt_buffers.mprj_logic1[167] ; + wire \mgmt_buffers.mprj_logic1[168] ; + wire \mgmt_buffers.mprj_logic1[169] ; + wire \mgmt_buffers.mprj_logic1[16] ; + wire \mgmt_buffers.mprj_logic1[170] ; + wire \mgmt_buffers.mprj_logic1[171] ; + wire \mgmt_buffers.mprj_logic1[172] ; + wire \mgmt_buffers.mprj_logic1[173] ; + wire \mgmt_buffers.mprj_logic1[174] ; + wire \mgmt_buffers.mprj_logic1[175] ; + wire \mgmt_buffers.mprj_logic1[176] ; + wire \mgmt_buffers.mprj_logic1[177] ; + wire \mgmt_buffers.mprj_logic1[178] ; + wire \mgmt_buffers.mprj_logic1[179] ; + wire \mgmt_buffers.mprj_logic1[17] ; + wire \mgmt_buffers.mprj_logic1[180] ; + wire \mgmt_buffers.mprj_logic1[181] ; + wire \mgmt_buffers.mprj_logic1[182] ; + wire \mgmt_buffers.mprj_logic1[183] ; + wire \mgmt_buffers.mprj_logic1[184] ; + wire \mgmt_buffers.mprj_logic1[185] ; + wire \mgmt_buffers.mprj_logic1[186] ; + wire \mgmt_buffers.mprj_logic1[187] ; + wire \mgmt_buffers.mprj_logic1[188] ; + wire \mgmt_buffers.mprj_logic1[189] ; + wire \mgmt_buffers.mprj_logic1[18] ; + wire \mgmt_buffers.mprj_logic1[190] ; + wire \mgmt_buffers.mprj_logic1[191] ; + wire \mgmt_buffers.mprj_logic1[192] ; + wire \mgmt_buffers.mprj_logic1[193] ; + wire \mgmt_buffers.mprj_logic1[194] ; + wire \mgmt_buffers.mprj_logic1[195] ; + wire \mgmt_buffers.mprj_logic1[196] ; + wire \mgmt_buffers.mprj_logic1[197] ; + wire \mgmt_buffers.mprj_logic1[198] ; + wire \mgmt_buffers.mprj_logic1[199] ; + wire \mgmt_buffers.mprj_logic1[19] ; + wire \mgmt_buffers.mprj_logic1[1] ; + wire \mgmt_buffers.mprj_logic1[200] ; + wire \mgmt_buffers.mprj_logic1[201] ; + wire \mgmt_buffers.mprj_logic1[202] ; + wire \mgmt_buffers.mprj_logic1[203] ; + wire \mgmt_buffers.mprj_logic1[204] ; + wire \mgmt_buffers.mprj_logic1[205] ; + wire \mgmt_buffers.mprj_logic1[206] ; + wire \mgmt_buffers.mprj_logic1[207] ; + wire \mgmt_buffers.mprj_logic1[208] ; + wire \mgmt_buffers.mprj_logic1[209] ; + wire \mgmt_buffers.mprj_logic1[20] ; + wire \mgmt_buffers.mprj_logic1[210] ; + wire \mgmt_buffers.mprj_logic1[211] ; + wire \mgmt_buffers.mprj_logic1[212] ; + wire \mgmt_buffers.mprj_logic1[213] ; + wire \mgmt_buffers.mprj_logic1[214] ; + wire \mgmt_buffers.mprj_logic1[215] ; + wire \mgmt_buffers.mprj_logic1[216] ; + wire \mgmt_buffers.mprj_logic1[217] ; + wire \mgmt_buffers.mprj_logic1[218] ; + wire \mgmt_buffers.mprj_logic1[219] ; + wire \mgmt_buffers.mprj_logic1[21] ; + wire \mgmt_buffers.mprj_logic1[220] ; + wire \mgmt_buffers.mprj_logic1[221] ; + wire \mgmt_buffers.mprj_logic1[222] ; + wire \mgmt_buffers.mprj_logic1[223] ; + wire \mgmt_buffers.mprj_logic1[224] ; + wire \mgmt_buffers.mprj_logic1[225] ; + wire \mgmt_buffers.mprj_logic1[226] ; + wire \mgmt_buffers.mprj_logic1[227] ; + wire \mgmt_buffers.mprj_logic1[228] ; + wire \mgmt_buffers.mprj_logic1[229] ; + wire \mgmt_buffers.mprj_logic1[22] ; + wire \mgmt_buffers.mprj_logic1[230] ; + wire \mgmt_buffers.mprj_logic1[231] ; + wire \mgmt_buffers.mprj_logic1[232] ; + wire \mgmt_buffers.mprj_logic1[233] ; + wire \mgmt_buffers.mprj_logic1[234] ; + wire \mgmt_buffers.mprj_logic1[235] ; + wire \mgmt_buffers.mprj_logic1[236] ; + wire \mgmt_buffers.mprj_logic1[237] ; + wire \mgmt_buffers.mprj_logic1[238] ; + wire \mgmt_buffers.mprj_logic1[239] ; + wire \mgmt_buffers.mprj_logic1[23] ; + wire \mgmt_buffers.mprj_logic1[240] ; + wire \mgmt_buffers.mprj_logic1[241] ; + wire \mgmt_buffers.mprj_logic1[242] ; + wire \mgmt_buffers.mprj_logic1[243] ; + wire \mgmt_buffers.mprj_logic1[244] ; + wire \mgmt_buffers.mprj_logic1[245] ; + wire \mgmt_buffers.mprj_logic1[246] ; + wire \mgmt_buffers.mprj_logic1[247] ; + wire \mgmt_buffers.mprj_logic1[248] ; + wire \mgmt_buffers.mprj_logic1[249] ; + wire \mgmt_buffers.mprj_logic1[24] ; + wire \mgmt_buffers.mprj_logic1[250] ; + wire \mgmt_buffers.mprj_logic1[251] ; + wire \mgmt_buffers.mprj_logic1[252] ; + wire \mgmt_buffers.mprj_logic1[253] ; + wire \mgmt_buffers.mprj_logic1[254] ; + wire \mgmt_buffers.mprj_logic1[255] ; + wire \mgmt_buffers.mprj_logic1[256] ; + wire \mgmt_buffers.mprj_logic1[257] ; + wire \mgmt_buffers.mprj_logic1[258] ; + wire \mgmt_buffers.mprj_logic1[259] ; + wire \mgmt_buffers.mprj_logic1[25] ; + wire \mgmt_buffers.mprj_logic1[260] ; + wire \mgmt_buffers.mprj_logic1[261] ; + wire \mgmt_buffers.mprj_logic1[262] ; + wire \mgmt_buffers.mprj_logic1[263] ; + wire \mgmt_buffers.mprj_logic1[264] ; + wire \mgmt_buffers.mprj_logic1[265] ; + wire \mgmt_buffers.mprj_logic1[266] ; + wire \mgmt_buffers.mprj_logic1[267] ; + wire \mgmt_buffers.mprj_logic1[268] ; + wire \mgmt_buffers.mprj_logic1[269] ; + wire \mgmt_buffers.mprj_logic1[26] ; + wire \mgmt_buffers.mprj_logic1[270] ; + wire \mgmt_buffers.mprj_logic1[271] ; + wire \mgmt_buffers.mprj_logic1[272] ; + wire \mgmt_buffers.mprj_logic1[273] ; + wire \mgmt_buffers.mprj_logic1[274] ; + wire \mgmt_buffers.mprj_logic1[275] ; + wire \mgmt_buffers.mprj_logic1[276] ; + wire \mgmt_buffers.mprj_logic1[277] ; + wire \mgmt_buffers.mprj_logic1[278] ; + wire \mgmt_buffers.mprj_logic1[279] ; + wire \mgmt_buffers.mprj_logic1[27] ; + wire \mgmt_buffers.mprj_logic1[280] ; + wire \mgmt_buffers.mprj_logic1[281] ; + wire \mgmt_buffers.mprj_logic1[282] ; + wire \mgmt_buffers.mprj_logic1[283] ; + wire \mgmt_buffers.mprj_logic1[284] ; + wire \mgmt_buffers.mprj_logic1[285] ; + wire \mgmt_buffers.mprj_logic1[286] ; + wire \mgmt_buffers.mprj_logic1[287] ; + wire \mgmt_buffers.mprj_logic1[288] ; + wire \mgmt_buffers.mprj_logic1[289] ; + wire \mgmt_buffers.mprj_logic1[28] ; + wire \mgmt_buffers.mprj_logic1[290] ; + wire \mgmt_buffers.mprj_logic1[291] ; + wire \mgmt_buffers.mprj_logic1[292] ; + wire \mgmt_buffers.mprj_logic1[293] ; + wire \mgmt_buffers.mprj_logic1[294] ; + wire \mgmt_buffers.mprj_logic1[295] ; + wire \mgmt_buffers.mprj_logic1[296] ; + wire \mgmt_buffers.mprj_logic1[297] ; + wire \mgmt_buffers.mprj_logic1[298] ; + wire \mgmt_buffers.mprj_logic1[299] ; + wire \mgmt_buffers.mprj_logic1[29] ; + wire \mgmt_buffers.mprj_logic1[2] ; + wire \mgmt_buffers.mprj_logic1[300] ; + wire \mgmt_buffers.mprj_logic1[301] ; + wire \mgmt_buffers.mprj_logic1[302] ; + wire \mgmt_buffers.mprj_logic1[303] ; + wire \mgmt_buffers.mprj_logic1[304] ; + wire \mgmt_buffers.mprj_logic1[305] ; + wire \mgmt_buffers.mprj_logic1[306] ; + wire \mgmt_buffers.mprj_logic1[307] ; + wire \mgmt_buffers.mprj_logic1[308] ; + wire \mgmt_buffers.mprj_logic1[309] ; + wire \mgmt_buffers.mprj_logic1[30] ; + wire \mgmt_buffers.mprj_logic1[310] ; + wire \mgmt_buffers.mprj_logic1[311] ; + wire \mgmt_buffers.mprj_logic1[312] ; + wire \mgmt_buffers.mprj_logic1[313] ; + wire \mgmt_buffers.mprj_logic1[314] ; + wire \mgmt_buffers.mprj_logic1[315] ; + wire \mgmt_buffers.mprj_logic1[316] ; + wire \mgmt_buffers.mprj_logic1[317] ; + wire \mgmt_buffers.mprj_logic1[318] ; + wire \mgmt_buffers.mprj_logic1[319] ; + wire \mgmt_buffers.mprj_logic1[31] ; + wire \mgmt_buffers.mprj_logic1[320] ; + wire \mgmt_buffers.mprj_logic1[321] ; + wire \mgmt_buffers.mprj_logic1[322] ; + wire \mgmt_buffers.mprj_logic1[323] ; + wire \mgmt_buffers.mprj_logic1[324] ; + wire \mgmt_buffers.mprj_logic1[325] ; + wire \mgmt_buffers.mprj_logic1[326] ; + wire \mgmt_buffers.mprj_logic1[327] ; + wire \mgmt_buffers.mprj_logic1[328] ; + wire \mgmt_buffers.mprj_logic1[329] ; + wire \mgmt_buffers.mprj_logic1[32] ; + wire \mgmt_buffers.mprj_logic1[330] ; + wire \mgmt_buffers.mprj_logic1[331] ; + wire \mgmt_buffers.mprj_logic1[332] ; + wire \mgmt_buffers.mprj_logic1[333] ; + wire \mgmt_buffers.mprj_logic1[334] ; + wire \mgmt_buffers.mprj_logic1[335] ; + wire \mgmt_buffers.mprj_logic1[336] ; + wire \mgmt_buffers.mprj_logic1[337] ; + wire \mgmt_buffers.mprj_logic1[338] ; + wire \mgmt_buffers.mprj_logic1[339] ; + wire \mgmt_buffers.mprj_logic1[33] ; + wire \mgmt_buffers.mprj_logic1[340] ; + wire \mgmt_buffers.mprj_logic1[341] ; + wire \mgmt_buffers.mprj_logic1[342] ; + wire \mgmt_buffers.mprj_logic1[343] ; + wire \mgmt_buffers.mprj_logic1[344] ; + wire \mgmt_buffers.mprj_logic1[345] ; + wire \mgmt_buffers.mprj_logic1[346] ; + wire \mgmt_buffers.mprj_logic1[347] ; + wire \mgmt_buffers.mprj_logic1[348] ; + wire \mgmt_buffers.mprj_logic1[349] ; + wire \mgmt_buffers.mprj_logic1[34] ; + wire \mgmt_buffers.mprj_logic1[350] ; + wire \mgmt_buffers.mprj_logic1[351] ; + wire \mgmt_buffers.mprj_logic1[352] ; + wire \mgmt_buffers.mprj_logic1[353] ; + wire \mgmt_buffers.mprj_logic1[354] ; + wire \mgmt_buffers.mprj_logic1[355] ; + wire \mgmt_buffers.mprj_logic1[356] ; + wire \mgmt_buffers.mprj_logic1[357] ; + wire \mgmt_buffers.mprj_logic1[358] ; + wire \mgmt_buffers.mprj_logic1[359] ; + wire \mgmt_buffers.mprj_logic1[35] ; + wire \mgmt_buffers.mprj_logic1[360] ; + wire \mgmt_buffers.mprj_logic1[361] ; + wire \mgmt_buffers.mprj_logic1[362] ; + wire \mgmt_buffers.mprj_logic1[363] ; + wire \mgmt_buffers.mprj_logic1[364] ; + wire \mgmt_buffers.mprj_logic1[365] ; + wire \mgmt_buffers.mprj_logic1[366] ; + wire \mgmt_buffers.mprj_logic1[367] ; + wire \mgmt_buffers.mprj_logic1[368] ; + wire \mgmt_buffers.mprj_logic1[369] ; + wire \mgmt_buffers.mprj_logic1[36] ; + wire \mgmt_buffers.mprj_logic1[370] ; + wire \mgmt_buffers.mprj_logic1[371] ; + wire \mgmt_buffers.mprj_logic1[372] ; + wire \mgmt_buffers.mprj_logic1[373] ; + wire \mgmt_buffers.mprj_logic1[374] ; + wire \mgmt_buffers.mprj_logic1[375] ; + wire \mgmt_buffers.mprj_logic1[376] ; + wire \mgmt_buffers.mprj_logic1[377] ; + wire \mgmt_buffers.mprj_logic1[378] ; + wire \mgmt_buffers.mprj_logic1[379] ; + wire \mgmt_buffers.mprj_logic1[37] ; + wire \mgmt_buffers.mprj_logic1[380] ; + wire \mgmt_buffers.mprj_logic1[381] ; + wire \mgmt_buffers.mprj_logic1[382] ; + wire \mgmt_buffers.mprj_logic1[383] ; + wire \mgmt_buffers.mprj_logic1[384] ; + wire \mgmt_buffers.mprj_logic1[385] ; + wire \mgmt_buffers.mprj_logic1[386] ; + wire \mgmt_buffers.mprj_logic1[387] ; + wire \mgmt_buffers.mprj_logic1[388] ; + wire \mgmt_buffers.mprj_logic1[389] ; + wire \mgmt_buffers.mprj_logic1[38] ; + wire \mgmt_buffers.mprj_logic1[390] ; + wire \mgmt_buffers.mprj_logic1[391] ; + wire \mgmt_buffers.mprj_logic1[392] ; + wire \mgmt_buffers.mprj_logic1[393] ; + wire \mgmt_buffers.mprj_logic1[394] ; + wire \mgmt_buffers.mprj_logic1[395] ; + wire \mgmt_buffers.mprj_logic1[396] ; + wire \mgmt_buffers.mprj_logic1[397] ; + wire \mgmt_buffers.mprj_logic1[398] ; + wire \mgmt_buffers.mprj_logic1[399] ; + wire \mgmt_buffers.mprj_logic1[39] ; + wire \mgmt_buffers.mprj_logic1[3] ; + wire \mgmt_buffers.mprj_logic1[400] ; + wire \mgmt_buffers.mprj_logic1[401] ; + wire \mgmt_buffers.mprj_logic1[402] ; + wire \mgmt_buffers.mprj_logic1[403] ; + wire \mgmt_buffers.mprj_logic1[404] ; + wire \mgmt_buffers.mprj_logic1[405] ; + wire \mgmt_buffers.mprj_logic1[406] ; + wire \mgmt_buffers.mprj_logic1[407] ; + wire \mgmt_buffers.mprj_logic1[408] ; + wire \mgmt_buffers.mprj_logic1[409] ; + wire \mgmt_buffers.mprj_logic1[40] ; + wire \mgmt_buffers.mprj_logic1[410] ; + wire \mgmt_buffers.mprj_logic1[411] ; + wire \mgmt_buffers.mprj_logic1[412] ; + wire \mgmt_buffers.mprj_logic1[413] ; + wire \mgmt_buffers.mprj_logic1[414] ; + wire \mgmt_buffers.mprj_logic1[415] ; + wire \mgmt_buffers.mprj_logic1[416] ; + wire \mgmt_buffers.mprj_logic1[417] ; + wire \mgmt_buffers.mprj_logic1[418] ; + wire \mgmt_buffers.mprj_logic1[419] ; + wire \mgmt_buffers.mprj_logic1[41] ; + wire \mgmt_buffers.mprj_logic1[420] ; + wire \mgmt_buffers.mprj_logic1[421] ; + wire \mgmt_buffers.mprj_logic1[422] ; + wire \mgmt_buffers.mprj_logic1[423] ; + wire \mgmt_buffers.mprj_logic1[424] ; + wire \mgmt_buffers.mprj_logic1[425] ; + wire \mgmt_buffers.mprj_logic1[426] ; + wire \mgmt_buffers.mprj_logic1[427] ; + wire \mgmt_buffers.mprj_logic1[428] ; + wire \mgmt_buffers.mprj_logic1[429] ; + wire \mgmt_buffers.mprj_logic1[42] ; + wire \mgmt_buffers.mprj_logic1[430] ; + wire \mgmt_buffers.mprj_logic1[431] ; + wire \mgmt_buffers.mprj_logic1[432] ; + wire \mgmt_buffers.mprj_logic1[433] ; + wire \mgmt_buffers.mprj_logic1[434] ; + wire \mgmt_buffers.mprj_logic1[435] ; + wire \mgmt_buffers.mprj_logic1[436] ; + wire \mgmt_buffers.mprj_logic1[437] ; + wire \mgmt_buffers.mprj_logic1[438] ; + wire \mgmt_buffers.mprj_logic1[439] ; + wire \mgmt_buffers.mprj_logic1[43] ; + wire \mgmt_buffers.mprj_logic1[440] ; + wire \mgmt_buffers.mprj_logic1[441] ; + wire \mgmt_buffers.mprj_logic1[442] ; + wire \mgmt_buffers.mprj_logic1[443] ; + wire \mgmt_buffers.mprj_logic1[444] ; + wire \mgmt_buffers.mprj_logic1[445] ; + wire \mgmt_buffers.mprj_logic1[446] ; + wire \mgmt_buffers.mprj_logic1[447] ; + wire \mgmt_buffers.mprj_logic1[448] ; + wire \mgmt_buffers.mprj_logic1[449] ; + wire \mgmt_buffers.mprj_logic1[44] ; + wire \mgmt_buffers.mprj_logic1[450] ; + wire \mgmt_buffers.mprj_logic1[451] ; + wire \mgmt_buffers.mprj_logic1[452] ; + wire \mgmt_buffers.mprj_logic1[453] ; + wire \mgmt_buffers.mprj_logic1[454] ; + wire \mgmt_buffers.mprj_logic1[455] ; + wire \mgmt_buffers.mprj_logic1[456] ; + wire \mgmt_buffers.mprj_logic1[457] ; + wire \mgmt_buffers.mprj_logic1[458] ; + wire \mgmt_buffers.mprj_logic1[459] ; + wire \mgmt_buffers.mprj_logic1[45] ; + wire \mgmt_buffers.mprj_logic1[460] ; + wire \mgmt_buffers.mprj_logic1[461] ; + wire \mgmt_buffers.mprj_logic1[462] ; + wire \mgmt_buffers.mprj_logic1[46] ; + wire \mgmt_buffers.mprj_logic1[47] ; + wire \mgmt_buffers.mprj_logic1[48] ; + wire \mgmt_buffers.mprj_logic1[49] ; + wire \mgmt_buffers.mprj_logic1[4] ; + wire \mgmt_buffers.mprj_logic1[50] ; + wire \mgmt_buffers.mprj_logic1[51] ; + wire \mgmt_buffers.mprj_logic1[52] ; + wire \mgmt_buffers.mprj_logic1[53] ; + wire \mgmt_buffers.mprj_logic1[54] ; + wire \mgmt_buffers.mprj_logic1[55] ; + wire \mgmt_buffers.mprj_logic1[56] ; + wire \mgmt_buffers.mprj_logic1[57] ; + wire \mgmt_buffers.mprj_logic1[58] ; + wire \mgmt_buffers.mprj_logic1[59] ; + wire \mgmt_buffers.mprj_logic1[5] ; + wire \mgmt_buffers.mprj_logic1[60] ; + wire \mgmt_buffers.mprj_logic1[61] ; + wire \mgmt_buffers.mprj_logic1[62] ; + wire \mgmt_buffers.mprj_logic1[63] ; + wire \mgmt_buffers.mprj_logic1[64] ; + wire \mgmt_buffers.mprj_logic1[65] ; + wire \mgmt_buffers.mprj_logic1[66] ; + wire \mgmt_buffers.mprj_logic1[67] ; + wire \mgmt_buffers.mprj_logic1[68] ; + wire \mgmt_buffers.mprj_logic1[69] ; + wire \mgmt_buffers.mprj_logic1[6] ; + wire \mgmt_buffers.mprj_logic1[70] ; + wire \mgmt_buffers.mprj_logic1[71] ; + wire \mgmt_buffers.mprj_logic1[72] ; + wire \mgmt_buffers.mprj_logic1[73] ; + wire \mgmt_buffers.mprj_logic1[74] ; + wire \mgmt_buffers.mprj_logic1[75] ; + wire \mgmt_buffers.mprj_logic1[76] ; + wire \mgmt_buffers.mprj_logic1[77] ; + wire \mgmt_buffers.mprj_logic1[78] ; + wire \mgmt_buffers.mprj_logic1[79] ; + wire \mgmt_buffers.mprj_logic1[7] ; + wire \mgmt_buffers.mprj_logic1[80] ; + wire \mgmt_buffers.mprj_logic1[81] ; + wire \mgmt_buffers.mprj_logic1[82] ; + wire \mgmt_buffers.mprj_logic1[83] ; + wire \mgmt_buffers.mprj_logic1[84] ; + wire \mgmt_buffers.mprj_logic1[85] ; + wire \mgmt_buffers.mprj_logic1[86] ; + wire \mgmt_buffers.mprj_logic1[87] ; + wire \mgmt_buffers.mprj_logic1[88] ; + wire \mgmt_buffers.mprj_logic1[89] ; + wire \mgmt_buffers.mprj_logic1[8] ; + wire \mgmt_buffers.mprj_logic1[90] ; + wire \mgmt_buffers.mprj_logic1[91] ; + wire \mgmt_buffers.mprj_logic1[92] ; + wire \mgmt_buffers.mprj_logic1[93] ; + wire \mgmt_buffers.mprj_logic1[94] ; + wire \mgmt_buffers.mprj_logic1[95] ; + wire \mgmt_buffers.mprj_logic1[96] ; + wire \mgmt_buffers.mprj_logic1[97] ; + wire \mgmt_buffers.mprj_logic1[98] ; + wire \mgmt_buffers.mprj_logic1[99] ; + wire \mgmt_buffers.mprj_logic1[9] ; + wire \mgmt_buffers.mprj_sel_o_core[0] ; + wire \mgmt_buffers.mprj_sel_o_core[1] ; + wire \mgmt_buffers.mprj_sel_o_core[2] ; + wire \mgmt_buffers.mprj_sel_o_core[3] ; + wire \mgmt_buffers.mprj_sel_o_user[0] ; + wire \mgmt_buffers.mprj_sel_o_user[1] ; + wire \mgmt_buffers.mprj_sel_o_user[2] ; + wire \mgmt_buffers.mprj_sel_o_user[3] ; + wire \mgmt_buffers.mprj_stb_o_core ; + wire \mgmt_buffers.mprj_stb_o_user ; + wire \mgmt_buffers.mprj_vdd_logic1 ; + wire \mgmt_buffers.mprj_we_o_core ; + wire \mgmt_buffers.mprj_we_o_user ; + wire \mgmt_buffers.user_clock ; + wire \mgmt_buffers.user_clock2 ; + wire \mgmt_buffers.user_irq[0] ; + wire \mgmt_buffers.user_irq[1] ; + wire \mgmt_buffers.user_irq[2] ; + wire \mgmt_buffers.user_irq_bar[0] ; + wire \mgmt_buffers.user_irq_bar[1] ; + wire \mgmt_buffers.user_irq_bar[2] ; + wire \mgmt_buffers.user_irq_core[0] ; + wire \mgmt_buffers.user_irq_core[1] ; + wire \mgmt_buffers.user_irq_core[2] ; + wire \mgmt_buffers.user_irq_enable[0] ; + wire \mgmt_buffers.user_irq_enable[1] ; + wire \mgmt_buffers.user_irq_enable[2] ; + wire \mgmt_buffers.user_reset ; + wire \mgmt_buffers.wb_in_enable ; + wire \mgmt_gpio_in_buf[0] ; + wire \mgmt_gpio_in_buf[10] ; + wire \mgmt_gpio_in_buf[11] ; + wire \mgmt_gpio_in_buf[12] ; + wire \mgmt_gpio_in_buf[13] ; + wire \mgmt_gpio_in_buf[14] ; + wire \mgmt_gpio_in_buf[15] ; + wire \mgmt_gpio_in_buf[16] ; + wire \mgmt_gpio_in_buf[17] ; + wire \mgmt_gpio_in_buf[18] ; + wire \mgmt_gpio_in_buf[1] ; + wire \mgmt_gpio_in_buf[2] ; + wire \mgmt_gpio_in_buf[3] ; + wire \mgmt_gpio_in_buf[4] ; + wire \mgmt_gpio_in_buf[5] ; + wire \mgmt_gpio_in_buf[6] ; + wire \mgmt_gpio_in_buf[7] ; + wire \mgmt_gpio_in_buf[8] ; + wire \mgmt_gpio_in_buf[9] ; + wire \mgmt_gpio_oeb_buf[0] ; + wire \mgmt_gpio_oeb_buf[1] ; + wire \mgmt_gpio_oeb_buf[2] ; + wire \mgmt_gpio_out_buf[0] ; + wire \mgmt_gpio_out_buf[10] ; + wire \mgmt_gpio_out_buf[11] ; + wire \mgmt_gpio_out_buf[12] ; + wire \mgmt_gpio_out_buf[13] ; + wire \mgmt_gpio_out_buf[14] ; + wire \mgmt_gpio_out_buf[15] ; + wire \mgmt_gpio_out_buf[16] ; + wire \mgmt_gpio_out_buf[17] ; + wire \mgmt_gpio_out_buf[18] ; + wire \mgmt_gpio_out_buf[1] ; + wire \mgmt_gpio_out_buf[2] ; + wire \mgmt_gpio_out_buf[3] ; + wire \mgmt_gpio_out_buf[4] ; + wire \mgmt_gpio_out_buf[5] ; + wire \mgmt_gpio_out_buf[6] ; + wire \mgmt_gpio_out_buf[7] ; + wire \mgmt_gpio_out_buf[8] ; + wire \mgmt_gpio_out_buf[9] ; + wire \mgmt_io_oeb_hk[0] ; + wire \mgmt_io_oeb_hk[10] ; + wire \mgmt_io_oeb_hk[11] ; + wire \mgmt_io_oeb_hk[12] ; + wire \mgmt_io_oeb_hk[13] ; + wire \mgmt_io_oeb_hk[14] ; + wire \mgmt_io_oeb_hk[15] ; + wire \mgmt_io_oeb_hk[16] ; + wire \mgmt_io_oeb_hk[17] ; + wire \mgmt_io_oeb_hk[18] ; + wire \mgmt_io_oeb_hk[19] ; + wire \mgmt_io_oeb_hk[1] ; + wire \mgmt_io_oeb_hk[20] ; + wire \mgmt_io_oeb_hk[21] ; + wire \mgmt_io_oeb_hk[22] ; + wire \mgmt_io_oeb_hk[23] ; + wire \mgmt_io_oeb_hk[24] ; + wire \mgmt_io_oeb_hk[25] ; + wire \mgmt_io_oeb_hk[26] ; + wire \mgmt_io_oeb_hk[27] ; + wire \mgmt_io_oeb_hk[28] ; + wire \mgmt_io_oeb_hk[29] ; + wire \mgmt_io_oeb_hk[2] ; + wire \mgmt_io_oeb_hk[30] ; + wire \mgmt_io_oeb_hk[31] ; + wire \mgmt_io_oeb_hk[32] ; + wire \mgmt_io_oeb_hk[33] ; + wire \mgmt_io_oeb_hk[34] ; + wire \mgmt_io_oeb_hk[35] ; + wire \mgmt_io_oeb_hk[36] ; + wire \mgmt_io_oeb_hk[37] ; + wire \mgmt_io_oeb_hk[3] ; + wire \mgmt_io_oeb_hk[4] ; + wire \mgmt_io_oeb_hk[5] ; + wire \mgmt_io_oeb_hk[6] ; + wire \mgmt_io_oeb_hk[7] ; + wire \mgmt_io_oeb_hk[8] ; + wire \mgmt_io_oeb_hk[9] ; + wire \mgmt_io_out_hk[0] ; + wire \mgmt_io_out_hk[10] ; + wire \mgmt_io_out_hk[11] ; + wire \mgmt_io_out_hk[12] ; + wire \mgmt_io_out_hk[13] ; + wire \mgmt_io_out_hk[14] ; + wire \mgmt_io_out_hk[15] ; + wire \mgmt_io_out_hk[16] ; + wire \mgmt_io_out_hk[17] ; + wire \mgmt_io_out_hk[18] ; + wire \mgmt_io_out_hk[19] ; + wire \mgmt_io_out_hk[1] ; + wire \mgmt_io_out_hk[20] ; + wire \mgmt_io_out_hk[21] ; + wire \mgmt_io_out_hk[22] ; + wire \mgmt_io_out_hk[23] ; + wire \mgmt_io_out_hk[24] ; + wire \mgmt_io_out_hk[25] ; + wire \mgmt_io_out_hk[26] ; + wire \mgmt_io_out_hk[27] ; + wire \mgmt_io_out_hk[28] ; + wire \mgmt_io_out_hk[29] ; + wire \mgmt_io_out_hk[2] ; + wire \mgmt_io_out_hk[30] ; + wire \mgmt_io_out_hk[31] ; + wire \mgmt_io_out_hk[32] ; + wire \mgmt_io_out_hk[33] ; + wire \mgmt_io_out_hk[34] ; + wire \mgmt_io_out_hk[35] ; + wire \mgmt_io_out_hk[36] ; + wire \mgmt_io_out_hk[37] ; + wire \mgmt_io_out_hk[3] ; + wire \mgmt_io_out_hk[4] ; + wire \mgmt_io_out_hk[5] ; + wire \mgmt_io_out_hk[6] ; + wire \mgmt_io_out_hk[7] ; + wire \mgmt_io_out_hk[8] ; + wire \mgmt_io_out_hk[9] ; + inout [28:0] mprj_analog_io; + wire [28:0] mprj_analog_io; + output [37:0] mprj_io_analog_en; + wire [37:0] mprj_io_analog_en; + output [37:0] mprj_io_analog_pol; + wire [37:0] mprj_io_analog_pol; + output [37:0] mprj_io_analog_sel; + wire [37:0] mprj_io_analog_sel; + output [113:0] mprj_io_dm; + wire [113:0] mprj_io_dm; + output [37:0] mprj_io_holdover; + wire [37:0] mprj_io_holdover; + output [37:0] mprj_io_ib_mode_sel; + wire [37:0] mprj_io_ib_mode_sel; + input [37:0] mprj_io_in; + wire [37:0] mprj_io_in; + output [37:0] mprj_io_inp_dis; + wire [37:0] mprj_io_inp_dis; + output [37:0] mprj_io_oeb; + wire [37:0] mprj_io_oeb; + output [37:0] mprj_io_one; + wire [37:0] mprj_io_one; + output [37:0] mprj_io_out; + wire [37:0] mprj_io_out; + output [37:0] mprj_io_slow_sel; + wire [37:0] mprj_io_slow_sel; + output [37:0] mprj_io_vtrip_sel; + wire [37:0] mprj_io_vtrip_sel; + wire \pll.clockp_buffer_in[0] ; + wire \pll.clockp_buffer_in[1] ; + wire \pll.dco ; + wire \pll.div[0] ; + wire \pll.div[1] ; + wire \pll.div[2] ; + wire \pll.div[3] ; + wire \pll.div[4] ; + wire \pll.enable ; + wire \pll.ext_trim[0] ; + wire \pll.ext_trim[10] ; + wire \pll.ext_trim[11] ; + wire \pll.ext_trim[12] ; + wire \pll.ext_trim[13] ; + wire \pll.ext_trim[14] ; + wire \pll.ext_trim[15] ; + wire \pll.ext_trim[16] ; + wire \pll.ext_trim[17] ; + wire \pll.ext_trim[18] ; + wire \pll.ext_trim[19] ; + wire \pll.ext_trim[1] ; + wire \pll.ext_trim[20] ; + wire \pll.ext_trim[21] ; + wire \pll.ext_trim[22] ; + wire \pll.ext_trim[23] ; + wire \pll.ext_trim[24] ; + wire \pll.ext_trim[25] ; + wire \pll.ext_trim[2] ; + wire \pll.ext_trim[3] ; + wire \pll.ext_trim[4] ; + wire \pll.ext_trim[5] ; + wire \pll.ext_trim[6] ; + wire \pll.ext_trim[7] ; + wire \pll.ext_trim[8] ; + wire \pll.ext_trim[9] ; + wire \pll.ireset ; + wire \pll.itrim[0] ; + wire \pll.itrim[10] ; + wire \pll.itrim[11] ; + wire \pll.itrim[12] ; + wire \pll.itrim[13] ; + wire \pll.itrim[14] ; + wire \pll.itrim[15] ; + wire \pll.itrim[16] ; + wire \pll.itrim[17] ; + wire \pll.itrim[18] ; + wire \pll.itrim[19] ; + wire \pll.itrim[1] ; + wire \pll.itrim[20] ; + wire \pll.itrim[21] ; + wire \pll.itrim[22] ; + wire \pll.itrim[23] ; + wire \pll.itrim[24] ; + wire \pll.itrim[25] ; + wire \pll.itrim[2] ; + wire \pll.itrim[3] ; + wire \pll.itrim[4] ; + wire \pll.itrim[5] ; + wire \pll.itrim[6] ; + wire \pll.itrim[7] ; + wire \pll.itrim[8] ; + wire \pll.itrim[9] ; + wire \pll.pll_control.count0[0] ; + wire \pll.pll_control.count0[1] ; + wire \pll.pll_control.count0[2] ; + wire \pll.pll_control.count0[3] ; + wire \pll.pll_control.count0[4] ; + wire \pll.pll_control.count1[0] ; + wire \pll.pll_control.count1[1] ; + wire \pll.pll_control.count1[2] ; + wire \pll.pll_control.count1[3] ; + wire \pll.pll_control.count1[4] ; + wire \pll.pll_control.oscbuf[0] ; + wire \pll.pll_control.oscbuf[1] ; + wire \pll.pll_control.oscbuf[2] ; + wire \pll.pll_control.prep[0] ; + wire \pll.pll_control.prep[1] ; + wire \pll.pll_control.prep[2] ; + wire \pll.pll_control.tint[0] ; + wire \pll.pll_control.tint[1] ; + wire \pll.pll_control.tint[2] ; + wire \pll.pll_control.tint[3] ; + wire \pll.pll_control.tint[4] ; + wire \pll.pll_control.tval[0] ; + wire \pll.pll_control.tval[1] ; + wire \pll.resetb ; + wire \pll.ringosc.c[0] ; + wire \pll.ringosc.c[1] ; + wire \pll.ringosc.dstage[0].id.d0 ; + wire \pll.ringosc.dstage[0].id.d1 ; + wire \pll.ringosc.dstage[0].id.d2 ; + wire \pll.ringosc.dstage[0].id.in ; + wire \pll.ringosc.dstage[0].id.out ; + wire \pll.ringosc.dstage[0].id.ts ; + wire \pll.ringosc.dstage[10].id.d0 ; + wire \pll.ringosc.dstage[10].id.d1 ; + wire \pll.ringosc.dstage[10].id.d2 ; + wire \pll.ringosc.dstage[10].id.in ; + wire \pll.ringosc.dstage[10].id.out ; + wire \pll.ringosc.dstage[10].id.ts ; + wire \pll.ringosc.dstage[11].id.d0 ; + wire \pll.ringosc.dstage[11].id.d1 ; + wire \pll.ringosc.dstage[11].id.d2 ; + wire \pll.ringosc.dstage[11].id.out ; + wire \pll.ringosc.dstage[11].id.ts ; + wire \pll.ringosc.dstage[1].id.d0 ; + wire \pll.ringosc.dstage[1].id.d1 ; + wire \pll.ringosc.dstage[1].id.d2 ; + wire \pll.ringosc.dstage[1].id.out ; + wire \pll.ringosc.dstage[1].id.ts ; + wire \pll.ringosc.dstage[2].id.d0 ; + wire \pll.ringosc.dstage[2].id.d1 ; + wire \pll.ringosc.dstage[2].id.d2 ; + wire \pll.ringosc.dstage[2].id.out ; + wire \pll.ringosc.dstage[2].id.ts ; + wire \pll.ringosc.dstage[3].id.d0 ; + wire \pll.ringosc.dstage[3].id.d1 ; + wire \pll.ringosc.dstage[3].id.d2 ; + wire \pll.ringosc.dstage[3].id.out ; + wire \pll.ringosc.dstage[3].id.ts ; + wire \pll.ringosc.dstage[4].id.d0 ; + wire \pll.ringosc.dstage[4].id.d1 ; + wire \pll.ringosc.dstage[4].id.d2 ; + wire \pll.ringosc.dstage[4].id.out ; + wire \pll.ringosc.dstage[4].id.ts ; + wire \pll.ringosc.dstage[5].id.d0 ; + wire \pll.ringosc.dstage[5].id.d1 ; + wire \pll.ringosc.dstage[5].id.d2 ; + wire \pll.ringosc.dstage[5].id.out ; + wire \pll.ringosc.dstage[5].id.ts ; + wire \pll.ringosc.dstage[6].id.d0 ; + wire \pll.ringosc.dstage[6].id.d1 ; + wire \pll.ringosc.dstage[6].id.d2 ; + wire \pll.ringosc.dstage[6].id.out ; + wire \pll.ringosc.dstage[6].id.ts ; + wire \pll.ringosc.dstage[7].id.d0 ; + wire \pll.ringosc.dstage[7].id.d1 ; + wire \pll.ringosc.dstage[7].id.d2 ; + wire \pll.ringosc.dstage[7].id.out ; + wire \pll.ringosc.dstage[7].id.ts ; + wire \pll.ringosc.dstage[8].id.d0 ; + wire \pll.ringosc.dstage[8].id.d1 ; + wire \pll.ringosc.dstage[8].id.d2 ; + wire \pll.ringosc.dstage[8].id.out ; + wire \pll.ringosc.dstage[8].id.ts ; + wire \pll.ringosc.dstage[9].id.d0 ; + wire \pll.ringosc.dstage[9].id.d1 ; + wire \pll.ringosc.dstage[9].id.d2 ; + wire \pll.ringosc.dstage[9].id.ts ; + wire \pll.ringosc.iss.ctrl0 ; + wire \pll.ringosc.iss.d0 ; + wire \pll.ringosc.iss.d1 ; + wire \pll.ringosc.iss.d2 ; + wire \pll.ringosc.iss.one ; + wire pll_clk; + wire pll_clk90; + output por_l; + wire por_l; + output porb_h; + wire porb_h; + wire porb_l; + wire \pwr_ctrl_nc[0] ; + wire \pwr_ctrl_nc[1] ; + wire \pwr_ctrl_nc[2] ; + wire \pwr_ctrl_nc[3] ; + input rstb_h; + wire rstb_h; + wire \soc.core.RAM256.Do0_pre[0][0] ; + wire \soc.core.RAM256.Do0_pre[0][10] ; + wire \soc.core.RAM256.Do0_pre[0][11] ; + wire \soc.core.RAM256.Do0_pre[0][12] ; + wire \soc.core.RAM256.Do0_pre[0][13] ; + wire \soc.core.RAM256.Do0_pre[0][14] ; + wire \soc.core.RAM256.Do0_pre[0][15] ; + wire \soc.core.RAM256.Do0_pre[0][16] ; + wire \soc.core.RAM256.Do0_pre[0][17] ; + wire \soc.core.RAM256.Do0_pre[0][18] ; + wire \soc.core.RAM256.Do0_pre[0][19] ; + wire \soc.core.RAM256.Do0_pre[0][1] ; + wire \soc.core.RAM256.Do0_pre[0][20] ; + wire \soc.core.RAM256.Do0_pre[0][21] ; + wire \soc.core.RAM256.Do0_pre[0][22] ; + wire \soc.core.RAM256.Do0_pre[0][23] ; + wire \soc.core.RAM256.Do0_pre[0][24] ; + wire \soc.core.RAM256.Do0_pre[0][25] ; + wire \soc.core.RAM256.Do0_pre[0][26] ; + wire \soc.core.RAM256.Do0_pre[0][27] ; + wire \soc.core.RAM256.Do0_pre[0][28] ; + wire \soc.core.RAM256.Do0_pre[0][29] ; + wire \soc.core.RAM256.Do0_pre[0][2] ; + wire \soc.core.RAM256.Do0_pre[0][30] ; + wire \soc.core.RAM256.Do0_pre[0][31] ; + wire \soc.core.RAM256.Do0_pre[0][3] ; + wire \soc.core.RAM256.Do0_pre[0][4] ; + wire \soc.core.RAM256.Do0_pre[0][5] ; + wire \soc.core.RAM256.Do0_pre[0][6] ; + wire \soc.core.RAM256.Do0_pre[0][7] ; + wire \soc.core.RAM256.Do0_pre[0][8] ; + wire \soc.core.RAM256.Do0_pre[0][9] ; + wire \soc.core.RAM256.Do0_pre[1][0] ; + wire \soc.core.RAM256.Do0_pre[1][10] ; + wire \soc.core.RAM256.Do0_pre[1][11] ; + wire \soc.core.RAM256.Do0_pre[1][12] ; + wire \soc.core.RAM256.Do0_pre[1][13] ; + wire \soc.core.RAM256.Do0_pre[1][14] ; + wire \soc.core.RAM256.Do0_pre[1][15] ; + wire \soc.core.RAM256.Do0_pre[1][16] ; + wire \soc.core.RAM256.Do0_pre[1][17] ; + wire \soc.core.RAM256.Do0_pre[1][18] ; + wire \soc.core.RAM256.Do0_pre[1][19] ; + wire \soc.core.RAM256.Do0_pre[1][1] ; + wire \soc.core.RAM256.Do0_pre[1][20] ; + wire \soc.core.RAM256.Do0_pre[1][21] ; + wire \soc.core.RAM256.Do0_pre[1][22] ; + wire \soc.core.RAM256.Do0_pre[1][23] ; + wire \soc.core.RAM256.Do0_pre[1][24] ; + wire \soc.core.RAM256.Do0_pre[1][25] ; + wire \soc.core.RAM256.Do0_pre[1][26] ; + wire \soc.core.RAM256.Do0_pre[1][27] ; + wire \soc.core.RAM256.Do0_pre[1][28] ; + wire \soc.core.RAM256.Do0_pre[1][29] ; + wire \soc.core.RAM256.Do0_pre[1][2] ; + wire \soc.core.RAM256.Do0_pre[1][30] ; + wire \soc.core.RAM256.Do0_pre[1][31] ; + wire \soc.core.RAM256.Do0_pre[1][3] ; + wire \soc.core.RAM256.Do0_pre[1][4] ; + wire \soc.core.RAM256.Do0_pre[1][5] ; + wire \soc.core.RAM256.Do0_pre[1][6] ; + wire \soc.core.RAM256.Do0_pre[1][7] ; + wire \soc.core.RAM256.Do0_pre[1][8] ; + wire \soc.core.RAM256.Do0_pre[1][9] ; + wire \soc.core.RAM256.SEL0[0] ; + wire \soc.core.RAM256.SEL0[1] ; + wire \soc.core.RAM256.WE0[0] ; + wire \soc.core.RAM256.WE0[1] ; + wire \soc.core.RAM256.WE0[2] ; + wire \soc.core.RAM256.WE0[3] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[10] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[11] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[12] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[13] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[14] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[15] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[16] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[17] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[18] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[19] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[1] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[20] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[21] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[22] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[23] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[24] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[25] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[26] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[27] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[28] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[29] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[2] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[30] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[31] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[3] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[4] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[5] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[6] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[7] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[8] ; + wire \soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[9] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[0] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[10] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[11] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[12] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[13] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[14] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[15] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[16] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[17] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[18] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[19] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[1] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[20] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[21] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[22] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[23] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[24] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[25] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[26] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[27] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[28] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[29] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[2] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[30] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[31] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[3] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[4] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[5] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[6] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[7] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[8] ; + wire \soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[9] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPendings_0 ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPendings_1 ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPendings_2 ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[0] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[10] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[11] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[12] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[13] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[14] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[15] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[16] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[17] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[18] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[19] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[1] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[20] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[21] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[22] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[23] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[24] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[25] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[26] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[27] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[28] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[29] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[2] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[30] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[31] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[3] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[4] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[5] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[6] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[7] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[8] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[9] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[0] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[1] ; + wire \soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[2] ; + wire \soc.core.VexRiscv.CsrPlugin_hadException ; + wire \soc.core.VexRiscv.CsrPlugin_interrupt_code[3] ; + wire \soc.core.VexRiscv.CsrPlugin_interrupt_valid ; + wire \soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[0] ; + wire \soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[1] ; + wire \soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[2] ; + wire \soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[3] ; + wire \soc.core.VexRiscv.CsrPlugin_mcause_interrupt ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[0] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[10] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[11] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[12] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[13] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[14] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[15] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[16] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[17] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[18] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[19] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[1] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[20] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[21] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[22] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[23] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[24] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[25] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[26] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[27] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[28] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[29] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[2] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[30] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[31] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[3] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[4] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[5] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[6] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[7] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[8] ; + wire \soc.core.VexRiscv.CsrPlugin_mepc[9] ; + wire \soc.core.VexRiscv.CsrPlugin_mie_MEIE ; + wire \soc.core.VexRiscv.CsrPlugin_mie_MSIE ; + wire \soc.core.VexRiscv.CsrPlugin_mie_MTIE ; + wire \soc.core.VexRiscv.CsrPlugin_mip_MEIP ; + wire \soc.core.VexRiscv.CsrPlugin_mip_MSIP ; + wire \soc.core.VexRiscv.CsrPlugin_mstatus_MIE ; + wire \soc.core.VexRiscv.CsrPlugin_mstatus_MPIE ; + wire \soc.core.VexRiscv.CsrPlugin_mstatus_MPP[0] ; + wire \soc.core.VexRiscv.CsrPlugin_mstatus_MPP[1] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[0] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[10] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[11] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[12] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[13] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[14] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[15] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[16] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[17] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[18] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[19] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[1] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[20] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[21] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[22] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[23] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[24] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[25] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[26] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[27] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[28] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[29] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[2] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[30] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[31] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[3] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[4] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[5] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[6] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[7] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[8] ; + wire \soc.core.VexRiscv.CsrPlugin_mtval[9] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[0] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[10] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[11] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[12] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[13] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[14] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[15] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[16] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[17] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[18] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[19] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[1] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[20] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[21] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[22] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[23] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[24] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[25] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[26] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[27] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[28] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[29] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[2] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[3] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[4] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[5] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[6] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[7] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[8] ; + wire \soc.core.VexRiscv.CsrPlugin_mtvec_base[9] ; + wire \soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_0 ; + wire \soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_1 ; + wire \soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_2 ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[0] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[10] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[15] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[16] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[17] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[18] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[19] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[1] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[21] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[22] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[23] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[24] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[27] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[2] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[3] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[4] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[5] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[6] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ; + wire \soc.core.VexRiscv.CsrPlugin_selfException_valid ; + wire \soc.core.VexRiscv.CsrPlugin_trapCause[3] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[0] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[10] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[11] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[12] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[13] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[14] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[15] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[16] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[17] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[18] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[19] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[1] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[20] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[21] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[22] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[23] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[24] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[25] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[26] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[27] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[28] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[29] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[2] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[30] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[31] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[3] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[4] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[5] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[6] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[7] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[8] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[9] ; + wire \soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[0] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[10] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[11] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[12] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[13] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[14] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[15] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[16] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[17] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[18] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[19] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[1] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[20] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[21] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[22] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[23] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[24] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[25] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[26] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[27] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[28] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[29] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[2] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[30] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[31] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[3] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[4] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[5] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[6] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[7] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[8] ; + wire \soc.core.VexRiscv.DebugPlugin_busReadDataReg[9] ; + wire \soc.core.VexRiscv.DebugPlugin_debugUsed ; + wire \soc.core.VexRiscv.DebugPlugin_disableEbreak ; + wire \soc.core.VexRiscv.DebugPlugin_godmode ; + wire \soc.core.VexRiscv.DebugPlugin_haltIt ; + wire \soc.core.VexRiscv.DebugPlugin_haltedByBreak ; + wire \soc.core.VexRiscv.DebugPlugin_isPipBusy ; + wire \soc.core.VexRiscv.DebugPlugin_resetIt ; + wire \soc.core.VexRiscv.DebugPlugin_resetIt_regNext ; + wire \soc.core.VexRiscv.DebugPlugin_stepIt ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[0] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[1] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[2] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[3] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[4] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_valid ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ; + wire \soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_valid ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_InstructionCache_l342 ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_hit_valid ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isValid ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_isIoAccess ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[28] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[29] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[30] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[31] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_cmdSent ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushPending ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_valid ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.reset ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][0] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][10] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][11] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][12] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][13] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][14] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][15] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][16] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][17] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][18] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][19] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][20] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][21] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][22] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][24] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][25] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][26] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][2] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][3] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][4] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][5] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][6] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][7] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][8] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][9] ; + wire \soc.core.VexRiscv.IBusCachedPlugin_fetchPc_booted ; + wire \soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[0][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[10][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[11][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[12][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[13][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[14][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[15][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[16][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[17][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[18][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[19][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[1][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[20][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[21][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[22][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[23][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[24][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[25][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[26][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[27][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[28][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[29][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[2][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[30][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[31][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[3][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[4][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[5][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[6][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[7][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[8][9] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][0] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][10] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][11] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][12] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][13] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][14] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][15] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][16] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][17] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][18] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][19] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][1] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][20] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][21] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][22] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][23] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][24] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][25] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][26] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][27] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][28] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][29] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][2] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][30] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][31] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][3] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][4] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][5] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][6] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][7] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][8] ; + wire \soc.core.VexRiscv.RegFilePlugin_regFile[9][9] ; + wire \soc.core.VexRiscv._zz_2 ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[0] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[10] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[11] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[12] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[13] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[14] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[15] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[16] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[17] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[18] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[19] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[1] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[20] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[21] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[22] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[23] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[24] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[25] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[26] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[27] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[28] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[29] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[2] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[30] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[31] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[3] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[4] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[5] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[6] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[7] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[8] ; + wire \soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[9] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[0] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[10] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[11] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[12] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[13] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[14] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[15] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[16] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[17] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[18] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[19] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[1] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[20] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[21] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[22] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[23] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[24] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[25] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[26] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[27] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[28] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[29] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[2] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[30] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[31] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[3] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[4] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[5] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[6] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[7] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[8] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[9] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[0] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[10] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[11] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[12] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[13] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[14] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[15] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[16] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[17] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[18] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[19] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[1] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[20] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[21] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[22] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[23] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[24] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[25] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[26] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[27] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[28] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[29] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[2] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[30] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[31] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[3] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[4] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[5] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[6] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[7] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[8] ; + wire \soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[9] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[0] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[10] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[11] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[12] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[13] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[14] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[15] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[16] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[17] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[18] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[19] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[20] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[21] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[22] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[23] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[24] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[25] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[26] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[27] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[28] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[29] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[2] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[30] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[31] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[3] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[4] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[5] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[6] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[7] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[8] ; + wire \soc.core.VexRiscv._zz_dBus_cmd_payload_data[9] ; + wire \soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ; + wire \soc.core.VexRiscv._zz_execute_ALU_CTRL[0] ; + wire \soc.core.VexRiscv._zz_execute_ALU_CTRL[1] ; + wire \soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ; + wire \soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ; + wire \soc.core.VexRiscv._zz_execute_BRANCH_DO_1 ; + wire \soc.core.VexRiscv._zz_execute_ENV_CTRL[0] ; + wire \soc.core.VexRiscv._zz_execute_ENV_CTRL[1] ; + wire \soc.core.VexRiscv._zz_execute_SHIFT_CTRL[0] ; + wire \soc.core.VexRiscv._zz_execute_SHIFT_CTRL[1] ; + wire \soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ; + wire \soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[10] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[11] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[12] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[13] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[14] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[15] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[16] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[17] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[18] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[19] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[20] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[21] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[22] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[23] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[24] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[25] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[26] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[27] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[28] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[29] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[2] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[30] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[31] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[3] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[4] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[5] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[6] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[7] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[8] ; + wire \soc.core.VexRiscv._zz_execute_SRC2[9] ; + wire \soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ; + wire \soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[0] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[10] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[11] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[12] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[13] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[14] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[15] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[16] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[17] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[18] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[19] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[1] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[20] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[21] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[22] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[23] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[24] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[25] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[26] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[27] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[28] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[29] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[2] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[30] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[31] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[3] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[4] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[5] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[6] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[7] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[8] ; + wire \soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[9] ; + wire \soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ; + wire \soc.core.VexRiscv._zz_iBusWishbone_ADR[1] ; + wire \soc.core.VexRiscv._zz_iBusWishbone_ADR[2] ; + wire \soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[12] ; + wire \soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[13] ; + wire \soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[14] ; + wire \soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[28] ; + wire \soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[29] ; + wire \soc.core.VexRiscv._zz_lastStageRegFileWrite_valid ; + wire \soc.core.VexRiscv._zz_memory_ENV_CTRL[0] ; + wire \soc.core.VexRiscv._zz_memory_ENV_CTRL[1] ; + wire \soc.core.VexRiscv._zz_when_DebugPlugin_l244 ; + wire \soc.core.VexRiscv._zz_writeBack_ENV_CTRL[0] ; + wire \soc.core.VexRiscv._zz_writeBack_ENV_CTRL[1] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[0] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[10] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[11] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[12] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[13] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[14] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[15] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[16] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[17] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[18] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[19] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[1] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[20] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[21] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[22] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[23] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[24] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[25] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[26] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[27] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[28] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[29] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[2] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[3] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[4] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[5] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[6] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[7] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[8] ; + wire \soc.core.VexRiscv.dBusWishbone_ADR[9] ; + wire \soc.core.VexRiscv.dBusWishbone_CYC ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[0] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[10] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[11] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[12] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[13] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[14] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[15] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[16] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[17] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[18] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[19] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[1] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[20] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[21] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[22] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[23] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[24] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[25] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[26] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[27] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[28] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[29] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[2] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[30] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[31] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[3] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[4] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[5] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[6] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[7] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[8] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MISO[9] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[0] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[10] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[11] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[12] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[13] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[14] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[15] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[16] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[17] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[18] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[19] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[1] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[20] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[21] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[22] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[23] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[24] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[25] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[26] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[27] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[28] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[29] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[2] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[30] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[31] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[3] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[4] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[5] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[6] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[7] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[8] ; + wire \soc.core.VexRiscv.dBusWishbone_DAT_MOSI[9] ; + wire \soc.core.VexRiscv.dBusWishbone_WE ; + wire \soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[0] ; + wire \soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[1] ; + wire \soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[0] ; + wire \soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[1] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[0] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[10] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[11] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[12] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[13] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[14] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[15] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[16] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[17] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[18] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[19] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[1] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[20] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[21] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[22] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[23] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[24] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[25] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[26] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[27] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[28] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[29] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[2] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[30] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[31] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[3] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[4] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[5] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[6] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[7] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[8] ; + wire \soc.core.VexRiscv.dBus_cmd_payload_address[9] ; + wire \soc.core.VexRiscv.debug_bus_rsp_data[0] ; + wire \soc.core.VexRiscv.debug_bus_rsp_data[1] ; + wire \soc.core.VexRiscv.debug_bus_rsp_data[2] ; + wire \soc.core.VexRiscv.debug_bus_rsp_data[3] ; + wire \soc.core.VexRiscv.debug_bus_rsp_data[4] ; + wire \soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ; + wire \soc.core.VexRiscv.decode_to_execute_DO_EBREAK ; + wire \soc.core.VexRiscv.decode_to_execute_IS_CSR ; + wire \soc.core.VexRiscv.decode_to_execute_MEMORY_ENABLE ; + wire \soc.core.VexRiscv.decode_to_execute_REGFILE_WRITE_VALID ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[0] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[10] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[11] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[12] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[13] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[14] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[15] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[16] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[17] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[18] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[19] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[1] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[20] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[21] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[22] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[23] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[24] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[25] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[26] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[27] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[28] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[29] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[2] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[30] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[31] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[3] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[4] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[5] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[6] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[7] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[8] ; + wire \soc.core.VexRiscv.decode_to_execute_RS1[9] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[10] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[11] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[12] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[13] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[14] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[15] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[16] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[17] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[18] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[19] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[20] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[21] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[22] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[23] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[24] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[25] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[26] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[27] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[28] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[29] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[30] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[31] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[8] ; + wire \soc.core.VexRiscv.decode_to_execute_RS2[9] ; + wire \soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ; + wire \soc.core.VexRiscv.decode_to_execute_SRC_LESS_UNSIGNED ; + wire \soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_768 ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_772 ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_773 ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_833 ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_834 ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_835 ; + wire \soc.core.VexRiscv.execute_CsrPlugin_csr_836 ; + wire \soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[0] ; + wire \soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[1] ; + wire \soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[2] ; + wire \soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[3] ; + wire \soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[4] ; + wire \soc.core.VexRiscv.execute_LightShifterPlugin_isActive ; + wire \soc.core.VexRiscv.execute_arbitration_haltByOther ; + wire \soc.core.VexRiscv.execute_arbitration_isStuck ; + wire \soc.core.VexRiscv.execute_arbitration_isValid ; + wire \soc.core.VexRiscv.execute_to_memory_ALIGNEMENT_FAULT ; + wire \soc.core.VexRiscv.execute_to_memory_BRANCH_DO ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[10] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[11] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[12] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[13] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[14] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[28] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[29] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[5] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[7] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[8] ; + wire \soc.core.VexRiscv.execute_to_memory_INSTRUCTION[9] ; + wire \soc.core.VexRiscv.execute_to_memory_MEMORY_ADDRESS_LOW[0] ; + wire \soc.core.VexRiscv.execute_to_memory_MEMORY_ADDRESS_LOW[1] ; + wire \soc.core.VexRiscv.execute_to_memory_MEMORY_ENABLE ; + wire \soc.core.VexRiscv.execute_to_memory_PC[10] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[11] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[12] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[13] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[14] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[15] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[16] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[17] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[18] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[19] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[20] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[21] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[22] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[23] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[24] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[25] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[26] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[27] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[28] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[29] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[2] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[30] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[31] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[3] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[4] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[5] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[6] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[7] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[8] ; + wire \soc.core.VexRiscv.execute_to_memory_PC[9] ; + wire \soc.core.VexRiscv.execute_to_memory_REGFILE_WRITE_VALID ; + wire \soc.core.VexRiscv.externalInterrupt ; + wire \soc.core.VexRiscv.externalInterruptArray_regNext[0] ; + wire \soc.core.VexRiscv.externalInterruptArray_regNext[1] ; + wire \soc.core.VexRiscv.externalInterruptArray_regNext[2] ; + wire \soc.core.VexRiscv.externalInterruptArray_regNext[3] ; + wire \soc.core.VexRiscv.externalInterruptArray_regNext[4] ; + wire \soc.core.VexRiscv.externalInterruptArray_regNext[5] ; + wire \soc.core.VexRiscv.externalInterruptArray_regNext[6] ; + wire \soc.core.VexRiscv.externalInterruptArray_regNext[7] ; + wire \soc.core.VexRiscv.lastStageIsFiring ; + wire \soc.core.VexRiscv.lastStagePc[10] ; + wire \soc.core.VexRiscv.lastStagePc[11] ; + wire \soc.core.VexRiscv.lastStagePc[12] ; + wire \soc.core.VexRiscv.lastStagePc[13] ; + wire \soc.core.VexRiscv.lastStagePc[14] ; + wire \soc.core.VexRiscv.lastStagePc[15] ; + wire \soc.core.VexRiscv.lastStagePc[16] ; + wire \soc.core.VexRiscv.lastStagePc[17] ; + wire \soc.core.VexRiscv.lastStagePc[18] ; + wire \soc.core.VexRiscv.lastStagePc[19] ; + wire \soc.core.VexRiscv.lastStagePc[20] ; + wire \soc.core.VexRiscv.lastStagePc[21] ; + wire \soc.core.VexRiscv.lastStagePc[22] ; + wire \soc.core.VexRiscv.lastStagePc[23] ; + wire \soc.core.VexRiscv.lastStagePc[24] ; + wire \soc.core.VexRiscv.lastStagePc[25] ; + wire \soc.core.VexRiscv.lastStagePc[26] ; + wire \soc.core.VexRiscv.lastStagePc[27] ; + wire \soc.core.VexRiscv.lastStagePc[28] ; + wire \soc.core.VexRiscv.lastStagePc[29] ; + wire \soc.core.VexRiscv.lastStagePc[2] ; + wire \soc.core.VexRiscv.lastStagePc[30] ; + wire \soc.core.VexRiscv.lastStagePc[31] ; + wire \soc.core.VexRiscv.lastStagePc[3] ; + wire \soc.core.VexRiscv.lastStagePc[4] ; + wire \soc.core.VexRiscv.lastStagePc[5] ; + wire \soc.core.VexRiscv.lastStagePc[6] ; + wire \soc.core.VexRiscv.lastStagePc[7] ; + wire \soc.core.VexRiscv.lastStagePc[8] ; + wire \soc.core.VexRiscv.lastStagePc[9] ; + wire \soc.core.VexRiscv.memory_arbitration_haltItself ; + wire \soc.core.VexRiscv.memory_arbitration_isValid ; + wire \soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ; + wire \soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ; + wire \soc.core.VexRiscv.memory_to_writeBack_MEMORY_ENABLE ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[0] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[10] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[11] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[12] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[13] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[14] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[15] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[16] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[17] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[18] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[19] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[1] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[20] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[21] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[22] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[23] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[24] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[25] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[26] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[27] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[28] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[29] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[2] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[30] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[31] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[3] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[4] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[5] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[6] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[7] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[8] ; + wire \soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[9] ; + wire \soc.core.VexRiscv.when_DebugPlugin_l260 ; + wire \soc.core.VexRiscv.when_DebugPlugin_l260_1 ; + wire \soc.core.VexRiscv.when_DebugPlugin_l261 ; + wire \soc.core.VexRiscv.when_DebugPlugin_l261_1 ; + wire \soc.core.VexRiscv.when_DebugPlugin_l264 ; + wire \soc.core.VexRiscv.when_DebugPlugin_l264_1 ; + wire \soc.core.core_rst ; + wire \soc.core.count[0] ; + wire \soc.core.count[10] ; + wire \soc.core.count[11] ; + wire \soc.core.count[12] ; + wire \soc.core.count[13] ; + wire \soc.core.count[14] ; + wire \soc.core.count[15] ; + wire \soc.core.count[16] ; + wire \soc.core.count[17] ; + wire \soc.core.count[18] ; + wire \soc.core.count[19] ; + wire \soc.core.count[1] ; + wire \soc.core.count[2] ; + wire \soc.core.count[3] ; + wire \soc.core.count[4] ; + wire \soc.core.count[5] ; + wire \soc.core.count[6] ; + wire \soc.core.count[7] ; + wire \soc.core.count[8] ; + wire \soc.core.count[9] ; + wire \soc.core.csrbank10_ev_status_w ; + wire \soc.core.dbg_uart_address[0] ; + wire \soc.core.dbg_uart_address[10] ; + wire \soc.core.dbg_uart_address[11] ; + wire \soc.core.dbg_uart_address[12] ; + wire \soc.core.dbg_uart_address[13] ; + wire \soc.core.dbg_uart_address[14] ; + wire \soc.core.dbg_uart_address[15] ; + wire \soc.core.dbg_uart_address[16] ; + wire \soc.core.dbg_uart_address[17] ; + wire \soc.core.dbg_uart_address[18] ; + wire \soc.core.dbg_uart_address[19] ; + wire \soc.core.dbg_uart_address[1] ; + wire \soc.core.dbg_uart_address[20] ; + wire \soc.core.dbg_uart_address[21] ; + wire \soc.core.dbg_uart_address[22] ; + wire \soc.core.dbg_uart_address[23] ; + wire \soc.core.dbg_uart_address[24] ; + wire \soc.core.dbg_uart_address[25] ; + wire \soc.core.dbg_uart_address[26] ; + wire \soc.core.dbg_uart_address[27] ; + wire \soc.core.dbg_uart_address[28] ; + wire \soc.core.dbg_uart_address[29] ; + wire \soc.core.dbg_uart_address[2] ; + wire \soc.core.dbg_uart_address[3] ; + wire \soc.core.dbg_uart_address[4] ; + wire \soc.core.dbg_uart_address[5] ; + wire \soc.core.dbg_uart_address[6] ; + wire \soc.core.dbg_uart_address[7] ; + wire \soc.core.dbg_uart_address[8] ; + wire \soc.core.dbg_uart_address[9] ; + wire \soc.core.dbg_uart_bytes_count[0] ; + wire \soc.core.dbg_uart_bytes_count[1] ; + wire \soc.core.dbg_uart_cmd[0] ; + wire \soc.core.dbg_uart_cmd[1] ; + wire \soc.core.dbg_uart_cmd[2] ; + wire \soc.core.dbg_uart_cmd[3] ; + wire \soc.core.dbg_uart_cmd[4] ; + wire \soc.core.dbg_uart_cmd[5] ; + wire \soc.core.dbg_uart_cmd[6] ; + wire \soc.core.dbg_uart_cmd[7] ; + wire \soc.core.dbg_uart_count[0] ; + wire \soc.core.dbg_uart_count[10] ; + wire \soc.core.dbg_uart_count[11] ; + wire \soc.core.dbg_uart_count[12] ; + wire \soc.core.dbg_uart_count[13] ; + wire \soc.core.dbg_uart_count[14] ; + wire \soc.core.dbg_uart_count[15] ; + wire \soc.core.dbg_uart_count[16] ; + wire \soc.core.dbg_uart_count[17] ; + wire \soc.core.dbg_uart_count[18] ; + wire \soc.core.dbg_uart_count[19] ; + wire \soc.core.dbg_uart_count[1] ; + wire \soc.core.dbg_uart_count[2] ; + wire \soc.core.dbg_uart_count[3] ; + wire \soc.core.dbg_uart_count[4] ; + wire \soc.core.dbg_uart_count[5] ; + wire \soc.core.dbg_uart_count[6] ; + wire \soc.core.dbg_uart_count[7] ; + wire \soc.core.dbg_uart_count[8] ; + wire \soc.core.dbg_uart_count[9] ; + wire \soc.core.dbg_uart_data[0] ; + wire \soc.core.dbg_uart_data[10] ; + wire \soc.core.dbg_uart_data[11] ; + wire \soc.core.dbg_uart_data[12] ; + wire \soc.core.dbg_uart_data[13] ; + wire \soc.core.dbg_uart_data[14] ; + wire \soc.core.dbg_uart_data[15] ; + wire \soc.core.dbg_uart_data[16] ; + wire \soc.core.dbg_uart_data[17] ; + wire \soc.core.dbg_uart_data[18] ; + wire \soc.core.dbg_uart_data[19] ; + wire \soc.core.dbg_uart_data[1] ; + wire \soc.core.dbg_uart_data[20] ; + wire \soc.core.dbg_uart_data[21] ; + wire \soc.core.dbg_uart_data[22] ; + wire \soc.core.dbg_uart_data[23] ; + wire \soc.core.dbg_uart_data[24] ; + wire \soc.core.dbg_uart_data[25] ; + wire \soc.core.dbg_uart_data[26] ; + wire \soc.core.dbg_uart_data[27] ; + wire \soc.core.dbg_uart_data[28] ; + wire \soc.core.dbg_uart_data[29] ; + wire \soc.core.dbg_uart_data[2] ; + wire \soc.core.dbg_uart_data[30] ; + wire \soc.core.dbg_uart_data[31] ; + wire \soc.core.dbg_uart_data[3] ; + wire \soc.core.dbg_uart_data[4] ; + wire \soc.core.dbg_uart_data[5] ; + wire \soc.core.dbg_uart_data[6] ; + wire \soc.core.dbg_uart_data[7] ; + wire \soc.core.dbg_uart_data[8] ; + wire \soc.core.dbg_uart_data[9] ; + wire \soc.core.dbg_uart_dbg_uart_tx ; + wire \soc.core.dbg_uart_dbg_uart_tx_uartwishbonebridge_rs232phytx_next_value1 ; + wire \soc.core.dbg_uart_incr ; + wire \soc.core.dbg_uart_length[0] ; + wire \soc.core.dbg_uart_length[1] ; + wire \soc.core.dbg_uart_length[2] ; + wire \soc.core.dbg_uart_length[3] ; + wire \soc.core.dbg_uart_length[4] ; + wire \soc.core.dbg_uart_length[5] ; + wire \soc.core.dbg_uart_length[6] ; + wire \soc.core.dbg_uart_length[7] ; + wire \soc.core.dbg_uart_rx_count[0] ; + wire \soc.core.dbg_uart_rx_count[1] ; + wire \soc.core.dbg_uart_rx_count[2] ; + wire \soc.core.dbg_uart_rx_count[3] ; + wire \soc.core.dbg_uart_rx_data[0] ; + wire \soc.core.dbg_uart_rx_data[1] ; + wire \soc.core.dbg_uart_rx_data[2] ; + wire \soc.core.dbg_uart_rx_data[3] ; + wire \soc.core.dbg_uart_rx_data[4] ; + wire \soc.core.dbg_uart_rx_data[5] ; + wire \soc.core.dbg_uart_rx_data[6] ; + wire \soc.core.dbg_uart_rx_data[7] ; + wire \soc.core.dbg_uart_rx_phase[0] ; + wire \soc.core.dbg_uart_rx_phase[10] ; + wire \soc.core.dbg_uart_rx_phase[11] ; + wire \soc.core.dbg_uart_rx_phase[12] ; + wire \soc.core.dbg_uart_rx_phase[13] ; + wire \soc.core.dbg_uart_rx_phase[14] ; + wire \soc.core.dbg_uart_rx_phase[15] ; + wire \soc.core.dbg_uart_rx_phase[16] ; + wire \soc.core.dbg_uart_rx_phase[17] ; + wire \soc.core.dbg_uart_rx_phase[18] ; + wire \soc.core.dbg_uart_rx_phase[19] ; + wire \soc.core.dbg_uart_rx_phase[1] ; + wire \soc.core.dbg_uart_rx_phase[20] ; + wire \soc.core.dbg_uart_rx_phase[21] ; + wire \soc.core.dbg_uart_rx_phase[22] ; + wire \soc.core.dbg_uart_rx_phase[23] ; + wire \soc.core.dbg_uart_rx_phase[24] ; + wire \soc.core.dbg_uart_rx_phase[25] ; + wire \soc.core.dbg_uart_rx_phase[26] ; + wire \soc.core.dbg_uart_rx_phase[27] ; + wire \soc.core.dbg_uart_rx_phase[28] ; + wire \soc.core.dbg_uart_rx_phase[29] ; + wire \soc.core.dbg_uart_rx_phase[2] ; + wire \soc.core.dbg_uart_rx_phase[30] ; + wire \soc.core.dbg_uart_rx_phase[31] ; + wire \soc.core.dbg_uart_rx_phase[3] ; + wire \soc.core.dbg_uart_rx_phase[4] ; + wire \soc.core.dbg_uart_rx_phase[5] ; + wire \soc.core.dbg_uart_rx_phase[6] ; + wire \soc.core.dbg_uart_rx_phase[7] ; + wire \soc.core.dbg_uart_rx_phase[8] ; + wire \soc.core.dbg_uart_rx_phase[9] ; + wire \soc.core.dbg_uart_rx_rx_d ; + wire \soc.core.dbg_uart_rx_tick ; + wire \soc.core.dbg_uart_tx_count[0] ; + wire \soc.core.dbg_uart_tx_count[1] ; + wire \soc.core.dbg_uart_tx_count[2] ; + wire \soc.core.dbg_uart_tx_count[3] ; + wire \soc.core.dbg_uart_tx_data[0] ; + wire \soc.core.dbg_uart_tx_data[1] ; + wire \soc.core.dbg_uart_tx_data[2] ; + wire \soc.core.dbg_uart_tx_data[3] ; + wire \soc.core.dbg_uart_tx_data[4] ; + wire \soc.core.dbg_uart_tx_data[5] ; + wire \soc.core.dbg_uart_tx_data[6] ; + wire \soc.core.dbg_uart_tx_data[7] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[0] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[1] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[2] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[3] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[4] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[5] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[6] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[7] ; + wire \soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ; + wire \soc.core.dbg_uart_tx_phase[0] ; + wire \soc.core.dbg_uart_tx_phase[10] ; + wire \soc.core.dbg_uart_tx_phase[11] ; + wire \soc.core.dbg_uart_tx_phase[12] ; + wire \soc.core.dbg_uart_tx_phase[13] ; + wire \soc.core.dbg_uart_tx_phase[14] ; + wire \soc.core.dbg_uart_tx_phase[15] ; + wire \soc.core.dbg_uart_tx_phase[16] ; + wire \soc.core.dbg_uart_tx_phase[17] ; + wire \soc.core.dbg_uart_tx_phase[18] ; + wire \soc.core.dbg_uart_tx_phase[19] ; + wire \soc.core.dbg_uart_tx_phase[1] ; + wire \soc.core.dbg_uart_tx_phase[20] ; + wire \soc.core.dbg_uart_tx_phase[21] ; + wire \soc.core.dbg_uart_tx_phase[22] ; + wire \soc.core.dbg_uart_tx_phase[23] ; + wire \soc.core.dbg_uart_tx_phase[24] ; + wire \soc.core.dbg_uart_tx_phase[25] ; + wire \soc.core.dbg_uart_tx_phase[26] ; + wire \soc.core.dbg_uart_tx_phase[27] ; + wire \soc.core.dbg_uart_tx_phase[28] ; + wire \soc.core.dbg_uart_tx_phase[29] ; + wire \soc.core.dbg_uart_tx_phase[2] ; + wire \soc.core.dbg_uart_tx_phase[30] ; + wire \soc.core.dbg_uart_tx_phase[31] ; + wire \soc.core.dbg_uart_tx_phase[3] ; + wire \soc.core.dbg_uart_tx_phase[4] ; + wire \soc.core.dbg_uart_tx_phase[5] ; + wire \soc.core.dbg_uart_tx_phase[6] ; + wire \soc.core.dbg_uart_tx_phase[7] ; + wire \soc.core.dbg_uart_tx_phase[8] ; + wire \soc.core.dbg_uart_tx_phase[9] ; + wire \soc.core.dbg_uart_tx_tick ; + wire \soc.core.dbg_uart_words_count[0] ; + wire \soc.core.dbg_uart_words_count[1] ; + wire \soc.core.dbg_uart_words_count[2] ; + wire \soc.core.dbg_uart_words_count[3] ; + wire \soc.core.dbg_uart_words_count[4] ; + wire \soc.core.dbg_uart_words_count[5] ; + wire \soc.core.dbg_uart_words_count[6] ; + wire \soc.core.dbg_uart_words_count[7] ; + wire \soc.core.debug_in ; + wire \soc.core.debug_mode_storage ; + wire \soc.core.debug_oeb_storage ; + wire \soc.core.dff2_bus_ack ; + wire \soc.core.dff2_bus_dat_r[0] ; + wire \soc.core.dff2_bus_dat_r[10] ; + wire \soc.core.dff2_bus_dat_r[11] ; + wire \soc.core.dff2_bus_dat_r[12] ; + wire \soc.core.dff2_bus_dat_r[13] ; + wire \soc.core.dff2_bus_dat_r[14] ; + wire \soc.core.dff2_bus_dat_r[15] ; + wire \soc.core.dff2_bus_dat_r[16] ; + wire \soc.core.dff2_bus_dat_r[17] ; + wire \soc.core.dff2_bus_dat_r[18] ; + wire \soc.core.dff2_bus_dat_r[19] ; + wire \soc.core.dff2_bus_dat_r[1] ; + wire \soc.core.dff2_bus_dat_r[20] ; + wire \soc.core.dff2_bus_dat_r[21] ; + wire \soc.core.dff2_bus_dat_r[22] ; + wire \soc.core.dff2_bus_dat_r[23] ; + wire \soc.core.dff2_bus_dat_r[24] ; + wire \soc.core.dff2_bus_dat_r[25] ; + wire \soc.core.dff2_bus_dat_r[26] ; + wire \soc.core.dff2_bus_dat_r[27] ; + wire \soc.core.dff2_bus_dat_r[28] ; + wire \soc.core.dff2_bus_dat_r[29] ; + wire \soc.core.dff2_bus_dat_r[2] ; + wire \soc.core.dff2_bus_dat_r[30] ; + wire \soc.core.dff2_bus_dat_r[31] ; + wire \soc.core.dff2_bus_dat_r[3] ; + wire \soc.core.dff2_bus_dat_r[4] ; + wire \soc.core.dff2_bus_dat_r[5] ; + wire \soc.core.dff2_bus_dat_r[6] ; + wire \soc.core.dff2_bus_dat_r[7] ; + wire \soc.core.dff2_bus_dat_r[8] ; + wire \soc.core.dff2_bus_dat_r[9] ; + wire \soc.core.dff2_en ; + wire \soc.core.dff2_we[0] ; + wire \soc.core.dff2_we[1] ; + wire \soc.core.dff2_we[2] ; + wire \soc.core.dff2_we[3] ; + wire \soc.core.dff_bus_ack ; + wire \soc.core.flash_clk ; + wire \soc.core.flash_cs_n ; + wire \soc.core.flash_io0_di ; + wire \soc.core.flash_io0_do ; + wire \soc.core.flash_io0_oeb ; + wire \soc.core.flash_io1_di ; + wire \soc.core.flash_io2_di ; + wire \soc.core.flash_io3_di ; + wire \soc.core.gpio_ien_storage ; + wire \soc.core.gpio_oe_storage ; + wire \soc.core.gpioin0_enable_storage ; + wire \soc.core.gpioin0_gpioin0_edge_storage ; + wire \soc.core.gpioin0_gpioin0_in_pads_n_d ; + wire \soc.core.gpioin0_gpioin0_irq ; + wire \soc.core.gpioin0_gpioin0_mode_storage ; + wire \soc.core.gpioin0_gpioin0_pending ; + wire \soc.core.gpioin0_gpioin0_trigger_d ; + wire \soc.core.gpioin0_pending_r ; + wire \soc.core.gpioin0_pending_re ; + wire \soc.core.gpioin1_enable_storage ; + wire \soc.core.gpioin1_gpioin1_edge_storage ; + wire \soc.core.gpioin1_gpioin1_in_pads_n_d ; + wire \soc.core.gpioin1_gpioin1_irq ; + wire \soc.core.gpioin1_gpioin1_mode_storage ; + wire \soc.core.gpioin1_gpioin1_pending ; + wire \soc.core.gpioin1_gpioin1_trigger_d ; + wire \soc.core.gpioin1_pending_r ; + wire \soc.core.gpioin1_pending_re ; + wire \soc.core.gpioin2_enable_storage ; + wire \soc.core.gpioin2_gpioin2_edge_storage ; + wire \soc.core.gpioin2_gpioin2_in_pads_n_d ; + wire \soc.core.gpioin2_gpioin2_irq ; + wire \soc.core.gpioin2_gpioin2_mode_storage ; + wire \soc.core.gpioin2_gpioin2_pending ; + wire \soc.core.gpioin2_gpioin2_trigger_d ; + wire \soc.core.gpioin2_pending_r ; + wire \soc.core.gpioin2_pending_re ; + wire \soc.core.gpioin3_enable_storage ; + wire \soc.core.gpioin3_gpioin3_edge_storage ; + wire \soc.core.gpioin3_gpioin3_in_pads_n_d ; + wire \soc.core.gpioin3_gpioin3_irq ; + wire \soc.core.gpioin3_gpioin3_mode_storage ; + wire \soc.core.gpioin3_gpioin3_pending ; + wire \soc.core.gpioin3_gpioin3_trigger_d ; + wire \soc.core.gpioin3_pending_r ; + wire \soc.core.gpioin3_pending_re ; + wire \soc.core.gpioin4_enable_storage ; + wire \soc.core.gpioin4_gpioin4_edge_storage ; + wire \soc.core.gpioin4_gpioin4_in_pads_n_d ; + wire \soc.core.gpioin4_gpioin4_irq ; + wire \soc.core.gpioin4_gpioin4_mode_storage ; + wire \soc.core.gpioin4_gpioin4_pending ; + wire \soc.core.gpioin4_gpioin4_trigger_d ; + wire \soc.core.gpioin4_pending_r ; + wire \soc.core.gpioin4_pending_re ; + wire \soc.core.gpioin5_enable_storage ; + wire \soc.core.gpioin5_gpioin5_edge_storage ; + wire \soc.core.gpioin5_gpioin5_in_pads_n_d ; + wire \soc.core.gpioin5_gpioin5_irq ; + wire \soc.core.gpioin5_gpioin5_mode_storage ; + wire \soc.core.gpioin5_gpioin5_pending ; + wire \soc.core.gpioin5_gpioin5_trigger_d ; + wire \soc.core.gpioin5_pending_r ; + wire \soc.core.gpioin5_pending_re ; + wire \soc.core.grant[0] ; + wire \soc.core.grant[1] ; + wire \soc.core.hk_ack ; + wire \soc.core.hk_cyc ; + wire \soc.core.hk_dat_i[0] ; + wire \soc.core.hk_dat_i[10] ; + wire \soc.core.hk_dat_i[11] ; + wire \soc.core.hk_dat_i[12] ; + wire \soc.core.hk_dat_i[13] ; + wire \soc.core.hk_dat_i[14] ; + wire \soc.core.hk_dat_i[15] ; + wire \soc.core.hk_dat_i[16] ; + wire \soc.core.hk_dat_i[17] ; + wire \soc.core.hk_dat_i[18] ; + wire \soc.core.hk_dat_i[19] ; + wire \soc.core.hk_dat_i[1] ; + wire \soc.core.hk_dat_i[20] ; + wire \soc.core.hk_dat_i[21] ; + wire \soc.core.hk_dat_i[22] ; + wire \soc.core.hk_dat_i[23] ; + wire \soc.core.hk_dat_i[24] ; + wire \soc.core.hk_dat_i[25] ; + wire \soc.core.hk_dat_i[26] ; + wire \soc.core.hk_dat_i[27] ; + wire \soc.core.hk_dat_i[28] ; + wire \soc.core.hk_dat_i[29] ; + wire \soc.core.hk_dat_i[2] ; + wire \soc.core.hk_dat_i[30] ; + wire \soc.core.hk_dat_i[31] ; + wire \soc.core.hk_dat_i[3] ; + wire \soc.core.hk_dat_i[4] ; + wire \soc.core.hk_dat_i[5] ; + wire \soc.core.hk_dat_i[6] ; + wire \soc.core.hk_dat_i[7] ; + wire \soc.core.hk_dat_i[8] ; + wire \soc.core.hk_dat_i[9] ; + wire \soc.core.int_rst ; + wire \soc.core.interface0_bank_bus_dat_r[0] ; + wire \soc.core.interface0_bank_bus_dat_r[10] ; + wire \soc.core.interface0_bank_bus_dat_r[11] ; + wire \soc.core.interface0_bank_bus_dat_r[12] ; + wire \soc.core.interface0_bank_bus_dat_r[13] ; + wire \soc.core.interface0_bank_bus_dat_r[14] ; + wire \soc.core.interface0_bank_bus_dat_r[15] ; + wire \soc.core.interface0_bank_bus_dat_r[16] ; + wire \soc.core.interface0_bank_bus_dat_r[17] ; + wire \soc.core.interface0_bank_bus_dat_r[18] ; + wire \soc.core.interface0_bank_bus_dat_r[19] ; + wire \soc.core.interface0_bank_bus_dat_r[1] ; + wire \soc.core.interface0_bank_bus_dat_r[20] ; + wire \soc.core.interface0_bank_bus_dat_r[21] ; + wire \soc.core.interface0_bank_bus_dat_r[22] ; + wire \soc.core.interface0_bank_bus_dat_r[23] ; + wire \soc.core.interface0_bank_bus_dat_r[24] ; + wire \soc.core.interface0_bank_bus_dat_r[25] ; + wire \soc.core.interface0_bank_bus_dat_r[26] ; + wire \soc.core.interface0_bank_bus_dat_r[27] ; + wire \soc.core.interface0_bank_bus_dat_r[28] ; + wire \soc.core.interface0_bank_bus_dat_r[29] ; + wire \soc.core.interface0_bank_bus_dat_r[2] ; + wire \soc.core.interface0_bank_bus_dat_r[30] ; + wire \soc.core.interface0_bank_bus_dat_r[31] ; + wire \soc.core.interface0_bank_bus_dat_r[3] ; + wire \soc.core.interface0_bank_bus_dat_r[4] ; + wire \soc.core.interface0_bank_bus_dat_r[5] ; + wire \soc.core.interface0_bank_bus_dat_r[6] ; + wire \soc.core.interface0_bank_bus_dat_r[7] ; + wire \soc.core.interface0_bank_bus_dat_r[8] ; + wire \soc.core.interface0_bank_bus_dat_r[9] ; + wire \soc.core.interface10_bank_bus_dat_r[0] ; + wire \soc.core.interface10_bank_bus_dat_r[10] ; + wire \soc.core.interface10_bank_bus_dat_r[11] ; + wire \soc.core.interface10_bank_bus_dat_r[12] ; + wire \soc.core.interface10_bank_bus_dat_r[13] ; + wire \soc.core.interface10_bank_bus_dat_r[14] ; + wire \soc.core.interface10_bank_bus_dat_r[15] ; + wire \soc.core.interface10_bank_bus_dat_r[16] ; + wire \soc.core.interface10_bank_bus_dat_r[17] ; + wire \soc.core.interface10_bank_bus_dat_r[18] ; + wire \soc.core.interface10_bank_bus_dat_r[19] ; + wire \soc.core.interface10_bank_bus_dat_r[1] ; + wire \soc.core.interface10_bank_bus_dat_r[20] ; + wire \soc.core.interface10_bank_bus_dat_r[21] ; + wire \soc.core.interface10_bank_bus_dat_r[22] ; + wire \soc.core.interface10_bank_bus_dat_r[23] ; + wire \soc.core.interface10_bank_bus_dat_r[24] ; + wire \soc.core.interface10_bank_bus_dat_r[25] ; + wire \soc.core.interface10_bank_bus_dat_r[26] ; + wire \soc.core.interface10_bank_bus_dat_r[27] ; + wire \soc.core.interface10_bank_bus_dat_r[28] ; + wire \soc.core.interface10_bank_bus_dat_r[29] ; + wire \soc.core.interface10_bank_bus_dat_r[2] ; + wire \soc.core.interface10_bank_bus_dat_r[30] ; + wire \soc.core.interface10_bank_bus_dat_r[31] ; + wire \soc.core.interface10_bank_bus_dat_r[3] ; + wire \soc.core.interface10_bank_bus_dat_r[4] ; + wire \soc.core.interface10_bank_bus_dat_r[5] ; + wire \soc.core.interface10_bank_bus_dat_r[6] ; + wire \soc.core.interface10_bank_bus_dat_r[7] ; + wire \soc.core.interface10_bank_bus_dat_r[8] ; + wire \soc.core.interface10_bank_bus_dat_r[9] ; + wire \soc.core.interface11_bank_bus_dat_r[0] ; + wire \soc.core.interface11_bank_bus_dat_r[1] ; + wire \soc.core.interface11_bank_bus_dat_r[2] ; + wire \soc.core.interface11_bank_bus_dat_r[3] ; + wire \soc.core.interface11_bank_bus_dat_r[4] ; + wire \soc.core.interface11_bank_bus_dat_r[5] ; + wire \soc.core.interface11_bank_bus_dat_r[6] ; + wire \soc.core.interface11_bank_bus_dat_r[7] ; + wire \soc.core.interface12_bank_bus_dat_r[0] ; + wire \soc.core.interface13_bank_bus_dat_r[0] ; + wire \soc.core.interface14_bank_bus_dat_r[0] ; + wire \soc.core.interface15_bank_bus_dat_r[0] ; + wire \soc.core.interface16_bank_bus_dat_r[0] ; + wire \soc.core.interface17_bank_bus_dat_r[0] ; + wire \soc.core.interface18_bank_bus_dat_r[0] ; + wire \soc.core.interface19_bank_bus_dat_r[0] ; + wire \soc.core.interface19_bank_bus_dat_r[1] ; + wire \soc.core.interface19_bank_bus_dat_r[2] ; + wire \soc.core.interface1_bank_bus_dat_r[0] ; + wire \soc.core.interface2_bank_bus_dat_r[0] ; + wire \soc.core.interface3_bank_bus_dat_r[0] ; + wire \soc.core.interface3_bank_bus_dat_r[10] ; + wire \soc.core.interface3_bank_bus_dat_r[11] ; + wire \soc.core.interface3_bank_bus_dat_r[12] ; + wire \soc.core.interface3_bank_bus_dat_r[13] ; + wire \soc.core.interface3_bank_bus_dat_r[14] ; + wire \soc.core.interface3_bank_bus_dat_r[15] ; + wire \soc.core.interface3_bank_bus_dat_r[16] ; + wire \soc.core.interface3_bank_bus_dat_r[17] ; + wire \soc.core.interface3_bank_bus_dat_r[18] ; + wire \soc.core.interface3_bank_bus_dat_r[19] ; + wire \soc.core.interface3_bank_bus_dat_r[1] ; + wire \soc.core.interface3_bank_bus_dat_r[20] ; + wire \soc.core.interface3_bank_bus_dat_r[21] ; + wire \soc.core.interface3_bank_bus_dat_r[22] ; + wire \soc.core.interface3_bank_bus_dat_r[23] ; + wire \soc.core.interface3_bank_bus_dat_r[24] ; + wire \soc.core.interface3_bank_bus_dat_r[25] ; + wire \soc.core.interface3_bank_bus_dat_r[26] ; + wire \soc.core.interface3_bank_bus_dat_r[27] ; + wire \soc.core.interface3_bank_bus_dat_r[28] ; + wire \soc.core.interface3_bank_bus_dat_r[29] ; + wire \soc.core.interface3_bank_bus_dat_r[2] ; + wire \soc.core.interface3_bank_bus_dat_r[30] ; + wire \soc.core.interface3_bank_bus_dat_r[31] ; + wire \soc.core.interface3_bank_bus_dat_r[3] ; + wire \soc.core.interface3_bank_bus_dat_r[4] ; + wire \soc.core.interface3_bank_bus_dat_r[5] ; + wire \soc.core.interface3_bank_bus_dat_r[6] ; + wire \soc.core.interface3_bank_bus_dat_r[7] ; + wire \soc.core.interface3_bank_bus_dat_r[8] ; + wire \soc.core.interface3_bank_bus_dat_r[9] ; + wire \soc.core.interface4_bank_bus_dat_r[0] ; + wire \soc.core.interface4_bank_bus_dat_r[1] ; + wire \soc.core.interface4_bank_bus_dat_r[2] ; + wire \soc.core.interface4_bank_bus_dat_r[3] ; + wire \soc.core.interface4_bank_bus_dat_r[4] ; + wire \soc.core.interface4_bank_bus_dat_r[5] ; + wire \soc.core.interface4_bank_bus_dat_r[6] ; + wire \soc.core.interface4_bank_bus_dat_r[7] ; + wire \soc.core.interface5_bank_bus_dat_r[0] ; + wire \soc.core.interface6_bank_bus_dat_r[0] ; + wire \soc.core.interface6_bank_bus_dat_r[10] ; + wire \soc.core.interface6_bank_bus_dat_r[11] ; + wire \soc.core.interface6_bank_bus_dat_r[12] ; + wire \soc.core.interface6_bank_bus_dat_r[13] ; + wire \soc.core.interface6_bank_bus_dat_r[14] ; + wire \soc.core.interface6_bank_bus_dat_r[15] ; + wire \soc.core.interface6_bank_bus_dat_r[16] ; + wire \soc.core.interface6_bank_bus_dat_r[17] ; + wire \soc.core.interface6_bank_bus_dat_r[18] ; + wire \soc.core.interface6_bank_bus_dat_r[19] ; + wire \soc.core.interface6_bank_bus_dat_r[1] ; + wire \soc.core.interface6_bank_bus_dat_r[20] ; + wire \soc.core.interface6_bank_bus_dat_r[21] ; + wire \soc.core.interface6_bank_bus_dat_r[22] ; + wire \soc.core.interface6_bank_bus_dat_r[23] ; + wire \soc.core.interface6_bank_bus_dat_r[24] ; + wire \soc.core.interface6_bank_bus_dat_r[25] ; + wire \soc.core.interface6_bank_bus_dat_r[26] ; + wire \soc.core.interface6_bank_bus_dat_r[27] ; + wire \soc.core.interface6_bank_bus_dat_r[28] ; + wire \soc.core.interface6_bank_bus_dat_r[29] ; + wire \soc.core.interface6_bank_bus_dat_r[2] ; + wire \soc.core.interface6_bank_bus_dat_r[30] ; + wire \soc.core.interface6_bank_bus_dat_r[31] ; + wire \soc.core.interface6_bank_bus_dat_r[3] ; + wire \soc.core.interface6_bank_bus_dat_r[4] ; + wire \soc.core.interface6_bank_bus_dat_r[5] ; + wire \soc.core.interface6_bank_bus_dat_r[6] ; + wire \soc.core.interface6_bank_bus_dat_r[7] ; + wire \soc.core.interface6_bank_bus_dat_r[8] ; + wire \soc.core.interface6_bank_bus_dat_r[9] ; + wire \soc.core.interface7_bank_bus_dat_r[0] ; + wire \soc.core.interface8_bank_bus_dat_r[0] ; + wire \soc.core.interface9_bank_bus_dat_r[0] ; + wire \soc.core.interface9_bank_bus_dat_r[10] ; + wire \soc.core.interface9_bank_bus_dat_r[11] ; + wire \soc.core.interface9_bank_bus_dat_r[12] ; + wire \soc.core.interface9_bank_bus_dat_r[13] ; + wire \soc.core.interface9_bank_bus_dat_r[14] ; + wire \soc.core.interface9_bank_bus_dat_r[15] ; + wire \soc.core.interface9_bank_bus_dat_r[16] ; + wire \soc.core.interface9_bank_bus_dat_r[1] ; + wire \soc.core.interface9_bank_bus_dat_r[2] ; + wire \soc.core.interface9_bank_bus_dat_r[3] ; + wire \soc.core.interface9_bank_bus_dat_r[4] ; + wire \soc.core.interface9_bank_bus_dat_r[5] ; + wire \soc.core.interface9_bank_bus_dat_r[6] ; + wire \soc.core.interface9_bank_bus_dat_r[7] ; + wire \soc.core.interface9_bank_bus_dat_r[8] ; + wire \soc.core.interface9_bank_bus_dat_r[9] ; + wire \soc.core.la_ien_storage[0] ; + wire \soc.core.la_ien_storage[100] ; + wire \soc.core.la_ien_storage[101] ; + wire \soc.core.la_ien_storage[102] ; + wire \soc.core.la_ien_storage[103] ; + wire \soc.core.la_ien_storage[104] ; + wire \soc.core.la_ien_storage[105] ; + wire \soc.core.la_ien_storage[106] ; + wire \soc.core.la_ien_storage[107] ; + wire \soc.core.la_ien_storage[108] ; + wire \soc.core.la_ien_storage[109] ; + wire \soc.core.la_ien_storage[10] ; + wire \soc.core.la_ien_storage[110] ; + wire \soc.core.la_ien_storage[111] ; + wire \soc.core.la_ien_storage[112] ; + wire \soc.core.la_ien_storage[113] ; + wire \soc.core.la_ien_storage[114] ; + wire \soc.core.la_ien_storage[115] ; + wire \soc.core.la_ien_storage[116] ; + wire \soc.core.la_ien_storage[117] ; + wire \soc.core.la_ien_storage[118] ; + wire \soc.core.la_ien_storage[119] ; + wire \soc.core.la_ien_storage[11] ; + wire \soc.core.la_ien_storage[120] ; + wire \soc.core.la_ien_storage[121] ; + wire \soc.core.la_ien_storage[122] ; + wire \soc.core.la_ien_storage[123] ; + wire \soc.core.la_ien_storage[124] ; + wire \soc.core.la_ien_storage[125] ; + wire \soc.core.la_ien_storage[126] ; + wire \soc.core.la_ien_storage[127] ; + wire \soc.core.la_ien_storage[12] ; + wire \soc.core.la_ien_storage[13] ; + wire \soc.core.la_ien_storage[14] ; + wire \soc.core.la_ien_storage[15] ; + wire \soc.core.la_ien_storage[16] ; + wire \soc.core.la_ien_storage[17] ; + wire \soc.core.la_ien_storage[18] ; + wire \soc.core.la_ien_storage[19] ; + wire \soc.core.la_ien_storage[1] ; + wire \soc.core.la_ien_storage[20] ; + wire \soc.core.la_ien_storage[21] ; + wire \soc.core.la_ien_storage[22] ; + wire \soc.core.la_ien_storage[23] ; + wire \soc.core.la_ien_storage[24] ; + wire \soc.core.la_ien_storage[25] ; + wire \soc.core.la_ien_storage[26] ; + wire \soc.core.la_ien_storage[27] ; + wire \soc.core.la_ien_storage[28] ; + wire \soc.core.la_ien_storage[29] ; + wire \soc.core.la_ien_storage[2] ; + wire \soc.core.la_ien_storage[30] ; + wire \soc.core.la_ien_storage[31] ; + wire \soc.core.la_ien_storage[32] ; + wire \soc.core.la_ien_storage[33] ; + wire \soc.core.la_ien_storage[34] ; + wire \soc.core.la_ien_storage[35] ; + wire \soc.core.la_ien_storage[36] ; + wire \soc.core.la_ien_storage[37] ; + wire \soc.core.la_ien_storage[38] ; + wire \soc.core.la_ien_storage[39] ; + wire \soc.core.la_ien_storage[3] ; + wire \soc.core.la_ien_storage[40] ; + wire \soc.core.la_ien_storage[41] ; + wire \soc.core.la_ien_storage[42] ; + wire \soc.core.la_ien_storage[43] ; + wire \soc.core.la_ien_storage[44] ; + wire \soc.core.la_ien_storage[45] ; + wire \soc.core.la_ien_storage[46] ; + wire \soc.core.la_ien_storage[47] ; + wire \soc.core.la_ien_storage[48] ; + wire \soc.core.la_ien_storage[49] ; + wire \soc.core.la_ien_storage[4] ; + wire \soc.core.la_ien_storage[50] ; + wire \soc.core.la_ien_storage[51] ; + wire \soc.core.la_ien_storage[52] ; + wire \soc.core.la_ien_storage[53] ; + wire \soc.core.la_ien_storage[54] ; + wire \soc.core.la_ien_storage[55] ; + wire \soc.core.la_ien_storage[56] ; + wire \soc.core.la_ien_storage[57] ; + wire \soc.core.la_ien_storage[58] ; + wire \soc.core.la_ien_storage[59] ; + wire \soc.core.la_ien_storage[5] ; + wire \soc.core.la_ien_storage[60] ; + wire \soc.core.la_ien_storage[61] ; + wire \soc.core.la_ien_storage[62] ; + wire \soc.core.la_ien_storage[63] ; + wire \soc.core.la_ien_storage[64] ; + wire \soc.core.la_ien_storage[65] ; + wire \soc.core.la_ien_storage[66] ; + wire \soc.core.la_ien_storage[67] ; + wire \soc.core.la_ien_storage[68] ; + wire \soc.core.la_ien_storage[69] ; + wire \soc.core.la_ien_storage[6] ; + wire \soc.core.la_ien_storage[70] ; + wire \soc.core.la_ien_storage[71] ; + wire \soc.core.la_ien_storage[72] ; + wire \soc.core.la_ien_storage[73] ; + wire \soc.core.la_ien_storage[74] ; + wire \soc.core.la_ien_storage[75] ; + wire \soc.core.la_ien_storage[76] ; + wire \soc.core.la_ien_storage[77] ; + wire \soc.core.la_ien_storage[78] ; + wire \soc.core.la_ien_storage[79] ; + wire \soc.core.la_ien_storage[7] ; + wire \soc.core.la_ien_storage[80] ; + wire \soc.core.la_ien_storage[81] ; + wire \soc.core.la_ien_storage[82] ; + wire \soc.core.la_ien_storage[83] ; + wire \soc.core.la_ien_storage[84] ; + wire \soc.core.la_ien_storage[85] ; + wire \soc.core.la_ien_storage[86] ; + wire \soc.core.la_ien_storage[87] ; + wire \soc.core.la_ien_storage[88] ; + wire \soc.core.la_ien_storage[89] ; + wire \soc.core.la_ien_storage[8] ; + wire \soc.core.la_ien_storage[90] ; + wire \soc.core.la_ien_storage[91] ; + wire \soc.core.la_ien_storage[92] ; + wire \soc.core.la_ien_storage[93] ; + wire \soc.core.la_ien_storage[94] ; + wire \soc.core.la_ien_storage[95] ; + wire \soc.core.la_ien_storage[96] ; + wire \soc.core.la_ien_storage[97] ; + wire \soc.core.la_ien_storage[98] ; + wire \soc.core.la_ien_storage[99] ; + wire \soc.core.la_ien_storage[9] ; + wire \soc.core.la_oe_storage[0] ; + wire \soc.core.la_oe_storage[100] ; + wire \soc.core.la_oe_storage[101] ; + wire \soc.core.la_oe_storage[102] ; + wire \soc.core.la_oe_storage[103] ; + wire \soc.core.la_oe_storage[104] ; + wire \soc.core.la_oe_storage[105] ; + wire \soc.core.la_oe_storage[106] ; + wire \soc.core.la_oe_storage[107] ; + wire \soc.core.la_oe_storage[108] ; + wire \soc.core.la_oe_storage[109] ; + wire \soc.core.la_oe_storage[10] ; + wire \soc.core.la_oe_storage[110] ; + wire \soc.core.la_oe_storage[111] ; + wire \soc.core.la_oe_storage[112] ; + wire \soc.core.la_oe_storage[113] ; + wire \soc.core.la_oe_storage[114] ; + wire \soc.core.la_oe_storage[115] ; + wire \soc.core.la_oe_storage[116] ; + wire \soc.core.la_oe_storage[117] ; + wire \soc.core.la_oe_storage[118] ; + wire \soc.core.la_oe_storage[119] ; + wire \soc.core.la_oe_storage[11] ; + wire \soc.core.la_oe_storage[120] ; + wire \soc.core.la_oe_storage[121] ; + wire \soc.core.la_oe_storage[122] ; + wire \soc.core.la_oe_storage[123] ; + wire \soc.core.la_oe_storage[124] ; + wire \soc.core.la_oe_storage[125] ; + wire \soc.core.la_oe_storage[126] ; + wire \soc.core.la_oe_storage[127] ; + wire \soc.core.la_oe_storage[12] ; + wire \soc.core.la_oe_storage[13] ; + wire \soc.core.la_oe_storage[14] ; + wire \soc.core.la_oe_storage[15] ; + wire \soc.core.la_oe_storage[16] ; + wire \soc.core.la_oe_storage[17] ; + wire \soc.core.la_oe_storage[18] ; + wire \soc.core.la_oe_storage[19] ; + wire \soc.core.la_oe_storage[1] ; + wire \soc.core.la_oe_storage[20] ; + wire \soc.core.la_oe_storage[21] ; + wire \soc.core.la_oe_storage[22] ; + wire \soc.core.la_oe_storage[23] ; + wire \soc.core.la_oe_storage[24] ; + wire \soc.core.la_oe_storage[25] ; + wire \soc.core.la_oe_storage[26] ; + wire \soc.core.la_oe_storage[27] ; + wire \soc.core.la_oe_storage[28] ; + wire \soc.core.la_oe_storage[29] ; + wire \soc.core.la_oe_storage[2] ; + wire \soc.core.la_oe_storage[30] ; + wire \soc.core.la_oe_storage[31] ; + wire \soc.core.la_oe_storage[32] ; + wire \soc.core.la_oe_storage[33] ; + wire \soc.core.la_oe_storage[34] ; + wire \soc.core.la_oe_storage[35] ; + wire \soc.core.la_oe_storage[36] ; + wire \soc.core.la_oe_storage[37] ; + wire \soc.core.la_oe_storage[38] ; + wire \soc.core.la_oe_storage[39] ; + wire \soc.core.la_oe_storage[3] ; + wire \soc.core.la_oe_storage[40] ; + wire \soc.core.la_oe_storage[41] ; + wire \soc.core.la_oe_storage[42] ; + wire \soc.core.la_oe_storage[43] ; + wire \soc.core.la_oe_storage[44] ; + wire \soc.core.la_oe_storage[45] ; + wire \soc.core.la_oe_storage[46] ; + wire \soc.core.la_oe_storage[47] ; + wire \soc.core.la_oe_storage[48] ; + wire \soc.core.la_oe_storage[49] ; + wire \soc.core.la_oe_storage[4] ; + wire \soc.core.la_oe_storage[50] ; + wire \soc.core.la_oe_storage[51] ; + wire \soc.core.la_oe_storage[52] ; + wire \soc.core.la_oe_storage[53] ; + wire \soc.core.la_oe_storage[54] ; + wire \soc.core.la_oe_storage[55] ; + wire \soc.core.la_oe_storage[56] ; + wire \soc.core.la_oe_storage[57] ; + wire \soc.core.la_oe_storage[58] ; + wire \soc.core.la_oe_storage[59] ; + wire \soc.core.la_oe_storage[5] ; + wire \soc.core.la_oe_storage[60] ; + wire \soc.core.la_oe_storage[61] ; + wire \soc.core.la_oe_storage[62] ; + wire \soc.core.la_oe_storage[63] ; + wire \soc.core.la_oe_storage[64] ; + wire \soc.core.la_oe_storage[65] ; + wire \soc.core.la_oe_storage[66] ; + wire \soc.core.la_oe_storage[67] ; + wire \soc.core.la_oe_storage[68] ; + wire \soc.core.la_oe_storage[69] ; + wire \soc.core.la_oe_storage[6] ; + wire \soc.core.la_oe_storage[70] ; + wire \soc.core.la_oe_storage[71] ; + wire \soc.core.la_oe_storage[72] ; + wire \soc.core.la_oe_storage[73] ; + wire \soc.core.la_oe_storage[74] ; + wire \soc.core.la_oe_storage[75] ; + wire \soc.core.la_oe_storage[76] ; + wire \soc.core.la_oe_storage[77] ; + wire \soc.core.la_oe_storage[78] ; + wire \soc.core.la_oe_storage[79] ; + wire \soc.core.la_oe_storage[7] ; + wire \soc.core.la_oe_storage[80] ; + wire \soc.core.la_oe_storage[81] ; + wire \soc.core.la_oe_storage[82] ; + wire \soc.core.la_oe_storage[83] ; + wire \soc.core.la_oe_storage[84] ; + wire \soc.core.la_oe_storage[85] ; + wire \soc.core.la_oe_storage[86] ; + wire \soc.core.la_oe_storage[87] ; + wire \soc.core.la_oe_storage[88] ; + wire \soc.core.la_oe_storage[89] ; + wire \soc.core.la_oe_storage[8] ; + wire \soc.core.la_oe_storage[90] ; + wire \soc.core.la_oe_storage[91] ; + wire \soc.core.la_oe_storage[92] ; + wire \soc.core.la_oe_storage[93] ; + wire \soc.core.la_oe_storage[94] ; + wire \soc.core.la_oe_storage[95] ; + wire \soc.core.la_oe_storage[96] ; + wire \soc.core.la_oe_storage[97] ; + wire \soc.core.la_oe_storage[98] ; + wire \soc.core.la_oe_storage[99] ; + wire \soc.core.la_oe_storage[9] ; + wire \soc.core.la_out_storage[0] ; + wire \soc.core.la_out_storage[100] ; + wire \soc.core.la_out_storage[101] ; + wire \soc.core.la_out_storage[102] ; + wire \soc.core.la_out_storage[103] ; + wire \soc.core.la_out_storage[104] ; + wire \soc.core.la_out_storage[105] ; + wire \soc.core.la_out_storage[106] ; + wire \soc.core.la_out_storage[107] ; + wire \soc.core.la_out_storage[108] ; + wire \soc.core.la_out_storage[109] ; + wire \soc.core.la_out_storage[10] ; + wire \soc.core.la_out_storage[110] ; + wire \soc.core.la_out_storage[111] ; + wire \soc.core.la_out_storage[112] ; + wire \soc.core.la_out_storage[113] ; + wire \soc.core.la_out_storage[114] ; + wire \soc.core.la_out_storage[115] ; + wire \soc.core.la_out_storage[116] ; + wire \soc.core.la_out_storage[117] ; + wire \soc.core.la_out_storage[118] ; + wire \soc.core.la_out_storage[119] ; + wire \soc.core.la_out_storage[11] ; + wire \soc.core.la_out_storage[120] ; + wire \soc.core.la_out_storage[121] ; + wire \soc.core.la_out_storage[122] ; + wire \soc.core.la_out_storage[123] ; + wire \soc.core.la_out_storage[124] ; + wire \soc.core.la_out_storage[125] ; + wire \soc.core.la_out_storage[126] ; + wire \soc.core.la_out_storage[127] ; + wire \soc.core.la_out_storage[12] ; + wire \soc.core.la_out_storage[13] ; + wire \soc.core.la_out_storage[14] ; + wire \soc.core.la_out_storage[15] ; + wire \soc.core.la_out_storage[16] ; + wire \soc.core.la_out_storage[17] ; + wire \soc.core.la_out_storage[18] ; + wire \soc.core.la_out_storage[19] ; + wire \soc.core.la_out_storage[1] ; + wire \soc.core.la_out_storage[20] ; + wire \soc.core.la_out_storage[21] ; + wire \soc.core.la_out_storage[22] ; + wire \soc.core.la_out_storage[23] ; + wire \soc.core.la_out_storage[24] ; + wire \soc.core.la_out_storage[25] ; + wire \soc.core.la_out_storage[26] ; + wire \soc.core.la_out_storage[27] ; + wire \soc.core.la_out_storage[28] ; + wire \soc.core.la_out_storage[29] ; + wire \soc.core.la_out_storage[2] ; + wire \soc.core.la_out_storage[30] ; + wire \soc.core.la_out_storage[31] ; + wire \soc.core.la_out_storage[32] ; + wire \soc.core.la_out_storage[33] ; + wire \soc.core.la_out_storage[34] ; + wire \soc.core.la_out_storage[35] ; + wire \soc.core.la_out_storage[36] ; + wire \soc.core.la_out_storage[37] ; + wire \soc.core.la_out_storage[38] ; + wire \soc.core.la_out_storage[39] ; + wire \soc.core.la_out_storage[3] ; + wire \soc.core.la_out_storage[40] ; + wire \soc.core.la_out_storage[41] ; + wire \soc.core.la_out_storage[42] ; + wire \soc.core.la_out_storage[43] ; + wire \soc.core.la_out_storage[44] ; + wire \soc.core.la_out_storage[45] ; + wire \soc.core.la_out_storage[46] ; + wire \soc.core.la_out_storage[47] ; + wire \soc.core.la_out_storage[48] ; + wire \soc.core.la_out_storage[49] ; + wire \soc.core.la_out_storage[4] ; + wire \soc.core.la_out_storage[50] ; + wire \soc.core.la_out_storage[51] ; + wire \soc.core.la_out_storage[52] ; + wire \soc.core.la_out_storage[53] ; + wire \soc.core.la_out_storage[54] ; + wire \soc.core.la_out_storage[55] ; + wire \soc.core.la_out_storage[56] ; + wire \soc.core.la_out_storage[57] ; + wire \soc.core.la_out_storage[58] ; + wire \soc.core.la_out_storage[59] ; + wire \soc.core.la_out_storage[5] ; + wire \soc.core.la_out_storage[60] ; + wire \soc.core.la_out_storage[61] ; + wire \soc.core.la_out_storage[62] ; + wire \soc.core.la_out_storage[63] ; + wire \soc.core.la_out_storage[64] ; + wire \soc.core.la_out_storage[65] ; + wire \soc.core.la_out_storage[66] ; + wire \soc.core.la_out_storage[67] ; + wire \soc.core.la_out_storage[68] ; + wire \soc.core.la_out_storage[69] ; + wire \soc.core.la_out_storage[6] ; + wire \soc.core.la_out_storage[70] ; + wire \soc.core.la_out_storage[71] ; + wire \soc.core.la_out_storage[72] ; + wire \soc.core.la_out_storage[73] ; + wire \soc.core.la_out_storage[74] ; + wire \soc.core.la_out_storage[75] ; + wire \soc.core.la_out_storage[76] ; + wire \soc.core.la_out_storage[77] ; + wire \soc.core.la_out_storage[78] ; + wire \soc.core.la_out_storage[79] ; + wire \soc.core.la_out_storage[7] ; + wire \soc.core.la_out_storage[80] ; + wire \soc.core.la_out_storage[81] ; + wire \soc.core.la_out_storage[82] ; + wire \soc.core.la_out_storage[83] ; + wire \soc.core.la_out_storage[84] ; + wire \soc.core.la_out_storage[85] ; + wire \soc.core.la_out_storage[86] ; + wire \soc.core.la_out_storage[87] ; + wire \soc.core.la_out_storage[88] ; + wire \soc.core.la_out_storage[89] ; + wire \soc.core.la_out_storage[8] ; + wire \soc.core.la_out_storage[90] ; + wire \soc.core.la_out_storage[91] ; + wire \soc.core.la_out_storage[92] ; + wire \soc.core.la_out_storage[93] ; + wire \soc.core.la_out_storage[94] ; + wire \soc.core.la_out_storage[95] ; + wire \soc.core.la_out_storage[96] ; + wire \soc.core.la_out_storage[97] ; + wire \soc.core.la_out_storage[98] ; + wire \soc.core.la_out_storage[99] ; + wire \soc.core.la_out_storage[9] ; + wire \soc.core.litespi_grant ; + wire \soc.core.litespi_next_state[1] ; + wire \soc.core.litespi_next_state[2] ; + wire \soc.core.litespi_rx_demux_endpoint1_source_ready ; + wire \soc.core.litespi_state[0] ; + wire \soc.core.litespi_state[1] ; + wire \soc.core.litespi_state[2] ; + wire \soc.core.litespi_state[3] ; + wire \soc.core.litespiphy_state[0] ; + wire \soc.core.litespiphy_state[1] ; + wire \soc.core.memdat_1[0] ; + wire \soc.core.memdat_1[1] ; + wire \soc.core.memdat_1[2] ; + wire \soc.core.memdat_1[3] ; + wire \soc.core.memdat_1[4] ; + wire \soc.core.memdat_1[5] ; + wire \soc.core.memdat_1[6] ; + wire \soc.core.memdat_1[7] ; + wire \soc.core.memdat_3[0] ; + wire \soc.core.memdat_3[1] ; + wire \soc.core.memdat_3[2] ; + wire \soc.core.memdat_3[3] ; + wire \soc.core.memdat_3[4] ; + wire \soc.core.memdat_3[5] ; + wire \soc.core.memdat_3[6] ; + wire \soc.core.memdat_3[7] ; + wire \soc.core.mgmtsoc_bus_errors[0] ; + wire \soc.core.mgmtsoc_bus_errors[10] ; + wire \soc.core.mgmtsoc_bus_errors[11] ; + wire \soc.core.mgmtsoc_bus_errors[12] ; + wire \soc.core.mgmtsoc_bus_errors[13] ; + wire \soc.core.mgmtsoc_bus_errors[14] ; + wire \soc.core.mgmtsoc_bus_errors[15] ; + wire \soc.core.mgmtsoc_bus_errors[16] ; + wire \soc.core.mgmtsoc_bus_errors[17] ; + wire \soc.core.mgmtsoc_bus_errors[18] ; + wire \soc.core.mgmtsoc_bus_errors[19] ; + wire \soc.core.mgmtsoc_bus_errors[1] ; + wire \soc.core.mgmtsoc_bus_errors[20] ; + wire \soc.core.mgmtsoc_bus_errors[21] ; + wire \soc.core.mgmtsoc_bus_errors[22] ; + wire \soc.core.mgmtsoc_bus_errors[23] ; + wire \soc.core.mgmtsoc_bus_errors[24] ; + wire \soc.core.mgmtsoc_bus_errors[25] ; + wire \soc.core.mgmtsoc_bus_errors[26] ; + wire \soc.core.mgmtsoc_bus_errors[27] ; + wire \soc.core.mgmtsoc_bus_errors[28] ; + wire \soc.core.mgmtsoc_bus_errors[29] ; + wire \soc.core.mgmtsoc_bus_errors[2] ; + wire \soc.core.mgmtsoc_bus_errors[30] ; + wire \soc.core.mgmtsoc_bus_errors[31] ; + wire \soc.core.mgmtsoc_bus_errors[3] ; + wire \soc.core.mgmtsoc_bus_errors[4] ; + wire \soc.core.mgmtsoc_bus_errors[5] ; + wire \soc.core.mgmtsoc_bus_errors[6] ; + wire \soc.core.mgmtsoc_bus_errors[7] ; + wire \soc.core.mgmtsoc_bus_errors[8] ; + wire \soc.core.mgmtsoc_bus_errors[9] ; + wire \soc.core.mgmtsoc_cpu_rst ; + wire \soc.core.mgmtsoc_en_storage ; + wire \soc.core.mgmtsoc_enable_storage ; + wire \soc.core.mgmtsoc_irq ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[0] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[10] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[11] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[12] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[13] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[14] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[15] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[16] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[17] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[18] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[19] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[1] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[20] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[21] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[22] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[23] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[24] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[25] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[26] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[27] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[28] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[29] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[2] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[3] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[4] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[5] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[6] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[7] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[8] ; + wire \soc.core.mgmtsoc_litespimmap_burst_adr[9] ; + wire \soc.core.mgmtsoc_litespimmap_burst_cs ; + wire \soc.core.mgmtsoc_litespimmap_burst_cs_litespi_next_value0 ; + wire \soc.core.mgmtsoc_litespimmap_burst_cs_litespi_next_value_ce0 ; + wire \soc.core.mgmtsoc_litespimmap_count[0] ; + wire \soc.core.mgmtsoc_litespimmap_count[1] ; + wire \soc.core.mgmtsoc_litespimmap_count[2] ; + wire \soc.core.mgmtsoc_litespimmap_count[3] ; + wire \soc.core.mgmtsoc_litespimmap_count[4] ; + wire \soc.core.mgmtsoc_litespimmap_count[5] ; + wire \soc.core.mgmtsoc_litespimmap_count[6] ; + wire \soc.core.mgmtsoc_litespimmap_count[7] ; + wire \soc.core.mgmtsoc_litespimmap_count[8] ; + wire \soc.core.mgmtsoc_litespimmap_storage[0] ; + wire \soc.core.mgmtsoc_litespimmap_storage[1] ; + wire \soc.core.mgmtsoc_litespimmap_storage[2] ; + wire \soc.core.mgmtsoc_litespimmap_storage[3] ; + wire \soc.core.mgmtsoc_litespimmap_storage[4] ; + wire \soc.core.mgmtsoc_litespimmap_storage[5] ; + wire \soc.core.mgmtsoc_litespimmap_storage[6] ; + wire \soc.core.mgmtsoc_litespimmap_storage[7] ; + wire \soc.core.mgmtsoc_litespisdrphycore_clk ; + wire \soc.core.mgmtsoc_litespisdrphycore_cnt[0] ; + wire \soc.core.mgmtsoc_litespisdrphycore_cnt[1] ; + wire \soc.core.mgmtsoc_litespisdrphycore_cnt[2] ; + wire \soc.core.mgmtsoc_litespisdrphycore_cnt[3] ; + wire \soc.core.mgmtsoc_litespisdrphycore_cnt[4] ; + wire \soc.core.mgmtsoc_litespisdrphycore_cnt[5] ; + wire \soc.core.mgmtsoc_litespisdrphycore_cnt[6] ; + wire \soc.core.mgmtsoc_litespisdrphycore_cnt[7] ; + wire \soc.core.mgmtsoc_litespisdrphycore_count[0] ; + wire \soc.core.mgmtsoc_litespisdrphycore_count[1] ; + wire \soc.core.mgmtsoc_litespisdrphycore_count[2] ; + wire \soc.core.mgmtsoc_litespisdrphycore_count[3] ; + wire \soc.core.mgmtsoc_litespisdrphycore_dq_i[1] ; + wire \soc.core.mgmtsoc_litespisdrphycore_dq_o ; + wire \soc.core.mgmtsoc_litespisdrphycore_posedge_reg ; + wire \soc.core.mgmtsoc_litespisdrphycore_posedge_reg2 ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt[0] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt[1] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt[2] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt[3] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt[5] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt[6] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt[7] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[0] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[1] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[2] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[3] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[4] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[5] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[6] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[7] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[0] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[10] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[11] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[12] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[13] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[14] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[15] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[16] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[17] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[18] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[19] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[1] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[20] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[21] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[22] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[23] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[24] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[25] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[26] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[27] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[28] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[29] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[2] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[30] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[31] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[3] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[4] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[5] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[6] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[7] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[8] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_in[9] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[0] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[10] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[11] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[12] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[13] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[14] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[15] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[16] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[17] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[18] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[19] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[1] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[20] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[21] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[22] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[23] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[24] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[25] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[26] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[27] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[28] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[29] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[2] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[30] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[31] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[3] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[4] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[5] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[6] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[7] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[8] ; + wire \soc.core.mgmtsoc_litespisdrphycore_sr_out[9] ; + wire \soc.core.mgmtsoc_litespisdrphycore_storage[0] ; + wire \soc.core.mgmtsoc_litespisdrphycore_storage[1] ; + wire \soc.core.mgmtsoc_litespisdrphycore_storage[2] ; + wire \soc.core.mgmtsoc_litespisdrphycore_storage[3] ; + wire \soc.core.mgmtsoc_litespisdrphycore_storage[4] ; + wire \soc.core.mgmtsoc_litespisdrphycore_storage[5] ; + wire \soc.core.mgmtsoc_litespisdrphycore_storage[6] ; + wire \soc.core.mgmtsoc_litespisdrphycore_storage[7] ; + wire \soc.core.mgmtsoc_load_storage[0] ; + wire \soc.core.mgmtsoc_load_storage[10] ; + wire \soc.core.mgmtsoc_load_storage[11] ; + wire \soc.core.mgmtsoc_load_storage[12] ; + wire \soc.core.mgmtsoc_load_storage[13] ; + wire \soc.core.mgmtsoc_load_storage[14] ; + wire \soc.core.mgmtsoc_load_storage[15] ; + wire \soc.core.mgmtsoc_load_storage[16] ; + wire \soc.core.mgmtsoc_load_storage[17] ; + wire \soc.core.mgmtsoc_load_storage[18] ; + wire \soc.core.mgmtsoc_load_storage[19] ; + wire \soc.core.mgmtsoc_load_storage[1] ; + wire \soc.core.mgmtsoc_load_storage[20] ; + wire \soc.core.mgmtsoc_load_storage[21] ; + wire \soc.core.mgmtsoc_load_storage[22] ; + wire \soc.core.mgmtsoc_load_storage[23] ; + wire \soc.core.mgmtsoc_load_storage[24] ; + wire \soc.core.mgmtsoc_load_storage[25] ; + wire \soc.core.mgmtsoc_load_storage[26] ; + wire \soc.core.mgmtsoc_load_storage[27] ; + wire \soc.core.mgmtsoc_load_storage[28] ; + wire \soc.core.mgmtsoc_load_storage[29] ; + wire \soc.core.mgmtsoc_load_storage[2] ; + wire \soc.core.mgmtsoc_load_storage[30] ; + wire \soc.core.mgmtsoc_load_storage[31] ; + wire \soc.core.mgmtsoc_load_storage[3] ; + wire \soc.core.mgmtsoc_load_storage[4] ; + wire \soc.core.mgmtsoc_load_storage[5] ; + wire \soc.core.mgmtsoc_load_storage[6] ; + wire \soc.core.mgmtsoc_load_storage[7] ; + wire \soc.core.mgmtsoc_load_storage[8] ; + wire \soc.core.mgmtsoc_load_storage[9] ; + wire \soc.core.mgmtsoc_master_cs_storage ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[0] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[10] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[11] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[12] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[13] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[14] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[15] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[16] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[17] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[18] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[19] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[1] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[20] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[21] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[22] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[23] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[2] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[3] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[4] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[5] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[6] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[7] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[8] ; + wire \soc.core.mgmtsoc_master_phyconfig_storage[9] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[0] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[10] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[11] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[12] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[13] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[14] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[15] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[16] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[17] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[18] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[19] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[1] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[20] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[21] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[22] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[23] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[24] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[25] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[26] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[27] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[28] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[29] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[2] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[30] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[31] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[3] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[4] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[5] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[6] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[7] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[8] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_payload_data[9] ; + wire \soc.core.mgmtsoc_master_rx_fifo_source_valid ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[0] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[10] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[11] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[12] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[13] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[14] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[15] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[16] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[17] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[18] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[19] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[1] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[20] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[21] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[22] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[23] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[24] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[25] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[26] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[27] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[28] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[29] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[2] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[30] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[31] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[3] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[4] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[5] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[6] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[7] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[8] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_data[9] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_len[0] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_len[1] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_len[2] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_len[3] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_len[4] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_len[5] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_mask[0] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_width[0] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_width[1] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ; + wire \soc.core.mgmtsoc_master_tx_fifo_source_valid ; + wire \soc.core.mgmtsoc_pending_r ; + wire \soc.core.mgmtsoc_pending_re ; + wire \soc.core.mgmtsoc_reload_storage[0] ; + wire \soc.core.mgmtsoc_reload_storage[10] ; + wire \soc.core.mgmtsoc_reload_storage[11] ; + wire \soc.core.mgmtsoc_reload_storage[12] ; + wire \soc.core.mgmtsoc_reload_storage[13] ; + wire \soc.core.mgmtsoc_reload_storage[14] ; + wire \soc.core.mgmtsoc_reload_storage[15] ; + wire \soc.core.mgmtsoc_reload_storage[16] ; + wire \soc.core.mgmtsoc_reload_storage[17] ; + wire \soc.core.mgmtsoc_reload_storage[18] ; + wire \soc.core.mgmtsoc_reload_storage[19] ; + wire \soc.core.mgmtsoc_reload_storage[1] ; + wire \soc.core.mgmtsoc_reload_storage[20] ; + wire \soc.core.mgmtsoc_reload_storage[21] ; + wire \soc.core.mgmtsoc_reload_storage[22] ; + wire \soc.core.mgmtsoc_reload_storage[23] ; + wire \soc.core.mgmtsoc_reload_storage[24] ; + wire \soc.core.mgmtsoc_reload_storage[25] ; + wire \soc.core.mgmtsoc_reload_storage[26] ; + wire \soc.core.mgmtsoc_reload_storage[27] ; + wire \soc.core.mgmtsoc_reload_storage[28] ; + wire \soc.core.mgmtsoc_reload_storage[29] ; + wire \soc.core.mgmtsoc_reload_storage[2] ; + wire \soc.core.mgmtsoc_reload_storage[30] ; + wire \soc.core.mgmtsoc_reload_storage[31] ; + wire \soc.core.mgmtsoc_reload_storage[3] ; + wire \soc.core.mgmtsoc_reload_storage[4] ; + wire \soc.core.mgmtsoc_reload_storage[5] ; + wire \soc.core.mgmtsoc_reload_storage[6] ; + wire \soc.core.mgmtsoc_reload_storage[7] ; + wire \soc.core.mgmtsoc_reload_storage[8] ; + wire \soc.core.mgmtsoc_reload_storage[9] ; + wire \soc.core.mgmtsoc_reset_re ; + wire \soc.core.mgmtsoc_reset_storage[0] ; + wire \soc.core.mgmtsoc_scratch_storage[0] ; + wire \soc.core.mgmtsoc_scratch_storage[10] ; + wire \soc.core.mgmtsoc_scratch_storage[11] ; + wire \soc.core.mgmtsoc_scratch_storage[12] ; + wire \soc.core.mgmtsoc_scratch_storage[13] ; + wire \soc.core.mgmtsoc_scratch_storage[14] ; + wire \soc.core.mgmtsoc_scratch_storage[15] ; + wire \soc.core.mgmtsoc_scratch_storage[16] ; + wire \soc.core.mgmtsoc_scratch_storage[17] ; + wire \soc.core.mgmtsoc_scratch_storage[18] ; + wire \soc.core.mgmtsoc_scratch_storage[19] ; + wire \soc.core.mgmtsoc_scratch_storage[1] ; + wire \soc.core.mgmtsoc_scratch_storage[20] ; + wire \soc.core.mgmtsoc_scratch_storage[21] ; + wire \soc.core.mgmtsoc_scratch_storage[22] ; + wire \soc.core.mgmtsoc_scratch_storage[23] ; + wire \soc.core.mgmtsoc_scratch_storage[24] ; + wire \soc.core.mgmtsoc_scratch_storage[25] ; + wire \soc.core.mgmtsoc_scratch_storage[26] ; + wire \soc.core.mgmtsoc_scratch_storage[27] ; + wire \soc.core.mgmtsoc_scratch_storage[28] ; + wire \soc.core.mgmtsoc_scratch_storage[29] ; + wire \soc.core.mgmtsoc_scratch_storage[2] ; + wire \soc.core.mgmtsoc_scratch_storage[30] ; + wire \soc.core.mgmtsoc_scratch_storage[31] ; + wire \soc.core.mgmtsoc_scratch_storage[3] ; + wire \soc.core.mgmtsoc_scratch_storage[4] ; + wire \soc.core.mgmtsoc_scratch_storage[5] ; + wire \soc.core.mgmtsoc_scratch_storage[6] ; + wire \soc.core.mgmtsoc_scratch_storage[7] ; + wire \soc.core.mgmtsoc_scratch_storage[8] ; + wire \soc.core.mgmtsoc_scratch_storage[9] ; + wire \soc.core.mgmtsoc_update_value_re ; + wire \soc.core.mgmtsoc_update_value_storage ; + wire \soc.core.mgmtsoc_value[0] ; + wire \soc.core.mgmtsoc_value[10] ; + wire \soc.core.mgmtsoc_value[11] ; + wire \soc.core.mgmtsoc_value[12] ; + wire \soc.core.mgmtsoc_value[13] ; + wire \soc.core.mgmtsoc_value[14] ; + wire \soc.core.mgmtsoc_value[15] ; + wire \soc.core.mgmtsoc_value[16] ; + wire \soc.core.mgmtsoc_value[17] ; + wire \soc.core.mgmtsoc_value[18] ; + wire \soc.core.mgmtsoc_value[19] ; + wire \soc.core.mgmtsoc_value[1] ; + wire \soc.core.mgmtsoc_value[20] ; + wire \soc.core.mgmtsoc_value[21] ; + wire \soc.core.mgmtsoc_value[22] ; + wire \soc.core.mgmtsoc_value[23] ; + wire \soc.core.mgmtsoc_value[24] ; + wire \soc.core.mgmtsoc_value[25] ; + wire \soc.core.mgmtsoc_value[26] ; + wire \soc.core.mgmtsoc_value[27] ; + wire \soc.core.mgmtsoc_value[28] ; + wire \soc.core.mgmtsoc_value[29] ; + wire \soc.core.mgmtsoc_value[2] ; + wire \soc.core.mgmtsoc_value[30] ; + wire \soc.core.mgmtsoc_value[31] ; + wire \soc.core.mgmtsoc_value[3] ; + wire \soc.core.mgmtsoc_value[4] ; + wire \soc.core.mgmtsoc_value[5] ; + wire \soc.core.mgmtsoc_value[6] ; + wire \soc.core.mgmtsoc_value[7] ; + wire \soc.core.mgmtsoc_value[8] ; + wire \soc.core.mgmtsoc_value[9] ; + wire \soc.core.mgmtsoc_value_status[0] ; + wire \soc.core.mgmtsoc_value_status[10] ; + wire \soc.core.mgmtsoc_value_status[11] ; + wire \soc.core.mgmtsoc_value_status[12] ; + wire \soc.core.mgmtsoc_value_status[13] ; + wire \soc.core.mgmtsoc_value_status[14] ; + wire \soc.core.mgmtsoc_value_status[15] ; + wire \soc.core.mgmtsoc_value_status[16] ; + wire \soc.core.mgmtsoc_value_status[17] ; + wire \soc.core.mgmtsoc_value_status[18] ; + wire \soc.core.mgmtsoc_value_status[19] ; + wire \soc.core.mgmtsoc_value_status[1] ; + wire \soc.core.mgmtsoc_value_status[20] ; + wire \soc.core.mgmtsoc_value_status[21] ; + wire \soc.core.mgmtsoc_value_status[22] ; + wire \soc.core.mgmtsoc_value_status[23] ; + wire \soc.core.mgmtsoc_value_status[24] ; + wire \soc.core.mgmtsoc_value_status[25] ; + wire \soc.core.mgmtsoc_value_status[26] ; + wire \soc.core.mgmtsoc_value_status[27] ; + wire \soc.core.mgmtsoc_value_status[28] ; + wire \soc.core.mgmtsoc_value_status[29] ; + wire \soc.core.mgmtsoc_value_status[2] ; + wire \soc.core.mgmtsoc_value_status[30] ; + wire \soc.core.mgmtsoc_value_status[31] ; + wire \soc.core.mgmtsoc_value_status[3] ; + wire \soc.core.mgmtsoc_value_status[4] ; + wire \soc.core.mgmtsoc_value_status[5] ; + wire \soc.core.mgmtsoc_value_status[6] ; + wire \soc.core.mgmtsoc_value_status[7] ; + wire \soc.core.mgmtsoc_value_status[8] ; + wire \soc.core.mgmtsoc_value_status[9] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_ack ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[0] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[10] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[11] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[12] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[13] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[14] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[15] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[16] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[17] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[18] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[19] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[1] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[20] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[21] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[22] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[23] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[24] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[25] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[26] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[27] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[28] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[29] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[2] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[30] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[31] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[3] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[4] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[5] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[6] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[7] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[8] ; + wire \soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[9] ; + wire \soc.core.mgmtsoc_vexriscv_debug_reset ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[2] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[3] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[4] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[5] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[6] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[7] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[0] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[10] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[11] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[12] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[13] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[14] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[15] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[19] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[1] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[20] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[21] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[22] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[23] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[27] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[28] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[29] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[2] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[30] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[31] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[3] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[4] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[5] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[6] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[7] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[8] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[9] ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_payload_wr ; + wire \soc.core.mgmtsoc_vexriscv_i_cmd_valid ; + wire \soc.core.mgmtsoc_vexriscv_reset_debug_logic ; + wire \soc.core.mgmtsoc_vexriscv_transfer_complete ; + wire \soc.core.mgmtsoc_vexriscv_transfer_in_progress ; + wire \soc.core.mgmtsoc_vexriscv_transfer_wait_for_ack ; + wire \soc.core.mgmtsoc_zero_pending ; + wire \soc.core.mgmtsoc_zero_trigger_d ; + wire \soc.core.mprj_wb_iena_storage ; + wire \soc.core.multiregimpl0_regs0 ; + wire \soc.core.multiregimpl0_regs1 ; + wire \soc.core.multiregimpl100_regs0 ; + wire \soc.core.multiregimpl100_regs1 ; + wire \soc.core.multiregimpl101_regs0 ; + wire \soc.core.multiregimpl101_regs1 ; + wire \soc.core.multiregimpl102_regs0 ; + wire \soc.core.multiregimpl102_regs1 ; + wire \soc.core.multiregimpl103_regs0 ; + wire \soc.core.multiregimpl103_regs1 ; + wire \soc.core.multiregimpl104_regs0 ; + wire \soc.core.multiregimpl104_regs1 ; + wire \soc.core.multiregimpl105_regs0 ; + wire \soc.core.multiregimpl105_regs1 ; + wire \soc.core.multiregimpl106_regs0 ; + wire \soc.core.multiregimpl106_regs1 ; + wire \soc.core.multiregimpl107_regs0 ; + wire \soc.core.multiregimpl107_regs1 ; + wire \soc.core.multiregimpl108_regs0 ; + wire \soc.core.multiregimpl108_regs1 ; + wire \soc.core.multiregimpl109_regs0 ; + wire \soc.core.multiregimpl109_regs1 ; + wire \soc.core.multiregimpl10_regs0 ; + wire \soc.core.multiregimpl10_regs1 ; + wire \soc.core.multiregimpl110_regs0 ; + wire \soc.core.multiregimpl110_regs1 ; + wire \soc.core.multiregimpl111_regs0 ; + wire \soc.core.multiregimpl111_regs1 ; + wire \soc.core.multiregimpl112_regs0 ; + wire \soc.core.multiregimpl112_regs1 ; + wire \soc.core.multiregimpl113_regs0 ; + wire \soc.core.multiregimpl113_regs1 ; + wire \soc.core.multiregimpl114_regs0 ; + wire \soc.core.multiregimpl114_regs1 ; + wire \soc.core.multiregimpl115_regs0 ; + wire \soc.core.multiregimpl115_regs1 ; + wire \soc.core.multiregimpl116_regs0 ; + wire \soc.core.multiregimpl116_regs1 ; + wire \soc.core.multiregimpl117_regs0 ; + wire \soc.core.multiregimpl117_regs1 ; + wire \soc.core.multiregimpl118_regs0 ; + wire \soc.core.multiregimpl118_regs1 ; + wire \soc.core.multiregimpl119_regs0 ; + wire \soc.core.multiregimpl119_regs1 ; + wire \soc.core.multiregimpl11_regs0 ; + wire \soc.core.multiregimpl11_regs1 ; + wire \soc.core.multiregimpl120_regs0 ; + wire \soc.core.multiregimpl120_regs1 ; + wire \soc.core.multiregimpl121_regs0 ; + wire \soc.core.multiregimpl121_regs1 ; + wire \soc.core.multiregimpl122_regs0 ; + wire \soc.core.multiregimpl122_regs1 ; + wire \soc.core.multiregimpl123_regs0 ; + wire \soc.core.multiregimpl123_regs1 ; + wire \soc.core.multiregimpl124_regs0 ; + wire \soc.core.multiregimpl124_regs1 ; + wire \soc.core.multiregimpl125_regs0 ; + wire \soc.core.multiregimpl125_regs1 ; + wire \soc.core.multiregimpl126_regs0 ; + wire \soc.core.multiregimpl126_regs1 ; + wire \soc.core.multiregimpl127_regs0 ; + wire \soc.core.multiregimpl127_regs1 ; + wire \soc.core.multiregimpl128_regs0 ; + wire \soc.core.multiregimpl128_regs1 ; + wire \soc.core.multiregimpl129_regs0 ; + wire \soc.core.multiregimpl129_regs1 ; + wire \soc.core.multiregimpl12_regs0 ; + wire \soc.core.multiregimpl12_regs1 ; + wire \soc.core.multiregimpl130_regs0 ; + wire \soc.core.multiregimpl130_regs1 ; + wire \soc.core.multiregimpl131_regs0 ; + wire \soc.core.multiregimpl131_regs1 ; + wire \soc.core.multiregimpl132_regs0 ; + wire \soc.core.multiregimpl132_regs1 ; + wire \soc.core.multiregimpl133_regs0 ; + wire \soc.core.multiregimpl133_regs1 ; + wire \soc.core.multiregimpl134_regs0 ; + wire \soc.core.multiregimpl134_regs1 ; + wire \soc.core.multiregimpl135_regs0 ; + wire \soc.core.multiregimpl135_regs1 ; + wire \soc.core.multiregimpl136_regs0 ; + wire \soc.core.multiregimpl136_regs1 ; + wire \soc.core.multiregimpl13_regs0 ; + wire \soc.core.multiregimpl13_regs1 ; + wire \soc.core.multiregimpl14_regs0 ; + wire \soc.core.multiregimpl14_regs1 ; + wire \soc.core.multiregimpl15_regs0 ; + wire \soc.core.multiregimpl15_regs1 ; + wire \soc.core.multiregimpl16_regs0 ; + wire \soc.core.multiregimpl16_regs1 ; + wire \soc.core.multiregimpl17_regs0 ; + wire \soc.core.multiregimpl17_regs1 ; + wire \soc.core.multiregimpl18_regs0 ; + wire \soc.core.multiregimpl18_regs1 ; + wire \soc.core.multiregimpl19_regs0 ; + wire \soc.core.multiregimpl19_regs1 ; + wire \soc.core.multiregimpl1_regs0 ; + wire \soc.core.multiregimpl1_regs1 ; + wire \soc.core.multiregimpl20_regs0 ; + wire \soc.core.multiregimpl20_regs1 ; + wire \soc.core.multiregimpl21_regs0 ; + wire \soc.core.multiregimpl21_regs1 ; + wire \soc.core.multiregimpl22_regs0 ; + wire \soc.core.multiregimpl22_regs1 ; + wire \soc.core.multiregimpl23_regs0 ; + wire \soc.core.multiregimpl23_regs1 ; + wire \soc.core.multiregimpl24_regs0 ; + wire \soc.core.multiregimpl24_regs1 ; + wire \soc.core.multiregimpl25_regs0 ; + wire \soc.core.multiregimpl25_regs1 ; + wire \soc.core.multiregimpl26_regs0 ; + wire \soc.core.multiregimpl26_regs1 ; + wire \soc.core.multiregimpl27_regs0 ; + wire \soc.core.multiregimpl27_regs1 ; + wire \soc.core.multiregimpl28_regs0 ; + wire \soc.core.multiregimpl28_regs1 ; + wire \soc.core.multiregimpl29_regs0 ; + wire \soc.core.multiregimpl29_regs1 ; + wire \soc.core.multiregimpl2_regs0 ; + wire \soc.core.multiregimpl2_regs1 ; + wire \soc.core.multiregimpl30_regs0 ; + wire \soc.core.multiregimpl30_regs1 ; + wire \soc.core.multiregimpl31_regs0 ; + wire \soc.core.multiregimpl31_regs1 ; + wire \soc.core.multiregimpl32_regs0 ; + wire \soc.core.multiregimpl32_regs1 ; + wire \soc.core.multiregimpl33_regs0 ; + wire \soc.core.multiregimpl33_regs1 ; + wire \soc.core.multiregimpl34_regs0 ; + wire \soc.core.multiregimpl34_regs1 ; + wire \soc.core.multiregimpl35_regs0 ; + wire \soc.core.multiregimpl35_regs1 ; + wire \soc.core.multiregimpl36_regs0 ; + wire \soc.core.multiregimpl36_regs1 ; + wire \soc.core.multiregimpl37_regs0 ; + wire \soc.core.multiregimpl37_regs1 ; + wire \soc.core.multiregimpl38_regs0 ; + wire \soc.core.multiregimpl38_regs1 ; + wire \soc.core.multiregimpl39_regs0 ; + wire \soc.core.multiregimpl39_regs1 ; + wire \soc.core.multiregimpl3_regs0 ; + wire \soc.core.multiregimpl3_regs1 ; + wire \soc.core.multiregimpl40_regs0 ; + wire \soc.core.multiregimpl40_regs1 ; + wire \soc.core.multiregimpl41_regs0 ; + wire \soc.core.multiregimpl41_regs1 ; + wire \soc.core.multiregimpl42_regs0 ; + wire \soc.core.multiregimpl42_regs1 ; + wire \soc.core.multiregimpl43_regs0 ; + wire \soc.core.multiregimpl43_regs1 ; + wire \soc.core.multiregimpl44_regs0 ; + wire \soc.core.multiregimpl44_regs1 ; + wire \soc.core.multiregimpl45_regs0 ; + wire \soc.core.multiregimpl45_regs1 ; + wire \soc.core.multiregimpl46_regs0 ; + wire \soc.core.multiregimpl46_regs1 ; + wire \soc.core.multiregimpl47_regs0 ; + wire \soc.core.multiregimpl47_regs1 ; + wire \soc.core.multiregimpl48_regs0 ; + wire \soc.core.multiregimpl48_regs1 ; + wire \soc.core.multiregimpl49_regs0 ; + wire \soc.core.multiregimpl49_regs1 ; + wire \soc.core.multiregimpl4_regs0 ; + wire \soc.core.multiregimpl4_regs1 ; + wire \soc.core.multiregimpl50_regs0 ; + wire \soc.core.multiregimpl50_regs1 ; + wire \soc.core.multiregimpl51_regs0 ; + wire \soc.core.multiregimpl51_regs1 ; + wire \soc.core.multiregimpl52_regs0 ; + wire \soc.core.multiregimpl52_regs1 ; + wire \soc.core.multiregimpl53_regs0 ; + wire \soc.core.multiregimpl53_regs1 ; + wire \soc.core.multiregimpl54_regs0 ; + wire \soc.core.multiregimpl54_regs1 ; + wire \soc.core.multiregimpl55_regs0 ; + wire \soc.core.multiregimpl55_regs1 ; + wire \soc.core.multiregimpl56_regs0 ; + wire \soc.core.multiregimpl56_regs1 ; + wire \soc.core.multiregimpl57_regs0 ; + wire \soc.core.multiregimpl57_regs1 ; + wire \soc.core.multiregimpl58_regs0 ; + wire \soc.core.multiregimpl58_regs1 ; + wire \soc.core.multiregimpl59_regs0 ; + wire \soc.core.multiregimpl59_regs1 ; + wire \soc.core.multiregimpl5_regs0 ; + wire \soc.core.multiregimpl5_regs1 ; + wire \soc.core.multiregimpl60_regs0 ; + wire \soc.core.multiregimpl60_regs1 ; + wire \soc.core.multiregimpl61_regs0 ; + wire \soc.core.multiregimpl61_regs1 ; + wire \soc.core.multiregimpl62_regs0 ; + wire \soc.core.multiregimpl62_regs1 ; + wire \soc.core.multiregimpl63_regs0 ; + wire \soc.core.multiregimpl63_regs1 ; + wire \soc.core.multiregimpl64_regs0 ; + wire \soc.core.multiregimpl64_regs1 ; + wire \soc.core.multiregimpl65_regs0 ; + wire \soc.core.multiregimpl65_regs1 ; + wire \soc.core.multiregimpl66_regs0 ; + wire \soc.core.multiregimpl66_regs1 ; + wire \soc.core.multiregimpl67_regs0 ; + wire \soc.core.multiregimpl67_regs1 ; + wire \soc.core.multiregimpl68_regs0 ; + wire \soc.core.multiregimpl68_regs1 ; + wire \soc.core.multiregimpl69_regs0 ; + wire \soc.core.multiregimpl69_regs1 ; + wire \soc.core.multiregimpl6_regs0 ; + wire \soc.core.multiregimpl6_regs1 ; + wire \soc.core.multiregimpl70_regs0 ; + wire \soc.core.multiregimpl70_regs1 ; + wire \soc.core.multiregimpl71_regs0 ; + wire \soc.core.multiregimpl71_regs1 ; + wire \soc.core.multiregimpl72_regs0 ; + wire \soc.core.multiregimpl72_regs1 ; + wire \soc.core.multiregimpl73_regs0 ; + wire \soc.core.multiregimpl73_regs1 ; + wire \soc.core.multiregimpl74_regs0 ; + wire \soc.core.multiregimpl74_regs1 ; + wire \soc.core.multiregimpl75_regs0 ; + wire \soc.core.multiregimpl75_regs1 ; + wire \soc.core.multiregimpl76_regs0 ; + wire \soc.core.multiregimpl76_regs1 ; + wire \soc.core.multiregimpl77_regs0 ; + wire \soc.core.multiregimpl77_regs1 ; + wire \soc.core.multiregimpl78_regs0 ; + wire \soc.core.multiregimpl78_regs1 ; + wire \soc.core.multiregimpl79_regs0 ; + wire \soc.core.multiregimpl79_regs1 ; + wire \soc.core.multiregimpl7_regs0 ; + wire \soc.core.multiregimpl7_regs1 ; + wire \soc.core.multiregimpl80_regs0 ; + wire \soc.core.multiregimpl80_regs1 ; + wire \soc.core.multiregimpl81_regs0 ; + wire \soc.core.multiregimpl81_regs1 ; + wire \soc.core.multiregimpl82_regs0 ; + wire \soc.core.multiregimpl82_regs1 ; + wire \soc.core.multiregimpl83_regs0 ; + wire \soc.core.multiregimpl83_regs1 ; + wire \soc.core.multiregimpl84_regs0 ; + wire \soc.core.multiregimpl84_regs1 ; + wire \soc.core.multiregimpl85_regs0 ; + wire \soc.core.multiregimpl85_regs1 ; + wire \soc.core.multiregimpl86_regs0 ; + wire \soc.core.multiregimpl86_regs1 ; + wire \soc.core.multiregimpl87_regs0 ; + wire \soc.core.multiregimpl87_regs1 ; + wire \soc.core.multiregimpl88_regs0 ; + wire \soc.core.multiregimpl88_regs1 ; + wire \soc.core.multiregimpl89_regs0 ; + wire \soc.core.multiregimpl89_regs1 ; + wire \soc.core.multiregimpl8_regs0 ; + wire \soc.core.multiregimpl8_regs1 ; + wire \soc.core.multiregimpl90_regs0 ; + wire \soc.core.multiregimpl90_regs1 ; + wire \soc.core.multiregimpl91_regs0 ; + wire \soc.core.multiregimpl91_regs1 ; + wire \soc.core.multiregimpl92_regs0 ; + wire \soc.core.multiregimpl92_regs1 ; + wire \soc.core.multiregimpl93_regs0 ; + wire \soc.core.multiregimpl93_regs1 ; + wire \soc.core.multiregimpl94_regs0 ; + wire \soc.core.multiregimpl94_regs1 ; + wire \soc.core.multiregimpl95_regs0 ; + wire \soc.core.multiregimpl95_regs1 ; + wire \soc.core.multiregimpl96_regs0 ; + wire \soc.core.multiregimpl96_regs1 ; + wire \soc.core.multiregimpl97_regs0 ; + wire \soc.core.multiregimpl97_regs1 ; + wire \soc.core.multiregimpl98_regs0 ; + wire \soc.core.multiregimpl98_regs1 ; + wire \soc.core.multiregimpl99_regs0 ; + wire \soc.core.multiregimpl99_regs1 ; + wire \soc.core.multiregimpl9_regs0 ; + wire \soc.core.multiregimpl9_regs1 ; + wire \soc.core.rs232phy_rs232phyrx_next_state ; + wire \soc.core.rs232phy_rs232phyrx_state ; + wire \soc.core.rs232phy_rs232phytx_next_state ; + wire \soc.core.rs232phy_rs232phytx_state ; + wire \soc.core.serial_rx ; + wire \soc.core.serial_tx ; + wire \soc.core.slave_sel_r[0] ; + wire \soc.core.slave_sel_r[1] ; + wire \soc.core.slave_sel_r[2] ; + wire \soc.core.slave_sel_r[3] ; + wire \soc.core.slave_sel_r[4] ; + wire \soc.core.slave_sel_r[5] ; + wire \soc.core.slave_sel_r[6] ; + wire \soc.core.spi_clk ; + wire \soc.core.spi_cs_n ; + wire \soc.core.spi_enabled_storage ; + wire \soc.core.spi_master_clk_divider1[0] ; + wire \soc.core.spi_master_clk_divider1[10] ; + wire \soc.core.spi_master_clk_divider1[11] ; + wire \soc.core.spi_master_clk_divider1[12] ; + wire \soc.core.spi_master_clk_divider1[13] ; + wire \soc.core.spi_master_clk_divider1[14] ; + wire \soc.core.spi_master_clk_divider1[15] ; + wire \soc.core.spi_master_clk_divider1[1] ; + wire \soc.core.spi_master_clk_divider1[2] ; + wire \soc.core.spi_master_clk_divider1[3] ; + wire \soc.core.spi_master_clk_divider1[4] ; + wire \soc.core.spi_master_clk_divider1[5] ; + wire \soc.core.spi_master_clk_divider1[6] ; + wire \soc.core.spi_master_clk_divider1[7] ; + wire \soc.core.spi_master_clk_divider1[8] ; + wire \soc.core.spi_master_clk_divider1[9] ; + wire \soc.core.spi_master_control_re ; + wire \soc.core.spi_master_control_storage[0] ; + wire \soc.core.spi_master_control_storage[10] ; + wire \soc.core.spi_master_control_storage[11] ; + wire \soc.core.spi_master_control_storage[12] ; + wire \soc.core.spi_master_control_storage[13] ; + wire \soc.core.spi_master_control_storage[14] ; + wire \soc.core.spi_master_control_storage[15] ; + wire \soc.core.spi_master_control_storage[1] ; + wire \soc.core.spi_master_control_storage[2] ; + wire \soc.core.spi_master_control_storage[3] ; + wire \soc.core.spi_master_control_storage[4] ; + wire \soc.core.spi_master_control_storage[5] ; + wire \soc.core.spi_master_control_storage[6] ; + wire \soc.core.spi_master_control_storage[7] ; + wire \soc.core.spi_master_control_storage[8] ; + wire \soc.core.spi_master_control_storage[9] ; + wire \soc.core.spi_master_count[0] ; + wire \soc.core.spi_master_count[1] ; + wire \soc.core.spi_master_count[2] ; + wire \soc.core.spi_master_cs ; + wire \soc.core.spi_master_cs_mode ; + wire \soc.core.spi_master_cs_storage[10] ; + wire \soc.core.spi_master_cs_storage[11] ; + wire \soc.core.spi_master_cs_storage[12] ; + wire \soc.core.spi_master_cs_storage[13] ; + wire \soc.core.spi_master_cs_storage[14] ; + wire \soc.core.spi_master_cs_storage[15] ; + wire \soc.core.spi_master_cs_storage[1] ; + wire \soc.core.spi_master_cs_storage[2] ; + wire \soc.core.spi_master_cs_storage[3] ; + wire \soc.core.spi_master_cs_storage[4] ; + wire \soc.core.spi_master_cs_storage[5] ; + wire \soc.core.spi_master_cs_storage[6] ; + wire \soc.core.spi_master_cs_storage[7] ; + wire \soc.core.spi_master_cs_storage[8] ; + wire \soc.core.spi_master_cs_storage[9] ; + wire \soc.core.spi_master_loopback_storage ; + wire \soc.core.spi_master_miso[0] ; + wire \soc.core.spi_master_miso[1] ; + wire \soc.core.spi_master_miso[2] ; + wire \soc.core.spi_master_miso[3] ; + wire \soc.core.spi_master_miso[4] ; + wire \soc.core.spi_master_miso[5] ; + wire \soc.core.spi_master_miso[6] ; + wire \soc.core.spi_master_miso[7] ; + wire \soc.core.spi_master_miso_data[0] ; + wire \soc.core.spi_master_miso_data[1] ; + wire \soc.core.spi_master_miso_data[2] ; + wire \soc.core.spi_master_miso_data[3] ; + wire \soc.core.spi_master_miso_data[4] ; + wire \soc.core.spi_master_miso_data[5] ; + wire \soc.core.spi_master_miso_data[6] ; + wire \soc.core.spi_master_miso_data[7] ; + wire \soc.core.spi_master_mosi_data[0] ; + wire \soc.core.spi_master_mosi_data[1] ; + wire \soc.core.spi_master_mosi_data[2] ; + wire \soc.core.spi_master_mosi_data[3] ; + wire \soc.core.spi_master_mosi_data[4] ; + wire \soc.core.spi_master_mosi_data[5] ; + wire \soc.core.spi_master_mosi_data[6] ; + wire \soc.core.spi_master_mosi_data[7] ; + wire \soc.core.spi_master_mosi_sel[0] ; + wire \soc.core.spi_master_mosi_sel[1] ; + wire \soc.core.spi_master_mosi_sel[2] ; + wire \soc.core.spi_master_mosi_storage[0] ; + wire \soc.core.spi_master_mosi_storage[1] ; + wire \soc.core.spi_master_mosi_storage[2] ; + wire \soc.core.spi_master_mosi_storage[3] ; + wire \soc.core.spi_master_mosi_storage[4] ; + wire \soc.core.spi_master_mosi_storage[5] ; + wire \soc.core.spi_master_mosi_storage[6] ; + wire \soc.core.spi_master_mosi_storage[7] ; + wire \soc.core.spi_miso ; + wire \soc.core.spi_mosi ; + wire \soc.core.spi_sdoenb ; + wire \soc.core.spimaster_state[0] ; + wire \soc.core.spimaster_state[1] ; + wire \soc.core.spimaster_storage[0] ; + wire \soc.core.spimaster_storage[10] ; + wire \soc.core.spimaster_storage[11] ; + wire \soc.core.spimaster_storage[12] ; + wire \soc.core.spimaster_storage[13] ; + wire \soc.core.spimaster_storage[14] ; + wire \soc.core.spimaster_storage[15] ; + wire \soc.core.spimaster_storage[1] ; + wire \soc.core.spimaster_storage[2] ; + wire \soc.core.spimaster_storage[3] ; + wire \soc.core.spimaster_storage[4] ; + wire \soc.core.spimaster_storage[5] ; + wire \soc.core.spimaster_storage[6] ; + wire \soc.core.spimaster_storage[7] ; + wire \soc.core.spimaster_storage[8] ; + wire \soc.core.spimaster_storage[9] ; + wire \soc.core.state ; + wire \soc.core.storage[0][0] ; + wire \soc.core.storage[0][1] ; + wire \soc.core.storage[0][2] ; + wire \soc.core.storage[0][3] ; + wire \soc.core.storage[0][4] ; + wire \soc.core.storage[0][5] ; + wire \soc.core.storage[0][6] ; + wire \soc.core.storage[0][7] ; + wire \soc.core.storage[10][0] ; + wire \soc.core.storage[10][1] ; + wire \soc.core.storage[10][2] ; + wire \soc.core.storage[10][3] ; + wire \soc.core.storage[10][4] ; + wire \soc.core.storage[10][5] ; + wire \soc.core.storage[10][6] ; + wire \soc.core.storage[10][7] ; + wire \soc.core.storage[11][0] ; + wire \soc.core.storage[11][1] ; + wire \soc.core.storage[11][2] ; + wire \soc.core.storage[11][3] ; + wire \soc.core.storage[11][4] ; + wire \soc.core.storage[11][5] ; + wire \soc.core.storage[11][6] ; + wire \soc.core.storage[11][7] ; + wire \soc.core.storage[12][0] ; + wire \soc.core.storage[12][1] ; + wire \soc.core.storage[12][2] ; + wire \soc.core.storage[12][3] ; + wire \soc.core.storage[12][4] ; + wire \soc.core.storage[12][5] ; + wire \soc.core.storage[12][6] ; + wire \soc.core.storage[12][7] ; + wire \soc.core.storage[13][0] ; + wire \soc.core.storage[13][1] ; + wire \soc.core.storage[13][2] ; + wire \soc.core.storage[13][3] ; + wire \soc.core.storage[13][4] ; + wire \soc.core.storage[13][5] ; + wire \soc.core.storage[13][6] ; + wire \soc.core.storage[13][7] ; + wire \soc.core.storage[14][0] ; + wire \soc.core.storage[14][1] ; + wire \soc.core.storage[14][2] ; + wire \soc.core.storage[14][3] ; + wire \soc.core.storage[14][4] ; + wire \soc.core.storage[14][5] ; + wire \soc.core.storage[14][6] ; + wire \soc.core.storage[14][7] ; + wire \soc.core.storage[15][0] ; + wire \soc.core.storage[15][1] ; + wire \soc.core.storage[15][2] ; + wire \soc.core.storage[15][3] ; + wire \soc.core.storage[15][4] ; + wire \soc.core.storage[15][5] ; + wire \soc.core.storage[15][6] ; + wire \soc.core.storage[15][7] ; + wire \soc.core.storage[1][0] ; + wire \soc.core.storage[1][1] ; + wire \soc.core.storage[1][2] ; + wire \soc.core.storage[1][3] ; + wire \soc.core.storage[1][4] ; + wire \soc.core.storage[1][5] ; + wire \soc.core.storage[1][6] ; + wire \soc.core.storage[1][7] ; + wire \soc.core.storage[2][0] ; + wire \soc.core.storage[2][1] ; + wire \soc.core.storage[2][2] ; + wire \soc.core.storage[2][3] ; + wire \soc.core.storage[2][4] ; + wire \soc.core.storage[2][5] ; + wire \soc.core.storage[2][6] ; + wire \soc.core.storage[2][7] ; + wire \soc.core.storage[3][0] ; + wire \soc.core.storage[3][1] ; + wire \soc.core.storage[3][2] ; + wire \soc.core.storage[3][3] ; + wire \soc.core.storage[3][4] ; + wire \soc.core.storage[3][5] ; + wire \soc.core.storage[3][6] ; + wire \soc.core.storage[3][7] ; + wire \soc.core.storage[4][0] ; + wire \soc.core.storage[4][1] ; + wire \soc.core.storage[4][2] ; + wire \soc.core.storage[4][3] ; + wire \soc.core.storage[4][4] ; + wire \soc.core.storage[4][5] ; + wire \soc.core.storage[4][6] ; + wire \soc.core.storage[4][7] ; + wire \soc.core.storage[5][0] ; + wire \soc.core.storage[5][1] ; + wire \soc.core.storage[5][2] ; + wire \soc.core.storage[5][3] ; + wire \soc.core.storage[5][4] ; + wire \soc.core.storage[5][5] ; + wire \soc.core.storage[5][6] ; + wire \soc.core.storage[5][7] ; + wire \soc.core.storage[6][0] ; + wire \soc.core.storage[6][1] ; + wire \soc.core.storage[6][2] ; + wire \soc.core.storage[6][3] ; + wire \soc.core.storage[6][4] ; + wire \soc.core.storage[6][5] ; + wire \soc.core.storage[6][6] ; + wire \soc.core.storage[6][7] ; + wire \soc.core.storage[7][0] ; + wire \soc.core.storage[7][1] ; + wire \soc.core.storage[7][2] ; + wire \soc.core.storage[7][3] ; + wire \soc.core.storage[7][4] ; + wire \soc.core.storage[7][5] ; + wire \soc.core.storage[7][6] ; + wire \soc.core.storage[7][7] ; + wire \soc.core.storage[8][0] ; + wire \soc.core.storage[8][1] ; + wire \soc.core.storage[8][2] ; + wire \soc.core.storage[8][3] ; + wire \soc.core.storage[8][4] ; + wire \soc.core.storage[8][5] ; + wire \soc.core.storage[8][6] ; + wire \soc.core.storage[8][7] ; + wire \soc.core.storage[9][0] ; + wire \soc.core.storage[9][1] ; + wire \soc.core.storage[9][2] ; + wire \soc.core.storage[9][3] ; + wire \soc.core.storage[9][4] ; + wire \soc.core.storage[9][5] ; + wire \soc.core.storage[9][6] ; + wire \soc.core.storage[9][7] ; + wire \soc.core.storage_1[0][0] ; + wire \soc.core.storage_1[0][1] ; + wire \soc.core.storage_1[0][2] ; + wire \soc.core.storage_1[0][3] ; + wire \soc.core.storage_1[0][4] ; + wire \soc.core.storage_1[0][5] ; + wire \soc.core.storage_1[0][6] ; + wire \soc.core.storage_1[0][7] ; + wire \soc.core.storage_1[10][0] ; + wire \soc.core.storage_1[10][1] ; + wire \soc.core.storage_1[10][2] ; + wire \soc.core.storage_1[10][3] ; + wire \soc.core.storage_1[10][4] ; + wire \soc.core.storage_1[10][5] ; + wire \soc.core.storage_1[10][6] ; + wire \soc.core.storage_1[10][7] ; + wire \soc.core.storage_1[11][0] ; + wire \soc.core.storage_1[11][1] ; + wire \soc.core.storage_1[11][2] ; + wire \soc.core.storage_1[11][3] ; + wire \soc.core.storage_1[11][4] ; + wire \soc.core.storage_1[11][5] ; + wire \soc.core.storage_1[11][6] ; + wire \soc.core.storage_1[11][7] ; + wire \soc.core.storage_1[12][0] ; + wire \soc.core.storage_1[12][1] ; + wire \soc.core.storage_1[12][2] ; + wire \soc.core.storage_1[12][3] ; + wire \soc.core.storage_1[12][4] ; + wire \soc.core.storage_1[12][5] ; + wire \soc.core.storage_1[12][6] ; + wire \soc.core.storage_1[12][7] ; + wire \soc.core.storage_1[13][0] ; + wire \soc.core.storage_1[13][1] ; + wire \soc.core.storage_1[13][2] ; + wire \soc.core.storage_1[13][3] ; + wire \soc.core.storage_1[13][4] ; + wire \soc.core.storage_1[13][5] ; + wire \soc.core.storage_1[13][6] ; + wire \soc.core.storage_1[13][7] ; + wire \soc.core.storage_1[14][0] ; + wire \soc.core.storage_1[14][1] ; + wire \soc.core.storage_1[14][2] ; + wire \soc.core.storage_1[14][3] ; + wire \soc.core.storage_1[14][4] ; + wire \soc.core.storage_1[14][5] ; + wire \soc.core.storage_1[14][6] ; + wire \soc.core.storage_1[14][7] ; + wire \soc.core.storage_1[15][0] ; + wire \soc.core.storage_1[15][1] ; + wire \soc.core.storage_1[15][2] ; + wire \soc.core.storage_1[15][3] ; + wire \soc.core.storage_1[15][4] ; + wire \soc.core.storage_1[15][5] ; + wire \soc.core.storage_1[15][6] ; + wire \soc.core.storage_1[15][7] ; + wire \soc.core.storage_1[1][0] ; + wire \soc.core.storage_1[1][1] ; + wire \soc.core.storage_1[1][2] ; + wire \soc.core.storage_1[1][3] ; + wire \soc.core.storage_1[1][4] ; + wire \soc.core.storage_1[1][5] ; + wire \soc.core.storage_1[1][6] ; + wire \soc.core.storage_1[1][7] ; + wire \soc.core.storage_1[2][0] ; + wire \soc.core.storage_1[2][1] ; + wire \soc.core.storage_1[2][2] ; + wire \soc.core.storage_1[2][3] ; + wire \soc.core.storage_1[2][4] ; + wire \soc.core.storage_1[2][5] ; + wire \soc.core.storage_1[2][6] ; + wire \soc.core.storage_1[2][7] ; + wire \soc.core.storage_1[3][0] ; + wire \soc.core.storage_1[3][1] ; + wire \soc.core.storage_1[3][2] ; + wire \soc.core.storage_1[3][3] ; + wire \soc.core.storage_1[3][4] ; + wire \soc.core.storage_1[3][5] ; + wire \soc.core.storage_1[3][6] ; + wire \soc.core.storage_1[3][7] ; + wire \soc.core.storage_1[4][0] ; + wire \soc.core.storage_1[4][1] ; + wire \soc.core.storage_1[4][2] ; + wire \soc.core.storage_1[4][3] ; + wire \soc.core.storage_1[4][4] ; + wire \soc.core.storage_1[4][5] ; + wire \soc.core.storage_1[4][6] ; + wire \soc.core.storage_1[4][7] ; + wire \soc.core.storage_1[5][0] ; + wire \soc.core.storage_1[5][1] ; + wire \soc.core.storage_1[5][2] ; + wire \soc.core.storage_1[5][3] ; + wire \soc.core.storage_1[5][4] ; + wire \soc.core.storage_1[5][5] ; + wire \soc.core.storage_1[5][6] ; + wire \soc.core.storage_1[5][7] ; + wire \soc.core.storage_1[6][0] ; + wire \soc.core.storage_1[6][1] ; + wire \soc.core.storage_1[6][2] ; + wire \soc.core.storage_1[6][3] ; + wire \soc.core.storage_1[6][4] ; + wire \soc.core.storage_1[6][5] ; + wire \soc.core.storage_1[6][6] ; + wire \soc.core.storage_1[6][7] ; + wire \soc.core.storage_1[7][0] ; + wire \soc.core.storage_1[7][1] ; + wire \soc.core.storage_1[7][2] ; + wire \soc.core.storage_1[7][3] ; + wire \soc.core.storage_1[7][4] ; + wire \soc.core.storage_1[7][5] ; + wire \soc.core.storage_1[7][6] ; + wire \soc.core.storage_1[7][7] ; + wire \soc.core.storage_1[8][0] ; + wire \soc.core.storage_1[8][1] ; + wire \soc.core.storage_1[8][2] ; + wire \soc.core.storage_1[8][3] ; + wire \soc.core.storage_1[8][4] ; + wire \soc.core.storage_1[8][5] ; + wire \soc.core.storage_1[8][6] ; + wire \soc.core.storage_1[8][7] ; + wire \soc.core.storage_1[9][0] ; + wire \soc.core.storage_1[9][1] ; + wire \soc.core.storage_1[9][2] ; + wire \soc.core.storage_1[9][3] ; + wire \soc.core.storage_1[9][4] ; + wire \soc.core.storage_1[9][5] ; + wire \soc.core.storage_1[9][6] ; + wire \soc.core.storage_1[9][7] ; + wire \soc.core.sync_array_muxed ; + wire \soc.core.sys_uart_tx ; + wire \soc.core.sys_uart_tx_rs232phy_rs232phytx_next_value1 ; + wire \soc.core.uart_enabled ; + wire \soc.core.uart_enabled_storage ; + wire \soc.core.uart_irq ; + wire \soc.core.uart_pending_r[0] ; + wire \soc.core.uart_pending_r[1] ; + wire \soc.core.uart_pending_re ; + wire \soc.core.uart_phy_rx_count[0] ; + wire \soc.core.uart_phy_rx_count[1] ; + wire \soc.core.uart_phy_rx_count[2] ; + wire \soc.core.uart_phy_rx_count[3] ; + wire \soc.core.uart_phy_rx_data[0] ; + wire \soc.core.uart_phy_rx_data[1] ; + wire \soc.core.uart_phy_rx_data[2] ; + wire \soc.core.uart_phy_rx_data[3] ; + wire \soc.core.uart_phy_rx_data[4] ; + wire \soc.core.uart_phy_rx_data[5] ; + wire \soc.core.uart_phy_rx_data[6] ; + wire \soc.core.uart_phy_rx_data[7] ; + wire \soc.core.uart_phy_rx_phase[10] ; + wire \soc.core.uart_phy_rx_phase[11] ; + wire \soc.core.uart_phy_rx_phase[12] ; + wire \soc.core.uart_phy_rx_phase[13] ; + wire \soc.core.uart_phy_rx_phase[14] ; + wire \soc.core.uart_phy_rx_phase[15] ; + wire \soc.core.uart_phy_rx_phase[16] ; + wire \soc.core.uart_phy_rx_phase[17] ; + wire \soc.core.uart_phy_rx_phase[18] ; + wire \soc.core.uart_phy_rx_phase[19] ; + wire \soc.core.uart_phy_rx_phase[20] ; + wire \soc.core.uart_phy_rx_phase[21] ; + wire \soc.core.uart_phy_rx_phase[22] ; + wire \soc.core.uart_phy_rx_phase[23] ; + wire \soc.core.uart_phy_rx_phase[24] ; + wire \soc.core.uart_phy_rx_phase[25] ; + wire \soc.core.uart_phy_rx_phase[26] ; + wire \soc.core.uart_phy_rx_phase[27] ; + wire \soc.core.uart_phy_rx_phase[28] ; + wire \soc.core.uart_phy_rx_phase[29] ; + wire \soc.core.uart_phy_rx_phase[30] ; + wire \soc.core.uart_phy_rx_phase[31] ; + wire \soc.core.uart_phy_rx_phase[5] ; + wire \soc.core.uart_phy_rx_phase[6] ; + wire \soc.core.uart_phy_rx_phase[7] ; + wire \soc.core.uart_phy_rx_phase[8] ; + wire \soc.core.uart_phy_rx_phase[9] ; + wire \soc.core.uart_phy_rx_rx_d ; + wire \soc.core.uart_phy_rx_tick ; + wire \soc.core.uart_phy_tx_count[0] ; + wire \soc.core.uart_phy_tx_count[1] ; + wire \soc.core.uart_phy_tx_count[2] ; + wire \soc.core.uart_phy_tx_count[3] ; + wire \soc.core.uart_phy_tx_data[0] ; + wire \soc.core.uart_phy_tx_data[1] ; + wire \soc.core.uart_phy_tx_data[2] ; + wire \soc.core.uart_phy_tx_data[3] ; + wire \soc.core.uart_phy_tx_data[4] ; + wire \soc.core.uart_phy_tx_data[5] ; + wire \soc.core.uart_phy_tx_data[6] ; + wire \soc.core.uart_phy_tx_data[7] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[0] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[1] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[2] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[3] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[4] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[5] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[6] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[7] ; + wire \soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ; + wire \soc.core.uart_phy_tx_phase[10] ; + wire \soc.core.uart_phy_tx_phase[11] ; + wire \soc.core.uart_phy_tx_phase[12] ; + wire \soc.core.uart_phy_tx_phase[13] ; + wire \soc.core.uart_phy_tx_phase[14] ; + wire \soc.core.uart_phy_tx_phase[15] ; + wire \soc.core.uart_phy_tx_phase[16] ; + wire \soc.core.uart_phy_tx_phase[17] ; + wire \soc.core.uart_phy_tx_phase[18] ; + wire \soc.core.uart_phy_tx_phase[19] ; + wire \soc.core.uart_phy_tx_phase[20] ; + wire \soc.core.uart_phy_tx_phase[21] ; + wire \soc.core.uart_phy_tx_phase[22] ; + wire \soc.core.uart_phy_tx_phase[23] ; + wire \soc.core.uart_phy_tx_phase[24] ; + wire \soc.core.uart_phy_tx_phase[25] ; + wire \soc.core.uart_phy_tx_phase[26] ; + wire \soc.core.uart_phy_tx_phase[27] ; + wire \soc.core.uart_phy_tx_phase[28] ; + wire \soc.core.uart_phy_tx_phase[29] ; + wire \soc.core.uart_phy_tx_phase[30] ; + wire \soc.core.uart_phy_tx_phase[31] ; + wire \soc.core.uart_phy_tx_phase[5] ; + wire \soc.core.uart_phy_tx_phase[6] ; + wire \soc.core.uart_phy_tx_phase[7] ; + wire \soc.core.uart_phy_tx_phase[8] ; + wire \soc.core.uart_phy_tx_phase[9] ; + wire \soc.core.uart_phy_tx_tick ; + wire \soc.core.uart_rx2 ; + wire \soc.core.uart_rx_fifo_consume[0] ; + wire \soc.core.uart_rx_fifo_consume[1] ; + wire \soc.core.uart_rx_fifo_consume[2] ; + wire \soc.core.uart_rx_fifo_consume[3] ; + wire \soc.core.uart_rx_fifo_level0[0] ; + wire \soc.core.uart_rx_fifo_level0[1] ; + wire \soc.core.uart_rx_fifo_level0[2] ; + wire \soc.core.uart_rx_fifo_level0[3] ; + wire \soc.core.uart_rx_fifo_level0[4] ; + wire \soc.core.uart_rx_fifo_produce[0] ; + wire \soc.core.uart_rx_fifo_produce[1] ; + wire \soc.core.uart_rx_fifo_produce[2] ; + wire \soc.core.uart_rx_fifo_produce[3] ; + wire \soc.core.uart_rx_fifo_readable ; + wire \soc.core.uart_rx_pending ; + wire \soc.core.uart_rx_trigger_d ; + wire \soc.core.uart_tx2 ; + wire \soc.core.uart_tx_fifo_consume[0] ; + wire \soc.core.uart_tx_fifo_consume[1] ; + wire \soc.core.uart_tx_fifo_consume[2] ; + wire \soc.core.uart_tx_fifo_consume[3] ; + wire \soc.core.uart_tx_fifo_level0[0] ; + wire \soc.core.uart_tx_fifo_level0[1] ; + wire \soc.core.uart_tx_fifo_level0[2] ; + wire \soc.core.uart_tx_fifo_level0[3] ; + wire \soc.core.uart_tx_fifo_level0[4] ; + wire \soc.core.uart_tx_fifo_produce[0] ; + wire \soc.core.uart_tx_fifo_produce[1] ; + wire \soc.core.uart_tx_fifo_produce[2] ; + wire \soc.core.uart_tx_fifo_produce[3] ; + wire \soc.core.uart_tx_fifo_readable ; + wire \soc.core.uart_tx_pending ; + wire \soc.core.uart_tx_trigger_d ; + wire \soc.core.uartwishbonebridge_rs232phyrx_next_state ; + wire \soc.core.uartwishbonebridge_rs232phyrx_state ; + wire \soc.core.uartwishbonebridge_rs232phytx_next_state ; + wire \soc.core.uartwishbonebridge_rs232phytx_state ; + wire \soc.core.uartwishbonebridge_state[0] ; + wire \soc.core.uartwishbonebridge_state[1] ; + wire \soc.core.uartwishbonebridge_state[2] ; + wire \soc.core.user_irq_ena_storage[0] ; + wire \soc.core.user_irq_ena_storage[1] ; + wire \soc.core.user_irq_ena_storage[2] ; + wire \spare_xfq_nc[0] ; + wire \spare_xfq_nc[1] ; + wire \spare_xfq_nc[2] ; + wire \spare_xfq_nc[3] ; + wire \spare_xfq_nc[4] ; + wire \spare_xfq_nc[5] ; + wire \spare_xfq_nc[6] ; + wire \spare_xfq_nc[7] ; + wire \spare_xfqn_nc[0] ; + wire \spare_xfqn_nc[1] ; + wire \spare_xfqn_nc[2] ; + wire \spare_xfqn_nc[3] ; + wire \spare_xfqn_nc[4] ; + wire \spare_xfqn_nc[5] ; + wire \spare_xfqn_nc[6] ; + wire \spare_xfqn_nc[7] ; + wire \spare_xi_nc[0] ; + wire \spare_xi_nc[10] ; + wire \spare_xi_nc[11] ; + wire \spare_xi_nc[12] ; + wire \spare_xi_nc[13] ; + wire \spare_xi_nc[14] ; + wire \spare_xi_nc[15] ; + wire \spare_xi_nc[1] ; + wire \spare_xi_nc[2] ; + wire \spare_xi_nc[3] ; + wire \spare_xi_nc[4] ; + wire \spare_xi_nc[5] ; + wire \spare_xi_nc[6] ; + wire \spare_xi_nc[7] ; + wire \spare_xi_nc[8] ; + wire \spare_xi_nc[9] ; + wire \spare_xib_nc[0] ; + wire \spare_xib_nc[1] ; + wire \spare_xib_nc[2] ; + wire \spare_xib_nc[3] ; + wire \spare_xmx_nc[0] ; + wire \spare_xmx_nc[1] ; + wire \spare_xmx_nc[2] ; + wire \spare_xmx_nc[3] ; + wire \spare_xmx_nc[4] ; + wire \spare_xmx_nc[5] ; + wire \spare_xmx_nc[6] ; + wire \spare_xmx_nc[7] ; + wire \spare_xna_nc[0] ; + wire \spare_xna_nc[1] ; + wire \spare_xna_nc[2] ; + wire \spare_xna_nc[3] ; + wire \spare_xna_nc[4] ; + wire \spare_xna_nc[5] ; + wire \spare_xna_nc[6] ; + wire \spare_xna_nc[7] ; + wire \spare_xno_nc[0] ; + wire \spare_xno_nc[1] ; + wire \spare_xno_nc[2] ; + wire \spare_xno_nc[3] ; + wire \spare_xno_nc[4] ; + wire \spare_xno_nc[5] ; + wire \spare_xno_nc[6] ; + wire \spare_xno_nc[7] ; + wire \spare_xz_nc[0] ; + wire \spare_xz_nc[100] ; + wire \spare_xz_nc[101] ; + wire \spare_xz_nc[102] ; + wire \spare_xz_nc[103] ; + wire \spare_xz_nc[104] ; + wire \spare_xz_nc[105] ; + wire \spare_xz_nc[106] ; + wire \spare_xz_nc[107] ; + wire \spare_xz_nc[10] ; + wire \spare_xz_nc[11] ; + wire \spare_xz_nc[12] ; + wire \spare_xz_nc[13] ; + wire \spare_xz_nc[14] ; + wire \spare_xz_nc[15] ; + wire \spare_xz_nc[16] ; + wire \spare_xz_nc[17] ; + wire \spare_xz_nc[18] ; + wire \spare_xz_nc[19] ; + wire \spare_xz_nc[1] ; + wire \spare_xz_nc[20] ; + wire \spare_xz_nc[21] ; + wire \spare_xz_nc[22] ; + wire \spare_xz_nc[23] ; + wire \spare_xz_nc[24] ; + wire \spare_xz_nc[25] ; + wire \spare_xz_nc[26] ; + wire \spare_xz_nc[27] ; + wire \spare_xz_nc[28] ; + wire \spare_xz_nc[29] ; + wire \spare_xz_nc[2] ; + wire \spare_xz_nc[30] ; + wire \spare_xz_nc[31] ; + wire \spare_xz_nc[32] ; + wire \spare_xz_nc[33] ; + wire \spare_xz_nc[34] ; + wire \spare_xz_nc[35] ; + wire \spare_xz_nc[36] ; + wire \spare_xz_nc[37] ; + wire \spare_xz_nc[38] ; + wire \spare_xz_nc[39] ; + wire \spare_xz_nc[3] ; + wire \spare_xz_nc[40] ; + wire \spare_xz_nc[41] ; + wire \spare_xz_nc[42] ; + wire \spare_xz_nc[43] ; + wire \spare_xz_nc[44] ; + wire \spare_xz_nc[45] ; + wire \spare_xz_nc[46] ; + wire \spare_xz_nc[47] ; + wire \spare_xz_nc[48] ; + wire \spare_xz_nc[49] ; + wire \spare_xz_nc[4] ; + wire \spare_xz_nc[50] ; + wire \spare_xz_nc[51] ; + wire \spare_xz_nc[52] ; + wire \spare_xz_nc[53] ; + wire \spare_xz_nc[54] ; + wire \spare_xz_nc[55] ; + wire \spare_xz_nc[56] ; + wire \spare_xz_nc[57] ; + wire \spare_xz_nc[58] ; + wire \spare_xz_nc[59] ; + wire \spare_xz_nc[5] ; + wire \spare_xz_nc[60] ; + wire \spare_xz_nc[61] ; + wire \spare_xz_nc[62] ; + wire \spare_xz_nc[63] ; + wire \spare_xz_nc[64] ; + wire \spare_xz_nc[65] ; + wire \spare_xz_nc[66] ; + wire \spare_xz_nc[67] ; + wire \spare_xz_nc[68] ; + wire \spare_xz_nc[69] ; + wire \spare_xz_nc[6] ; + wire \spare_xz_nc[70] ; + wire \spare_xz_nc[71] ; + wire \spare_xz_nc[72] ; + wire \spare_xz_nc[73] ; + wire \spare_xz_nc[74] ; + wire \spare_xz_nc[75] ; + wire \spare_xz_nc[76] ; + wire \spare_xz_nc[77] ; + wire \spare_xz_nc[78] ; + wire \spare_xz_nc[79] ; + wire \spare_xz_nc[7] ; + wire \spare_xz_nc[80] ; + wire \spare_xz_nc[81] ; + wire \spare_xz_nc[82] ; + wire \spare_xz_nc[83] ; + wire \spare_xz_nc[84] ; + wire \spare_xz_nc[85] ; + wire \spare_xz_nc[86] ; + wire \spare_xz_nc[87] ; + wire \spare_xz_nc[88] ; + wire \spare_xz_nc[89] ; + wire \spare_xz_nc[8] ; + wire \spare_xz_nc[90] ; + wire \spare_xz_nc[91] ; + wire \spare_xz_nc[92] ; + wire \spare_xz_nc[93] ; + wire \spare_xz_nc[94] ; + wire \spare_xz_nc[95] ; + wire \spare_xz_nc[96] ; + wire \spare_xz_nc[97] ; + wire \spare_xz_nc[98] ; + wire \spare_xz_nc[99] ; + wire \spare_xz_nc[9] ; + wire \spi_pll90_sel[0] ; + wire \spi_pll90_sel[1] ; + wire \spi_pll90_sel[2] ; + wire \spi_pll_sel[0] ; + wire \spi_pll_sel[1] ; + wire \spi_pll_sel[2] ; + wire \user_id_value.mask_rev[0] ; + wire \user_id_value.mask_rev[10] ; + wire \user_id_value.mask_rev[11] ; + wire \user_id_value.mask_rev[12] ; + wire \user_id_value.mask_rev[13] ; + wire \user_id_value.mask_rev[14] ; + wire \user_id_value.mask_rev[15] ; + wire \user_id_value.mask_rev[16] ; + wire \user_id_value.mask_rev[17] ; + wire \user_id_value.mask_rev[18] ; + wire \user_id_value.mask_rev[19] ; + wire \user_id_value.mask_rev[1] ; + wire \user_id_value.mask_rev[20] ; + wire \user_id_value.mask_rev[21] ; + wire \user_id_value.mask_rev[22] ; + wire \user_id_value.mask_rev[23] ; + wire \user_id_value.mask_rev[24] ; + wire \user_id_value.mask_rev[25] ; + wire \user_id_value.mask_rev[26] ; + wire \user_id_value.mask_rev[27] ; + wire \user_id_value.mask_rev[28] ; + wire \user_id_value.mask_rev[29] ; + wire \user_id_value.mask_rev[2] ; + wire \user_id_value.mask_rev[30] ; + wire \user_id_value.mask_rev[31] ; + wire \user_id_value.mask_rev[3] ; + wire \user_id_value.mask_rev[4] ; + wire \user_id_value.mask_rev[5] ; + wire \user_id_value.mask_rev[6] ; + wire \user_id_value.mask_rev[7] ; + wire \user_id_value.mask_rev[8] ; + wire \user_id_value.mask_rev[9] ; + wire \user_id_value.user_proj_id_high[0] ; + wire \user_id_value.user_proj_id_high[10] ; + wire \user_id_value.user_proj_id_high[11] ; + wire \user_id_value.user_proj_id_high[12] ; + wire \user_id_value.user_proj_id_high[13] ; + wire \user_id_value.user_proj_id_high[14] ; + wire \user_id_value.user_proj_id_high[15] ; + wire \user_id_value.user_proj_id_high[16] ; + wire \user_id_value.user_proj_id_high[17] ; + wire \user_id_value.user_proj_id_high[18] ; + wire \user_id_value.user_proj_id_high[19] ; + wire \user_id_value.user_proj_id_high[1] ; + wire \user_id_value.user_proj_id_high[20] ; + wire \user_id_value.user_proj_id_high[21] ; + wire \user_id_value.user_proj_id_high[22] ; + wire \user_id_value.user_proj_id_high[23] ; + wire \user_id_value.user_proj_id_high[24] ; + wire \user_id_value.user_proj_id_high[25] ; + wire \user_id_value.user_proj_id_high[26] ; + wire \user_id_value.user_proj_id_high[27] ; + wire \user_id_value.user_proj_id_high[28] ; + wire \user_id_value.user_proj_id_high[29] ; + wire \user_id_value.user_proj_id_high[2] ; + wire \user_id_value.user_proj_id_high[30] ; + wire \user_id_value.user_proj_id_high[31] ; + wire \user_id_value.user_proj_id_high[3] ; + wire \user_id_value.user_proj_id_high[4] ; + wire \user_id_value.user_proj_id_high[5] ; + wire \user_id_value.user_proj_id_high[6] ; + wire \user_id_value.user_proj_id_high[7] ; + wire \user_id_value.user_proj_id_high[8] ; + wire \user_id_value.user_proj_id_high[9] ; + wire \user_io_oeb[0] ; + wire \user_io_oeb[10] ; + wire \user_io_oeb[11] ; + wire \user_io_oeb[12] ; + wire \user_io_oeb[13] ; + wire \user_io_oeb[14] ; + wire \user_io_oeb[15] ; + wire \user_io_oeb[16] ; + wire \user_io_oeb[17] ; + wire \user_io_oeb[18] ; + wire \user_io_oeb[19] ; + wire \user_io_oeb[1] ; + wire \user_io_oeb[20] ; + wire \user_io_oeb[21] ; + wire \user_io_oeb[22] ; + wire \user_io_oeb[23] ; + wire \user_io_oeb[24] ; + wire \user_io_oeb[25] ; + wire \user_io_oeb[26] ; + wire \user_io_oeb[27] ; + wire \user_io_oeb[28] ; + wire \user_io_oeb[29] ; + wire \user_io_oeb[2] ; + wire \user_io_oeb[30] ; + wire \user_io_oeb[31] ; + wire \user_io_oeb[32] ; + wire \user_io_oeb[33] ; + wire \user_io_oeb[34] ; + wire \user_io_oeb[35] ; + wire \user_io_oeb[36] ; + wire \user_io_oeb[37] ; + wire \user_io_oeb[3] ; + wire \user_io_oeb[4] ; + wire \user_io_oeb[5] ; + wire \user_io_oeb[6] ; + wire \user_io_oeb[7] ; + wire \user_io_oeb[8] ; + wire \user_io_oeb[9] ; + wire \user_io_out[0] ; + wire \user_io_out[10] ; + wire \user_io_out[11] ; + wire \user_io_out[12] ; + wire \user_io_out[13] ; + wire \user_io_out[14] ; + wire \user_io_out[15] ; + wire \user_io_out[16] ; + wire \user_io_out[17] ; + wire \user_io_out[18] ; + wire \user_io_out[19] ; + wire \user_io_out[1] ; + wire \user_io_out[20] ; + wire \user_io_out[21] ; + wire \user_io_out[22] ; + wire \user_io_out[23] ; + wire \user_io_out[24] ; + wire \user_io_out[25] ; + wire \user_io_out[26] ; + wire \user_io_out[27] ; + wire \user_io_out[28] ; + wire \user_io_out[29] ; + wire \user_io_out[2] ; + wire \user_io_out[30] ; + wire \user_io_out[31] ; + wire \user_io_out[32] ; + wire \user_io_out[33] ; + wire \user_io_out[34] ; + wire \user_io_out[35] ; + wire \user_io_out[36] ; + wire \user_io_out[37] ; + wire \user_io_out[3] ; + wire \user_io_out[4] ; + wire \user_io_out[5] ; + wire \user_io_out[6] ; + wire \user_io_out[7] ; + wire \user_io_out[8] ; + wire \user_io_out[9] ; + sky130_fd_sc_hd__mux2_2 _16414_ ( + .A0(_04918_), + .A1(_04915_), + .S(_04917_), + .X(_04919_) + ); + sky130_fd_sc_hd__mux2_2 _16415_ ( + .A0(_04921_), + .A1(_04920_), + .S(_04917_), + .X(_04922_) + ); + sky130_fd_sc_hd__mux2_2 _16416_ ( + .A0(_04924_), + .A1(_04923_), + .S(_04917_), + .X(_04925_) + ); + sky130_fd_sc_hd__mux2_2 _16417_ ( + .A0(_04927_), + .A1(_04926_), + .S(_04917_), + .X(_04928_) + ); + sky130_fd_sc_hd__mux2_2 _16418_ ( + .A0(_04932_), + .A1(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[0] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_04933_) + ); + sky130_fd_sc_hd__mux2_2 _16419_ ( + .A0(_04935_), + .A1(_04934_), + .S(_04917_), + .X(_04936_) + ); + sky130_fd_sc_hd__mux2_2 _16420_ ( + .A0(_04938_), + .A1(_04937_), + .S(_04917_), + .X(_04939_) + ); + sky130_fd_sc_hd__mux2_2 _16421_ ( + .A0(_04941_), + .A1(_04940_), + .S(_04917_), + .X(_04942_) + ); + sky130_fd_sc_hd__mux2_2 _16422_ ( + .A0(_04944_), + .A1(_04943_), + .S(_04917_), + .X(_04945_) + ); + sky130_fd_sc_hd__mux2_2 _16423_ ( + .A0(_04947_), + .A1(_04946_), + .S(_04917_), + .X(_04948_) + ); + sky130_fd_sc_hd__mux2_2 _16424_ ( + .A0(_04950_), + .A1(_04949_), + .S(_04917_), + .X(_04951_) + ); + sky130_fd_sc_hd__mux2_2 _16425_ ( + .A0(_04953_), + .A1(_04952_), + .S(_04917_), + .X(_04954_) + ); + sky130_fd_sc_hd__mux2_2 _16426_ ( + .A0(_04956_), + .A1(_04955_), + .S(_04917_), + .X(_04957_) + ); + sky130_fd_sc_hd__mux2_2 _16427_ ( + .A0(_04962_), + .A1(_04960_), + .S(_04917_), + .X(_04963_) + ); + sky130_fd_sc_hd__mux2_2 _16428_ ( + .A0(_04965_), + .A1(_04964_), + .S(_04917_), + .X(_04966_) + ); + sky130_fd_sc_hd__mux2_2 _16429_ ( + .A0(_04968_), + .A1(_04967_), + .S(_04917_), + .X(_04969_) + ); + sky130_fd_sc_hd__mux2_2 _16430_ ( + .A0(_04971_), + .A1(_04970_), + .S(_04917_), + .X(_04972_) + ); + sky130_fd_sc_hd__mux2_2 _16431_ ( + .A0(_04974_), + .A1(_04973_), + .S(_04917_), + .X(_04975_) + ); + sky130_fd_sc_hd__mux2_2 _16432_ ( + .A0(_04977_), + .A1(_04976_), + .S(_04917_), + .X(_04978_) + ); + sky130_fd_sc_hd__mux2_2 _16433_ ( + .A0(_04980_), + .A1(_04979_), + .S(_04917_), + .X(_04981_) + ); + sky130_fd_sc_hd__mux2_2 _16434_ ( + .A0(_04983_), + .A1(_04982_), + .S(_04917_), + .X(_04984_) + ); + sky130_fd_sc_hd__mux2_2 _16435_ ( + .A0(_04986_), + .A1(_04985_), + .S(_04917_), + .X(_04987_) + ); + sky130_fd_sc_hd__mux2_2 _16436_ ( + .A0(_04989_), + .A1(_04988_), + .S(_04917_), + .X(_04990_) + ); + sky130_fd_sc_hd__mux2_2 _16437_ ( + .A0(_04992_), + .A1(_04991_), + .S(_04917_), + .X(_04993_) + ); + sky130_fd_sc_hd__mux2_2 _16438_ ( + .A0(_04995_), + .A1(_04994_), + .S(_04917_), + .X(_04996_) + ); + sky130_fd_sc_hd__mux2_2 _16439_ ( + .A0(_04998_), + .A1(_04997_), + .S(_04917_), + .X(_04999_) + ); + sky130_fd_sc_hd__mux2_2 _16440_ ( + .A0(_05001_), + .A1(_05000_), + .S(_04917_), + .X(_05002_) + ); + sky130_fd_sc_hd__mux2_2 _16441_ ( + .A0(_05005_), + .A1(_05003_), + .S(_04917_), + .X(_05006_) + ); + sky130_fd_sc_hd__mux2_2 _16442_ ( + .A0(_05008_), + .A1(_05007_), + .S(_04917_), + .X(_05009_) + ); + sky130_fd_sc_hd__mux2_2 _16443_ ( + .A0(_05011_), + .A1(_05010_), + .S(_04917_), + .X(_05012_) + ); + sky130_fd_sc_hd__mux2_2 _16444_ ( + .A0(_05014_), + .A1(_05013_), + .S(_04917_), + .X(_05015_) + ); + sky130_fd_sc_hd__mux2_2 _16445_ ( + .A0(_05017_), + .A1(_05016_), + .S(_04917_), + .X(_05018_) + ); + sky130_fd_sc_hd__mux2_2 _16446_ ( + .A0(_05019_), + .A1(_04958_), + .S(_04917_), + .X(\mgmt_buffers.mprj_we_o_core ) + ); + sky130_fd_sc_hd__mux2_2 _16447_ ( + .A0(_05020_), + .A1(_05023_), + .S(_05022_), + .X(_05024_) + ); + sky130_fd_sc_hd__mux2_2 _16448_ ( + .A0(_05024_), + .A1(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[0] ), + .S(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[1] ), + .X(_05025_) + ); + sky130_fd_sc_hd__mux2_2 _16449_ ( + .A0(_05026_), + .A1(_05021_), + .S(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[1] ), + .X(_05027_) + ); + sky130_fd_sc_hd__mux2_2 _16450_ ( + .A0(_05032_), + .A1(_05030_), + .S(_04930_), + .X(_05033_) + ); + sky130_fd_sc_hd__mux2_2 _16451_ ( + .A0(_05036_), + .A1(_05034_), + .S(_04930_), + .X(_05037_) + ); + sky130_fd_sc_hd__mux2_2 _16452_ ( + .A0(_05040_), + .A1(_05038_), + .S(_04930_), + .X(_05041_) + ); + sky130_fd_sc_hd__mux2_2 _16453_ ( + .A0(_05045_), + .A1(_05046_), + .S(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_valid ), + .X(_05047_) + ); + sky130_fd_sc_hd__mux2_2 _16454_ ( + .A0(_05049_), + .A1(_05047_), + .S(_05048_), + .X(_05050_) + ); + sky130_fd_sc_hd__mux2_2 _16455_ ( + .A0(_05052_), + .A1(_05050_), + .S(_05051_), + .X(_05053_) + ); + sky130_fd_sc_hd__mux2_2 _16456_ ( + .A0(_05054_), + .A1(_05055_), + .S(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_valid ), + .X(_05056_) + ); + sky130_fd_sc_hd__mux2_2 _16457_ ( + .A0(_05057_), + .A1(_05056_), + .S(_05048_), + .X(_05058_) + ); + sky130_fd_sc_hd__mux2_2 _16458_ ( + .A0(_05059_), + .A1(_05058_), + .S(_05051_), + .X(_05060_) + ); + sky130_fd_sc_hd__mux2_2 _16459_ ( + .A0(_05064_), + .A1(_05062_), + .S(_05063_), + .X(_05065_) + ); + sky130_fd_sc_hd__mux2_2 _16460_ ( + .A0(_05067_), + .A1(_05066_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[0] ) + ); + sky130_fd_sc_hd__mux2_2 _16461_ ( + .A0(_05069_), + .A1(_05068_), + .S(_05063_), + .X(_05070_) + ); + sky130_fd_sc_hd__mux2_2 _16462_ ( + .A0(_05065_), + .A1(_05072_), + .S(_04931_), + .X(_05073_) + ); + sky130_fd_sc_hd__mux2_2 _16463_ ( + .A0(_05074_), + .A1(_05071_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[1] ) + ); + sky130_fd_sc_hd__mux2_2 _16464_ ( + .A0(_05029_), + .A1(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[1] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_05076_) + ); + sky130_fd_sc_hd__mux2_2 _16465_ ( + .A0(_05077_), + .A1(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[2] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_05078_) + ); + sky130_fd_sc_hd__mux2_2 _16466_ ( + .A0(_05079_), + .A1(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[3] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_05080_) + ); + sky130_fd_sc_hd__mux2_2 _16467_ ( + .A0(_05042_), + .A1(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[4] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_05081_) + ); + sky130_fd_sc_hd__mux2_2 _16468_ ( + .A0(_05082_), + .A1(_05075_), + .S(_05043_), + .X(_05083_) + ); + sky130_fd_sc_hd__mux2_2 _16469_ ( + .A0(_05085_), + .A1(_05083_), + .S(_05084_), + .X(_05086_) + ); + sky130_fd_sc_hd__mux2_2 _16470_ ( + .A0(_05088_), + .A1(_05089_), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_05090_) + ); + sky130_fd_sc_hd__mux2_2 _16471_ ( + .A0(_05096_), + .A1(_05097_), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_05098_) + ); + sky130_fd_sc_hd__mux2_2 _16472_ ( + .A0(_05107_), + .A1(_05110_), + .S(\soc.core.litespi_state[2] ), + .X(_05111_) + ); + sky130_fd_sc_hd__mux2_2 _16473_ ( + .A0(_05111_), + .A1(_05112_), + .S(\soc.core.litespi_state[3] ), + .X(_05113_) + ); + sky130_fd_sc_hd__mux2_2 _16474_ ( + .A0(_05113_), + .A1(\soc.core.litespi_rx_demux_endpoint1_source_ready ), + .S(\soc.core.litespi_grant ), + .X(_05114_) + ); + sky130_fd_sc_hd__mux2_2 _16475_ ( + .A0(_05115_), + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_valid ), + .S(\soc.core.litespi_grant ), + .X(_05116_) + ); + sky130_fd_sc_hd__mux2_2 _16476_ ( + .A0(\soc.core.gpioin5_gpioin5_edge_storage ), + .A1(\soc.core.gpioin5_gpioin5_in_pads_n_d ), + .S(\soc.core.gpioin5_gpioin5_mode_storage ), + .X(_05118_) + ); + sky130_fd_sc_hd__mux2_2 _16477_ ( + .A0(\soc.core.gpioin4_gpioin4_edge_storage ), + .A1(\soc.core.gpioin4_gpioin4_in_pads_n_d ), + .S(\soc.core.gpioin4_gpioin4_mode_storage ), + .X(_05119_) + ); + sky130_fd_sc_hd__mux2_2 _16478_ ( + .A0(\soc.core.gpioin3_gpioin3_edge_storage ), + .A1(\soc.core.gpioin3_gpioin3_in_pads_n_d ), + .S(\soc.core.gpioin3_gpioin3_mode_storage ), + .X(_05120_) + ); + sky130_fd_sc_hd__mux2_2 _16479_ ( + .A0(\soc.core.gpioin2_gpioin2_edge_storage ), + .A1(\soc.core.gpioin2_gpioin2_in_pads_n_d ), + .S(\soc.core.gpioin2_gpioin2_mode_storage ), + .X(_05121_) + ); + sky130_fd_sc_hd__mux2_2 _16480_ ( + .A0(\soc.core.gpioin1_gpioin1_edge_storage ), + .A1(\soc.core.gpioin1_gpioin1_in_pads_n_d ), + .S(\soc.core.gpioin1_gpioin1_mode_storage ), + .X(_05122_) + ); + sky130_fd_sc_hd__mux2_2 _16481_ ( + .A0(\soc.core.gpioin0_gpioin0_edge_storage ), + .A1(\soc.core.gpioin0_gpioin0_in_pads_n_d ), + .S(\soc.core.gpioin0_gpioin0_mode_storage ), + .X(_05123_) + ); + sky130_fd_sc_hd__mux2_2 _16482_ ( + .A0(_05124_), + .A1(\soc.core.uartwishbonebridge_state[0] ), + .S(\soc.core.uartwishbonebridge_state[2] ), + .X(_05125_) + ); + sky130_fd_sc_hd__mux2_2 _16483_ ( + .A0(_05115_), + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[0] ), + .S(\soc.core.litespi_grant ), + .X(_05126_) + ); + sky130_fd_sc_hd__mux2_2 _16484_ ( + .A0(_04823_), + .A1(\soc.core.mgmtsoc_litespimmap_burst_cs ), + .S(_05108_), + .X(_05129_) + ); + sky130_fd_sc_hd__mux2_2 _16485_ ( + .A0(_05130_), + .A1(_05128_), + .S(\soc.core.litespi_grant ), + .X(_05131_) + ); + sky130_fd_sc_hd__mux2_2 _16486_ ( + .A0(_00390_), + .A1(_00389_), + .S(\soc.core.litespi_grant ), + .X(_16413_) + ); + sky130_fd_sc_hd__mux2_2 _16487_ ( + .A0(_00392_), + .A1(_05106_), + .S(\soc.core.litespi_state[2] ), + .X(_00393_) + ); + sky130_fd_sc_hd__mux2_2 _16488_ ( + .A0(_00393_), + .A1(_00391_), + .S(\soc.core.litespi_state[3] ), + .X(\soc.core.mgmtsoc_litespimmap_burst_cs_litespi_next_value_ce0 ) + ); + sky130_fd_sc_hd__mux2_2 _16489_ ( + .A0(_00395_), + .A1(_00394_), + .S(_05087_), + .X(_00396_) + ); + sky130_fd_sc_hd__mux2_2 _16490_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][0] ), + .S(_00396_), + .X(_00397_) + ); + sky130_fd_sc_hd__mux2_2 _16491_ ( + .A0(_00399_), + .A1(_00398_), + .S(_05087_), + .X(_00400_) + ); + sky130_fd_sc_hd__mux2_2 _16492_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][0] ), + .S(_00396_), + .X(_00401_) + ); + sky130_fd_sc_hd__mux2_2 _16493_ ( + .A0(_00401_), + .A1(_00397_), + .S(_00400_), + .X(_00402_) + ); + sky130_fd_sc_hd__mux2_2 _16494_ ( + .A0(_00404_), + .A1(_00403_), + .S(_05087_), + .X(_00405_) + ); + sky130_fd_sc_hd__mux2_2 _16495_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][0] ), + .S(_00396_), + .X(_00406_) + ); + sky130_fd_sc_hd__mux2_2 _16496_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][0] ), + .S(_00396_), + .X(_00407_) + ); + sky130_fd_sc_hd__mux2_2 _16497_ ( + .A0(_00407_), + .A1(_00406_), + .S(_00400_), + .X(_00408_) + ); + sky130_fd_sc_hd__mux2_2 _16498_ ( + .A0(_00408_), + .A1(_00402_), + .S(_00405_), + .X(_00409_) + ); + sky130_fd_sc_hd__mux2_2 _16499_ ( + .A0(_00411_), + .A1(_00410_), + .S(_05087_), + .X(_00412_) + ); + sky130_fd_sc_hd__mux2_2 _16500_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][0] ), + .S(_00396_), + .X(_00413_) + ); + sky130_fd_sc_hd__mux2_2 _16501_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][0] ), + .S(_00396_), + .X(_00414_) + ); + sky130_fd_sc_hd__mux2_2 _16502_ ( + .A0(_00414_), + .A1(_00413_), + .S(_00400_), + .X(_00415_) + ); + sky130_fd_sc_hd__mux2_2 _16503_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][0] ), + .S(_00396_), + .X(_00416_) + ); + sky130_fd_sc_hd__mux2_2 _16504_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][0] ), + .S(_00396_), + .X(_00417_) + ); + sky130_fd_sc_hd__mux2_2 _16505_ ( + .A0(_00417_), + .A1(_00416_), + .S(_00400_), + .X(_00418_) + ); + sky130_fd_sc_hd__mux2_2 _16506_ ( + .A0(_00418_), + .A1(_00415_), + .S(_00405_), + .X(_00419_) + ); + sky130_fd_sc_hd__mux2_2 _16507_ ( + .A0(_00419_), + .A1(_00409_), + .S(_00412_), + .X(_00420_) + ); + sky130_fd_sc_hd__mux2_2 _16508_ ( + .A0(_00422_), + .A1(_00421_), + .S(_05087_), + .X(_00423_) + ); + sky130_fd_sc_hd__mux2_2 _16509_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][0] ), + .S(_00396_), + .X(_00424_) + ); + sky130_fd_sc_hd__mux2_2 _16510_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][0] ), + .S(_00396_), + .X(_00425_) + ); + sky130_fd_sc_hd__mux2_2 _16511_ ( + .A0(_00425_), + .A1(_00424_), + .S(_00400_), + .X(_00426_) + ); + sky130_fd_sc_hd__mux2_2 _16512_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][0] ), + .S(_00396_), + .X(_00427_) + ); + sky130_fd_sc_hd__mux2_2 _16513_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][0] ), + .S(_00396_), + .X(_00428_) + ); + sky130_fd_sc_hd__mux2_2 _16514_ ( + .A0(_00428_), + .A1(_00427_), + .S(_00400_), + .X(_00429_) + ); + sky130_fd_sc_hd__mux2_2 _16515_ ( + .A0(_00429_), + .A1(_00426_), + .S(_00405_), + .X(_00430_) + ); + sky130_fd_sc_hd__mux2_2 _16516_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][0] ), + .S(_00396_), + .X(_00431_) + ); + sky130_fd_sc_hd__mux2_2 _16517_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][0] ), + .S(_00396_), + .X(_00432_) + ); + sky130_fd_sc_hd__mux2_2 _16518_ ( + .A0(_00432_), + .A1(_00431_), + .S(_00400_), + .X(_00433_) + ); + sky130_fd_sc_hd__mux2_2 _16519_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][0] ), + .S(_00396_), + .X(_00434_) + ); + sky130_fd_sc_hd__mux2_2 _16520_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][0] ), + .S(_00396_), + .X(_00435_) + ); + sky130_fd_sc_hd__mux2_2 _16521_ ( + .A0(_00435_), + .A1(_00434_), + .S(_00400_), + .X(_00436_) + ); + sky130_fd_sc_hd__mux2_2 _16522_ ( + .A0(_00436_), + .A1(_00433_), + .S(_00405_), + .X(_00437_) + ); + sky130_fd_sc_hd__mux2_2 _16523_ ( + .A0(_00437_), + .A1(_00430_), + .S(_00412_), + .X(_00438_) + ); + sky130_fd_sc_hd__mux2_2 _16524_ ( + .A0(_00438_), + .A1(_00420_), + .S(_00423_), + .X(_00091_) + ); + sky130_fd_sc_hd__mux2_2 _16525_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][1] ), + .S(_00396_), + .X(_00439_) + ); + sky130_fd_sc_hd__mux2_2 _16526_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][1] ), + .S(_00396_), + .X(_00440_) + ); + sky130_fd_sc_hd__mux2_2 _16527_ ( + .A0(_00440_), + .A1(_00439_), + .S(_00400_), + .X(_00441_) + ); + sky130_fd_sc_hd__mux2_2 _16528_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][1] ), + .S(_00396_), + .X(_00442_) + ); + sky130_fd_sc_hd__mux2_2 _16529_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][1] ), + .S(_00396_), + .X(_00443_) + ); + sky130_fd_sc_hd__mux2_2 _16530_ ( + .A0(_00443_), + .A1(_00442_), + .S(_00400_), + .X(_00444_) + ); + sky130_fd_sc_hd__mux2_2 _16531_ ( + .A0(_00444_), + .A1(_00441_), + .S(_00405_), + .X(_00445_) + ); + sky130_fd_sc_hd__mux2_2 _16532_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][1] ), + .S(_00396_), + .X(_00446_) + ); + sky130_fd_sc_hd__mux2_2 _16533_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][1] ), + .S(_00396_), + .X(_00447_) + ); + sky130_fd_sc_hd__mux2_2 _16534_ ( + .A0(_00447_), + .A1(_00446_), + .S(_00400_), + .X(_00448_) + ); + sky130_fd_sc_hd__mux2_2 _16535_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][1] ), + .S(_00396_), + .X(_00449_) + ); + sky130_fd_sc_hd__mux2_2 _16536_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][1] ), + .S(_00396_), + .X(_00450_) + ); + sky130_fd_sc_hd__mux2_2 _16537_ ( + .A0(_00450_), + .A1(_00449_), + .S(_00400_), + .X(_00451_) + ); + sky130_fd_sc_hd__mux2_2 _16538_ ( + .A0(_00451_), + .A1(_00448_), + .S(_00405_), + .X(_00452_) + ); + sky130_fd_sc_hd__mux2_2 _16539_ ( + .A0(_00452_), + .A1(_00445_), + .S(_00412_), + .X(_00453_) + ); + sky130_fd_sc_hd__mux2_2 _16540_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][1] ), + .S(_00396_), + .X(_00454_) + ); + sky130_fd_sc_hd__mux2_2 _16541_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][1] ), + .S(_00396_), + .X(_00455_) + ); + sky130_fd_sc_hd__mux2_2 _16542_ ( + .A0(_00455_), + .A1(_00454_), + .S(_00400_), + .X(_00456_) + ); + sky130_fd_sc_hd__mux2_2 _16543_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][1] ), + .S(_00396_), + .X(_00457_) + ); + sky130_fd_sc_hd__mux2_2 _16544_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][1] ), + .S(_00396_), + .X(_00458_) + ); + sky130_fd_sc_hd__mux2_2 _16545_ ( + .A0(_00458_), + .A1(_00457_), + .S(_00400_), + .X(_00459_) + ); + sky130_fd_sc_hd__mux2_2 _16546_ ( + .A0(_00459_), + .A1(_00456_), + .S(_00405_), + .X(_00460_) + ); + sky130_fd_sc_hd__mux2_2 _16547_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][1] ), + .S(_00396_), + .X(_00461_) + ); + sky130_fd_sc_hd__mux2_2 _16548_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][1] ), + .S(_00396_), + .X(_00462_) + ); + sky130_fd_sc_hd__mux2_2 _16549_ ( + .A0(_00462_), + .A1(_00461_), + .S(_00400_), + .X(_00463_) + ); + sky130_fd_sc_hd__mux2_2 _16550_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][1] ), + .S(_00396_), + .X(_00464_) + ); + sky130_fd_sc_hd__mux2_2 _16551_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][1] ), + .S(_00396_), + .X(_00465_) + ); + sky130_fd_sc_hd__mux2_2 _16552_ ( + .A0(_00465_), + .A1(_00464_), + .S(_00400_), + .X(_00466_) + ); + sky130_fd_sc_hd__mux2_2 _16553_ ( + .A0(_00466_), + .A1(_00463_), + .S(_00405_), + .X(_00467_) + ); + sky130_fd_sc_hd__mux2_2 _16554_ ( + .A0(_00467_), + .A1(_00460_), + .S(_00412_), + .X(_00468_) + ); + sky130_fd_sc_hd__mux2_2 _16555_ ( + .A0(_00468_), + .A1(_00453_), + .S(_00423_), + .X(_00102_) + ); + sky130_fd_sc_hd__mux2_2 _16556_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][2] ), + .S(_00396_), + .X(_00469_) + ); + sky130_fd_sc_hd__mux2_2 _16557_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][2] ), + .S(_00396_), + .X(_00470_) + ); + sky130_fd_sc_hd__mux2_2 _16558_ ( + .A0(_00470_), + .A1(_00469_), + .S(_00400_), + .X(_00471_) + ); + sky130_fd_sc_hd__mux2_2 _16559_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][2] ), + .S(_00396_), + .X(_00472_) + ); + sky130_fd_sc_hd__mux2_2 _16560_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][2] ), + .S(_00396_), + .X(_00473_) + ); + sky130_fd_sc_hd__mux2_2 _16561_ ( + .A0(_00473_), + .A1(_00472_), + .S(_00400_), + .X(_00474_) + ); + sky130_fd_sc_hd__mux2_2 _16562_ ( + .A0(_00474_), + .A1(_00471_), + .S(_00405_), + .X(_00475_) + ); + sky130_fd_sc_hd__mux2_2 _16563_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][2] ), + .S(_00396_), + .X(_00476_) + ); + sky130_fd_sc_hd__mux2_2 _16564_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][2] ), + .S(_00396_), + .X(_00477_) + ); + sky130_fd_sc_hd__mux2_2 _16565_ ( + .A0(_00477_), + .A1(_00476_), + .S(_00400_), + .X(_00478_) + ); + sky130_fd_sc_hd__mux2_2 _16566_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][2] ), + .S(_00396_), + .X(_00479_) + ); + sky130_fd_sc_hd__mux2_2 _16567_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][2] ), + .S(_00396_), + .X(_00480_) + ); + sky130_fd_sc_hd__mux2_2 _16568_ ( + .A0(_00480_), + .A1(_00479_), + .S(_00400_), + .X(_00481_) + ); + sky130_fd_sc_hd__mux2_2 _16569_ ( + .A0(_00481_), + .A1(_00478_), + .S(_00405_), + .X(_00482_) + ); + sky130_fd_sc_hd__mux2_2 _16570_ ( + .A0(_00482_), + .A1(_00475_), + .S(_00412_), + .X(_00483_) + ); + sky130_fd_sc_hd__mux2_2 _16571_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][2] ), + .S(_00396_), + .X(_00484_) + ); + sky130_fd_sc_hd__mux2_2 _16572_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][2] ), + .S(_00396_), + .X(_00485_) + ); + sky130_fd_sc_hd__mux2_2 _16573_ ( + .A0(_00485_), + .A1(_00484_), + .S(_00400_), + .X(_00486_) + ); + sky130_fd_sc_hd__mux2_2 _16574_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][2] ), + .S(_00396_), + .X(_00487_) + ); + sky130_fd_sc_hd__mux2_2 _16575_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][2] ), + .S(_00396_), + .X(_00488_) + ); + sky130_fd_sc_hd__mux2_2 _16576_ ( + .A0(_00488_), + .A1(_00487_), + .S(_00400_), + .X(_00489_) + ); + sky130_fd_sc_hd__mux2_2 _16577_ ( + .A0(_00489_), + .A1(_00486_), + .S(_00405_), + .X(_00490_) + ); + sky130_fd_sc_hd__mux2_2 _16578_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][2] ), + .S(_00396_), + .X(_00491_) + ); + sky130_fd_sc_hd__mux2_2 _16579_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][2] ), + .S(_00396_), + .X(_00492_) + ); + sky130_fd_sc_hd__mux2_2 _16580_ ( + .A0(_00492_), + .A1(_00491_), + .S(_00400_), + .X(_00493_) + ); + sky130_fd_sc_hd__mux2_2 _16581_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][2] ), + .S(_00396_), + .X(_00494_) + ); + sky130_fd_sc_hd__mux2_2 _16582_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][2] ), + .S(_00396_), + .X(_00495_) + ); + sky130_fd_sc_hd__mux2_2 _16583_ ( + .A0(_00495_), + .A1(_00494_), + .S(_00400_), + .X(_00496_) + ); + sky130_fd_sc_hd__mux2_2 _16584_ ( + .A0(_00496_), + .A1(_00493_), + .S(_00405_), + .X(_00497_) + ); + sky130_fd_sc_hd__mux2_2 _16585_ ( + .A0(_00497_), + .A1(_00490_), + .S(_00412_), + .X(_00498_) + ); + sky130_fd_sc_hd__mux2_2 _16586_ ( + .A0(_00498_), + .A1(_00483_), + .S(_00423_), + .X(_00113_) + ); + sky130_fd_sc_hd__mux2_2 _16587_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][3] ), + .S(_00396_), + .X(_00499_) + ); + sky130_fd_sc_hd__mux2_2 _16588_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][3] ), + .S(_00396_), + .X(_00500_) + ); + sky130_fd_sc_hd__mux2_2 _16589_ ( + .A0(_00500_), + .A1(_00499_), + .S(_00400_), + .X(_00501_) + ); + sky130_fd_sc_hd__mux2_2 _16590_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][3] ), + .S(_00396_), + .X(_00502_) + ); + sky130_fd_sc_hd__mux2_2 _16591_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][3] ), + .S(_00396_), + .X(_00503_) + ); + sky130_fd_sc_hd__mux2_2 _16592_ ( + .A0(_00503_), + .A1(_00502_), + .S(_00400_), + .X(_00504_) + ); + sky130_fd_sc_hd__mux2_2 _16593_ ( + .A0(_00504_), + .A1(_00501_), + .S(_00405_), + .X(_00505_) + ); + sky130_fd_sc_hd__mux2_2 _16594_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][3] ), + .S(_00396_), + .X(_00506_) + ); + sky130_fd_sc_hd__mux2_2 _16595_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][3] ), + .S(_00396_), + .X(_00507_) + ); + sky130_fd_sc_hd__mux2_2 _16596_ ( + .A0(_00507_), + .A1(_00506_), + .S(_00400_), + .X(_00508_) + ); + sky130_fd_sc_hd__mux2_2 _16597_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][3] ), + .S(_00396_), + .X(_00509_) + ); + sky130_fd_sc_hd__mux2_2 _16598_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][3] ), + .S(_00396_), + .X(_00510_) + ); + sky130_fd_sc_hd__mux2_2 _16599_ ( + .A0(_00510_), + .A1(_00509_), + .S(_00400_), + .X(_00511_) + ); + sky130_fd_sc_hd__mux2_2 _16600_ ( + .A0(_00511_), + .A1(_00508_), + .S(_00405_), + .X(_00512_) + ); + sky130_fd_sc_hd__mux2_2 _16601_ ( + .A0(_00512_), + .A1(_00505_), + .S(_00412_), + .X(_00513_) + ); + sky130_fd_sc_hd__mux2_2 _16602_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][3] ), + .S(_00396_), + .X(_00514_) + ); + sky130_fd_sc_hd__mux2_2 _16603_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][3] ), + .S(_00396_), + .X(_00515_) + ); + sky130_fd_sc_hd__mux2_2 _16604_ ( + .A0(_00515_), + .A1(_00514_), + .S(_00400_), + .X(_00516_) + ); + sky130_fd_sc_hd__mux2_2 _16605_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][3] ), + .S(_00396_), + .X(_00517_) + ); + sky130_fd_sc_hd__mux2_2 _16606_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][3] ), + .S(_00396_), + .X(_00518_) + ); + sky130_fd_sc_hd__mux2_2 _16607_ ( + .A0(_00518_), + .A1(_00517_), + .S(_00400_), + .X(_00519_) + ); + sky130_fd_sc_hd__mux2_2 _16608_ ( + .A0(_00519_), + .A1(_00516_), + .S(_00405_), + .X(_00520_) + ); + sky130_fd_sc_hd__mux2_2 _16609_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][3] ), + .S(_00396_), + .X(_00521_) + ); + sky130_fd_sc_hd__mux2_2 _16610_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][3] ), + .S(_00396_), + .X(_00522_) + ); + sky130_fd_sc_hd__mux2_2 _16611_ ( + .A0(_00522_), + .A1(_00521_), + .S(_00400_), + .X(_00523_) + ); + sky130_fd_sc_hd__mux2_2 _16612_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][3] ), + .S(_00396_), + .X(_00524_) + ); + sky130_fd_sc_hd__mux2_2 _16613_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][3] ), + .S(_00396_), + .X(_00525_) + ); + sky130_fd_sc_hd__mux2_2 _16614_ ( + .A0(_00525_), + .A1(_00524_), + .S(_00400_), + .X(_00526_) + ); + sky130_fd_sc_hd__mux2_2 _16615_ ( + .A0(_00526_), + .A1(_00523_), + .S(_00405_), + .X(_00527_) + ); + sky130_fd_sc_hd__mux2_2 _16616_ ( + .A0(_00527_), + .A1(_00520_), + .S(_00412_), + .X(_00528_) + ); + sky130_fd_sc_hd__mux2_2 _16617_ ( + .A0(_00528_), + .A1(_00513_), + .S(_00423_), + .X(_00116_) + ); + sky130_fd_sc_hd__mux2_2 _16618_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][4] ), + .S(_00396_), + .X(_00529_) + ); + sky130_fd_sc_hd__mux2_2 _16619_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][4] ), + .S(_00396_), + .X(_00530_) + ); + sky130_fd_sc_hd__mux2_2 _16620_ ( + .A0(_00530_), + .A1(_00529_), + .S(_00400_), + .X(_00531_) + ); + sky130_fd_sc_hd__mux2_2 _16621_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][4] ), + .S(_00396_), + .X(_00532_) + ); + sky130_fd_sc_hd__mux2_2 _16622_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][4] ), + .S(_00396_), + .X(_00533_) + ); + sky130_fd_sc_hd__mux2_2 _16623_ ( + .A0(_00533_), + .A1(_00532_), + .S(_00400_), + .X(_00534_) + ); + sky130_fd_sc_hd__mux2_2 _16624_ ( + .A0(_00534_), + .A1(_00531_), + .S(_00405_), + .X(_00535_) + ); + sky130_fd_sc_hd__mux2_2 _16625_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][4] ), + .S(_00396_), + .X(_00536_) + ); + sky130_fd_sc_hd__mux2_2 _16626_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][4] ), + .S(_00396_), + .X(_00537_) + ); + sky130_fd_sc_hd__mux2_2 _16627_ ( + .A0(_00537_), + .A1(_00536_), + .S(_00400_), + .X(_00538_) + ); + sky130_fd_sc_hd__mux2_2 _16628_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][4] ), + .S(_00396_), + .X(_00539_) + ); + sky130_fd_sc_hd__mux2_2 _16629_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][4] ), + .S(_00396_), + .X(_00540_) + ); + sky130_fd_sc_hd__mux2_2 _16630_ ( + .A0(_00540_), + .A1(_00539_), + .S(_00400_), + .X(_00541_) + ); + sky130_fd_sc_hd__mux2_2 _16631_ ( + .A0(_00541_), + .A1(_00538_), + .S(_00405_), + .X(_00542_) + ); + sky130_fd_sc_hd__mux2_2 _16632_ ( + .A0(_00542_), + .A1(_00535_), + .S(_00412_), + .X(_00543_) + ); + sky130_fd_sc_hd__mux2_2 _16633_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][4] ), + .S(_00396_), + .X(_00544_) + ); + sky130_fd_sc_hd__mux2_2 _16634_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][4] ), + .S(_00396_), + .X(_00545_) + ); + sky130_fd_sc_hd__mux2_2 _16635_ ( + .A0(_00545_), + .A1(_00544_), + .S(_00400_), + .X(_00546_) + ); + sky130_fd_sc_hd__mux2_2 _16636_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][4] ), + .S(_00396_), + .X(_00547_) + ); + sky130_fd_sc_hd__mux2_2 _16637_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][4] ), + .S(_00396_), + .X(_00548_) + ); + sky130_fd_sc_hd__mux2_2 _16638_ ( + .A0(_00548_), + .A1(_00547_), + .S(_00400_), + .X(_00549_) + ); + sky130_fd_sc_hd__mux2_2 _16639_ ( + .A0(_00549_), + .A1(_00546_), + .S(_00405_), + .X(_00550_) + ); + sky130_fd_sc_hd__mux2_2 _16640_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][4] ), + .S(_00396_), + .X(_00551_) + ); + sky130_fd_sc_hd__mux2_2 _16641_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][4] ), + .S(_00396_), + .X(_00552_) + ); + sky130_fd_sc_hd__mux2_2 _16642_ ( + .A0(_00552_), + .A1(_00551_), + .S(_00400_), + .X(_00553_) + ); + sky130_fd_sc_hd__mux2_2 _16643_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][4] ), + .S(_00396_), + .X(_00554_) + ); + sky130_fd_sc_hd__mux2_2 _16644_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][4] ), + .S(_00396_), + .X(_00555_) + ); + sky130_fd_sc_hd__mux2_2 _16645_ ( + .A0(_00555_), + .A1(_00554_), + .S(_00400_), + .X(_00556_) + ); + sky130_fd_sc_hd__mux2_2 _16646_ ( + .A0(_00556_), + .A1(_00553_), + .S(_00405_), + .X(_00557_) + ); + sky130_fd_sc_hd__mux2_2 _16647_ ( + .A0(_00557_), + .A1(_00550_), + .S(_00412_), + .X(_00558_) + ); + sky130_fd_sc_hd__mux2_2 _16648_ ( + .A0(_00558_), + .A1(_00543_), + .S(_00423_), + .X(_00117_) + ); + sky130_fd_sc_hd__mux2_2 _16649_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][5] ), + .S(_00396_), + .X(_00559_) + ); + sky130_fd_sc_hd__mux2_2 _16650_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][5] ), + .S(_00396_), + .X(_00560_) + ); + sky130_fd_sc_hd__mux2_2 _16651_ ( + .A0(_00560_), + .A1(_00559_), + .S(_00400_), + .X(_00561_) + ); + sky130_fd_sc_hd__mux2_2 _16652_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][5] ), + .S(_00396_), + .X(_00562_) + ); + sky130_fd_sc_hd__mux2_2 _16653_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][5] ), + .S(_00396_), + .X(_00563_) + ); + sky130_fd_sc_hd__mux2_2 _16654_ ( + .A0(_00563_), + .A1(_00562_), + .S(_00400_), + .X(_00564_) + ); + sky130_fd_sc_hd__mux2_2 _16655_ ( + .A0(_00564_), + .A1(_00561_), + .S(_00405_), + .X(_00565_) + ); + sky130_fd_sc_hd__mux2_2 _16656_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][5] ), + .S(_00396_), + .X(_00566_) + ); + sky130_fd_sc_hd__mux2_2 _16657_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][5] ), + .S(_00396_), + .X(_00567_) + ); + sky130_fd_sc_hd__mux2_2 _16658_ ( + .A0(_00567_), + .A1(_00566_), + .S(_00400_), + .X(_00568_) + ); + sky130_fd_sc_hd__mux2_2 _16659_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][5] ), + .S(_00396_), + .X(_00569_) + ); + sky130_fd_sc_hd__mux2_2 _16660_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][5] ), + .S(_00396_), + .X(_00570_) + ); + sky130_fd_sc_hd__mux2_2 _16661_ ( + .A0(_00570_), + .A1(_00569_), + .S(_00400_), + .X(_00571_) + ); + sky130_fd_sc_hd__mux2_2 _16662_ ( + .A0(_00571_), + .A1(_00568_), + .S(_00405_), + .X(_00572_) + ); + sky130_fd_sc_hd__mux2_2 _16663_ ( + .A0(_00572_), + .A1(_00565_), + .S(_00412_), + .X(_00573_) + ); + sky130_fd_sc_hd__mux2_2 _16664_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][5] ), + .S(_00396_), + .X(_00574_) + ); + sky130_fd_sc_hd__mux2_2 _16665_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][5] ), + .S(_00396_), + .X(_00575_) + ); + sky130_fd_sc_hd__mux2_2 _16666_ ( + .A0(_00575_), + .A1(_00574_), + .S(_00400_), + .X(_00576_) + ); + sky130_fd_sc_hd__mux2_2 _16667_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][5] ), + .S(_00396_), + .X(_00577_) + ); + sky130_fd_sc_hd__mux2_2 _16668_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][5] ), + .S(_00396_), + .X(_00578_) + ); + sky130_fd_sc_hd__mux2_2 _16669_ ( + .A0(_00578_), + .A1(_00577_), + .S(_00400_), + .X(_00579_) + ); + sky130_fd_sc_hd__mux2_2 _16670_ ( + .A0(_00579_), + .A1(_00576_), + .S(_00405_), + .X(_00580_) + ); + sky130_fd_sc_hd__mux2_2 _16671_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][5] ), + .S(_00396_), + .X(_00581_) + ); + sky130_fd_sc_hd__mux2_2 _16672_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][5] ), + .S(_00396_), + .X(_00582_) + ); + sky130_fd_sc_hd__mux2_2 _16673_ ( + .A0(_00582_), + .A1(_00581_), + .S(_00400_), + .X(_00583_) + ); + sky130_fd_sc_hd__mux2_2 _16674_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][5] ), + .S(_00396_), + .X(_00584_) + ); + sky130_fd_sc_hd__mux2_2 _16675_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][5] ), + .S(_00396_), + .X(_00585_) + ); + sky130_fd_sc_hd__mux2_2 _16676_ ( + .A0(_00585_), + .A1(_00584_), + .S(_00400_), + .X(_00586_) + ); + sky130_fd_sc_hd__mux2_2 _16677_ ( + .A0(_00586_), + .A1(_00583_), + .S(_00405_), + .X(_00587_) + ); + sky130_fd_sc_hd__mux2_2 _16678_ ( + .A0(_00587_), + .A1(_00580_), + .S(_00412_), + .X(_00588_) + ); + sky130_fd_sc_hd__mux2_2 _16679_ ( + .A0(_00588_), + .A1(_00573_), + .S(_00423_), + .X(_00118_) + ); + sky130_fd_sc_hd__mux2_2 _16680_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][6] ), + .S(_00396_), + .X(_00589_) + ); + sky130_fd_sc_hd__mux2_2 _16681_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][6] ), + .S(_00396_), + .X(_00590_) + ); + sky130_fd_sc_hd__mux2_2 _16682_ ( + .A0(_00590_), + .A1(_00589_), + .S(_00400_), + .X(_00591_) + ); + sky130_fd_sc_hd__mux2_2 _16683_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][6] ), + .S(_00396_), + .X(_00592_) + ); + sky130_fd_sc_hd__mux2_2 _16684_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][6] ), + .S(_00396_), + .X(_00593_) + ); + sky130_fd_sc_hd__mux2_2 _16685_ ( + .A0(_00593_), + .A1(_00592_), + .S(_00400_), + .X(_00594_) + ); + sky130_fd_sc_hd__mux2_2 _16686_ ( + .A0(_00594_), + .A1(_00591_), + .S(_00405_), + .X(_00595_) + ); + sky130_fd_sc_hd__mux2_2 _16687_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][6] ), + .S(_00396_), + .X(_00596_) + ); + sky130_fd_sc_hd__mux2_2 _16688_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][6] ), + .S(_00396_), + .X(_00597_) + ); + sky130_fd_sc_hd__mux2_2 _16689_ ( + .A0(_00597_), + .A1(_00596_), + .S(_00400_), + .X(_00598_) + ); + sky130_fd_sc_hd__mux2_2 _16690_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][6] ), + .S(_00396_), + .X(_00599_) + ); + sky130_fd_sc_hd__mux2_2 _16691_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][6] ), + .S(_00396_), + .X(_00600_) + ); + sky130_fd_sc_hd__mux2_2 _16692_ ( + .A0(_00600_), + .A1(_00599_), + .S(_00400_), + .X(_00601_) + ); + sky130_fd_sc_hd__mux2_2 _16693_ ( + .A0(_00601_), + .A1(_00598_), + .S(_00405_), + .X(_00602_) + ); + sky130_fd_sc_hd__mux2_2 _16694_ ( + .A0(_00602_), + .A1(_00595_), + .S(_00412_), + .X(_00603_) + ); + sky130_fd_sc_hd__mux2_2 _16695_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][6] ), + .S(_00396_), + .X(_00604_) + ); + sky130_fd_sc_hd__mux2_2 _16696_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][6] ), + .S(_00396_), + .X(_00605_) + ); + sky130_fd_sc_hd__mux2_2 _16697_ ( + .A0(_00605_), + .A1(_00604_), + .S(_00400_), + .X(_00606_) + ); + sky130_fd_sc_hd__mux2_2 _16698_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][6] ), + .S(_00396_), + .X(_00607_) + ); + sky130_fd_sc_hd__mux2_2 _16699_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][6] ), + .S(_00396_), + .X(_00608_) + ); + sky130_fd_sc_hd__mux2_2 _16700_ ( + .A0(_00608_), + .A1(_00607_), + .S(_00400_), + .X(_00609_) + ); + sky130_fd_sc_hd__mux2_2 _16701_ ( + .A0(_00609_), + .A1(_00606_), + .S(_00405_), + .X(_00610_) + ); + sky130_fd_sc_hd__mux2_2 _16702_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][6] ), + .S(_00396_), + .X(_00611_) + ); + sky130_fd_sc_hd__mux2_2 _16703_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][6] ), + .S(_00396_), + .X(_00612_) + ); + sky130_fd_sc_hd__mux2_2 _16704_ ( + .A0(_00612_), + .A1(_00611_), + .S(_00400_), + .X(_00613_) + ); + sky130_fd_sc_hd__mux2_2 _16705_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][6] ), + .S(_00396_), + .X(_00614_) + ); + sky130_fd_sc_hd__mux2_2 _16706_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][6] ), + .S(_00396_), + .X(_00615_) + ); + sky130_fd_sc_hd__mux2_2 _16707_ ( + .A0(_00615_), + .A1(_00614_), + .S(_00400_), + .X(_00616_) + ); + sky130_fd_sc_hd__mux2_2 _16708_ ( + .A0(_00616_), + .A1(_00613_), + .S(_00405_), + .X(_00617_) + ); + sky130_fd_sc_hd__mux2_2 _16709_ ( + .A0(_00617_), + .A1(_00610_), + .S(_00412_), + .X(_00618_) + ); + sky130_fd_sc_hd__mux2_2 _16710_ ( + .A0(_00618_), + .A1(_00603_), + .S(_00423_), + .X(_00119_) + ); + sky130_fd_sc_hd__mux2_2 _16711_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][7] ), + .S(_00396_), + .X(_00619_) + ); + sky130_fd_sc_hd__mux2_2 _16712_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][7] ), + .S(_00396_), + .X(_00620_) + ); + sky130_fd_sc_hd__mux2_2 _16713_ ( + .A0(_00620_), + .A1(_00619_), + .S(_00400_), + .X(_00621_) + ); + sky130_fd_sc_hd__mux2_2 _16714_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][7] ), + .S(_00396_), + .X(_00622_) + ); + sky130_fd_sc_hd__mux2_2 _16715_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][7] ), + .S(_00396_), + .X(_00623_) + ); + sky130_fd_sc_hd__mux2_2 _16716_ ( + .A0(_00623_), + .A1(_00622_), + .S(_00400_), + .X(_00624_) + ); + sky130_fd_sc_hd__mux2_2 _16717_ ( + .A0(_00624_), + .A1(_00621_), + .S(_00405_), + .X(_00625_) + ); + sky130_fd_sc_hd__mux2_2 _16718_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][7] ), + .S(_00396_), + .X(_00626_) + ); + sky130_fd_sc_hd__mux2_2 _16719_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][7] ), + .S(_00396_), + .X(_00627_) + ); + sky130_fd_sc_hd__mux2_2 _16720_ ( + .A0(_00627_), + .A1(_00626_), + .S(_00400_), + .X(_00628_) + ); + sky130_fd_sc_hd__mux2_2 _16721_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][7] ), + .S(_00396_), + .X(_00629_) + ); + sky130_fd_sc_hd__mux2_2 _16722_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][7] ), + .S(_00396_), + .X(_00630_) + ); + sky130_fd_sc_hd__mux2_2 _16723_ ( + .A0(_00630_), + .A1(_00629_), + .S(_00400_), + .X(_00631_) + ); + sky130_fd_sc_hd__mux2_2 _16724_ ( + .A0(_00631_), + .A1(_00628_), + .S(_00405_), + .X(_00632_) + ); + sky130_fd_sc_hd__mux2_2 _16725_ ( + .A0(_00632_), + .A1(_00625_), + .S(_00412_), + .X(_00633_) + ); + sky130_fd_sc_hd__mux2_2 _16726_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][7] ), + .S(_00396_), + .X(_00634_) + ); + sky130_fd_sc_hd__mux2_2 _16727_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][7] ), + .S(_00396_), + .X(_00635_) + ); + sky130_fd_sc_hd__mux2_2 _16728_ ( + .A0(_00635_), + .A1(_00634_), + .S(_00400_), + .X(_00636_) + ); + sky130_fd_sc_hd__mux2_2 _16729_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][7] ), + .S(_00396_), + .X(_00637_) + ); + sky130_fd_sc_hd__mux2_2 _16730_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][7] ), + .S(_00396_), + .X(_00638_) + ); + sky130_fd_sc_hd__mux2_2 _16731_ ( + .A0(_00638_), + .A1(_00637_), + .S(_00400_), + .X(_00639_) + ); + sky130_fd_sc_hd__mux2_2 _16732_ ( + .A0(_00639_), + .A1(_00636_), + .S(_00405_), + .X(_00640_) + ); + sky130_fd_sc_hd__mux2_2 _16733_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][7] ), + .S(_00396_), + .X(_00641_) + ); + sky130_fd_sc_hd__mux2_2 _16734_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][7] ), + .S(_00396_), + .X(_00642_) + ); + sky130_fd_sc_hd__mux2_2 _16735_ ( + .A0(_00642_), + .A1(_00641_), + .S(_00400_), + .X(_00643_) + ); + sky130_fd_sc_hd__mux2_2 _16736_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][7] ), + .S(_00396_), + .X(_00644_) + ); + sky130_fd_sc_hd__mux2_2 _16737_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][7] ), + .S(_00396_), + .X(_00645_) + ); + sky130_fd_sc_hd__mux2_2 _16738_ ( + .A0(_00645_), + .A1(_00644_), + .S(_00400_), + .X(_00646_) + ); + sky130_fd_sc_hd__mux2_2 _16739_ ( + .A0(_00646_), + .A1(_00643_), + .S(_00405_), + .X(_00647_) + ); + sky130_fd_sc_hd__mux2_2 _16740_ ( + .A0(_00647_), + .A1(_00640_), + .S(_00412_), + .X(_00648_) + ); + sky130_fd_sc_hd__mux2_2 _16741_ ( + .A0(_00648_), + .A1(_00633_), + .S(_00423_), + .X(_00120_) + ); + sky130_fd_sc_hd__mux2_2 _16742_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][8] ), + .S(_00396_), + .X(_00649_) + ); + sky130_fd_sc_hd__mux2_2 _16743_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][8] ), + .S(_00396_), + .X(_00650_) + ); + sky130_fd_sc_hd__mux2_2 _16744_ ( + .A0(_00650_), + .A1(_00649_), + .S(_00400_), + .X(_00651_) + ); + sky130_fd_sc_hd__mux2_2 _16745_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][8] ), + .S(_00396_), + .X(_00652_) + ); + sky130_fd_sc_hd__mux2_2 _16746_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][8] ), + .S(_00396_), + .X(_00653_) + ); + sky130_fd_sc_hd__mux2_2 _16747_ ( + .A0(_00653_), + .A1(_00652_), + .S(_00400_), + .X(_00654_) + ); + sky130_fd_sc_hd__mux2_2 _16748_ ( + .A0(_00654_), + .A1(_00651_), + .S(_00405_), + .X(_00655_) + ); + sky130_fd_sc_hd__mux2_2 _16749_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][8] ), + .S(_00396_), + .X(_00656_) + ); + sky130_fd_sc_hd__mux2_2 _16750_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][8] ), + .S(_00396_), + .X(_00657_) + ); + sky130_fd_sc_hd__mux2_2 _16751_ ( + .A0(_00657_), + .A1(_00656_), + .S(_00400_), + .X(_00658_) + ); + sky130_fd_sc_hd__mux2_2 _16752_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][8] ), + .S(_00396_), + .X(_00659_) + ); + sky130_fd_sc_hd__mux2_2 _16753_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][8] ), + .S(_00396_), + .X(_00660_) + ); + sky130_fd_sc_hd__mux2_2 _16754_ ( + .A0(_00660_), + .A1(_00659_), + .S(_00400_), + .X(_00661_) + ); + sky130_fd_sc_hd__mux2_2 _16755_ ( + .A0(_00661_), + .A1(_00658_), + .S(_00405_), + .X(_00662_) + ); + sky130_fd_sc_hd__mux2_2 _16756_ ( + .A0(_00662_), + .A1(_00655_), + .S(_00412_), + .X(_00663_) + ); + sky130_fd_sc_hd__mux2_2 _16757_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][8] ), + .S(_00396_), + .X(_00664_) + ); + sky130_fd_sc_hd__mux2_2 _16758_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][8] ), + .S(_00396_), + .X(_00665_) + ); + sky130_fd_sc_hd__mux2_2 _16759_ ( + .A0(_00665_), + .A1(_00664_), + .S(_00400_), + .X(_00666_) + ); + sky130_fd_sc_hd__mux2_2 _16760_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][8] ), + .S(_00396_), + .X(_00667_) + ); + sky130_fd_sc_hd__mux2_2 _16761_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][8] ), + .S(_00396_), + .X(_00668_) + ); + sky130_fd_sc_hd__mux2_2 _16762_ ( + .A0(_00668_), + .A1(_00667_), + .S(_00400_), + .X(_00669_) + ); + sky130_fd_sc_hd__mux2_2 _16763_ ( + .A0(_00669_), + .A1(_00666_), + .S(_00405_), + .X(_00670_) + ); + sky130_fd_sc_hd__mux2_2 _16764_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][8] ), + .S(_00396_), + .X(_00671_) + ); + sky130_fd_sc_hd__mux2_2 _16765_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][8] ), + .S(_00396_), + .X(_00672_) + ); + sky130_fd_sc_hd__mux2_2 _16766_ ( + .A0(_00672_), + .A1(_00671_), + .S(_00400_), + .X(_00673_) + ); + sky130_fd_sc_hd__mux2_2 _16767_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][8] ), + .S(_00396_), + .X(_00674_) + ); + sky130_fd_sc_hd__mux2_2 _16768_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][8] ), + .S(_00396_), + .X(_00675_) + ); + sky130_fd_sc_hd__mux2_2 _16769_ ( + .A0(_00675_), + .A1(_00674_), + .S(_00400_), + .X(_00676_) + ); + sky130_fd_sc_hd__mux2_2 _16770_ ( + .A0(_00676_), + .A1(_00673_), + .S(_00405_), + .X(_00677_) + ); + sky130_fd_sc_hd__mux2_2 _16771_ ( + .A0(_00677_), + .A1(_00670_), + .S(_00412_), + .X(_00678_) + ); + sky130_fd_sc_hd__mux2_2 _16772_ ( + .A0(_00678_), + .A1(_00663_), + .S(_00423_), + .X(_00121_) + ); + sky130_fd_sc_hd__mux2_2 _16773_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][9] ), + .S(_00396_), + .X(_00679_) + ); + sky130_fd_sc_hd__mux2_2 _16774_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][9] ), + .S(_00396_), + .X(_00680_) + ); + sky130_fd_sc_hd__mux2_2 _16775_ ( + .A0(_00680_), + .A1(_00679_), + .S(_00400_), + .X(_00681_) + ); + sky130_fd_sc_hd__mux2_2 _16776_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][9] ), + .S(_00396_), + .X(_00682_) + ); + sky130_fd_sc_hd__mux2_2 _16777_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][9] ), + .S(_00396_), + .X(_00683_) + ); + sky130_fd_sc_hd__mux2_2 _16778_ ( + .A0(_00683_), + .A1(_00682_), + .S(_00400_), + .X(_00684_) + ); + sky130_fd_sc_hd__mux2_2 _16779_ ( + .A0(_00684_), + .A1(_00681_), + .S(_00405_), + .X(_00685_) + ); + sky130_fd_sc_hd__mux2_2 _16780_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][9] ), + .S(_00396_), + .X(_00686_) + ); + sky130_fd_sc_hd__mux2_2 _16781_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][9] ), + .S(_00396_), + .X(_00687_) + ); + sky130_fd_sc_hd__mux2_2 _16782_ ( + .A0(_00687_), + .A1(_00686_), + .S(_00400_), + .X(_00688_) + ); + sky130_fd_sc_hd__mux2_2 _16783_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][9] ), + .S(_00396_), + .X(_00689_) + ); + sky130_fd_sc_hd__mux2_2 _16784_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][9] ), + .S(_00396_), + .X(_00690_) + ); + sky130_fd_sc_hd__mux2_2 _16785_ ( + .A0(_00690_), + .A1(_00689_), + .S(_00400_), + .X(_00691_) + ); + sky130_fd_sc_hd__mux2_2 _16786_ ( + .A0(_00691_), + .A1(_00688_), + .S(_00405_), + .X(_00692_) + ); + sky130_fd_sc_hd__mux2_2 _16787_ ( + .A0(_00692_), + .A1(_00685_), + .S(_00412_), + .X(_00693_) + ); + sky130_fd_sc_hd__mux2_2 _16788_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][9] ), + .S(_00396_), + .X(_00694_) + ); + sky130_fd_sc_hd__mux2_2 _16789_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][9] ), + .S(_00396_), + .X(_00695_) + ); + sky130_fd_sc_hd__mux2_2 _16790_ ( + .A0(_00695_), + .A1(_00694_), + .S(_00400_), + .X(_00696_) + ); + sky130_fd_sc_hd__mux2_2 _16791_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][9] ), + .S(_00396_), + .X(_00697_) + ); + sky130_fd_sc_hd__mux2_2 _16792_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][9] ), + .S(_00396_), + .X(_00698_) + ); + sky130_fd_sc_hd__mux2_2 _16793_ ( + .A0(_00698_), + .A1(_00697_), + .S(_00400_), + .X(_00699_) + ); + sky130_fd_sc_hd__mux2_2 _16794_ ( + .A0(_00699_), + .A1(_00696_), + .S(_00405_), + .X(_00700_) + ); + sky130_fd_sc_hd__mux2_2 _16795_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][9] ), + .S(_00396_), + .X(_00701_) + ); + sky130_fd_sc_hd__mux2_2 _16796_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][9] ), + .S(_00396_), + .X(_00702_) + ); + sky130_fd_sc_hd__mux2_2 _16797_ ( + .A0(_00702_), + .A1(_00701_), + .S(_00400_), + .X(_00703_) + ); + sky130_fd_sc_hd__mux2_2 _16798_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][9] ), + .S(_00396_), + .X(_00704_) + ); + sky130_fd_sc_hd__mux2_2 _16799_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][9] ), + .S(_00396_), + .X(_00705_) + ); + sky130_fd_sc_hd__mux2_2 _16800_ ( + .A0(_00705_), + .A1(_00704_), + .S(_00400_), + .X(_00706_) + ); + sky130_fd_sc_hd__mux2_2 _16801_ ( + .A0(_00706_), + .A1(_00703_), + .S(_00405_), + .X(_00707_) + ); + sky130_fd_sc_hd__mux2_2 _16802_ ( + .A0(_00707_), + .A1(_00700_), + .S(_00412_), + .X(_00708_) + ); + sky130_fd_sc_hd__mux2_2 _16803_ ( + .A0(_00708_), + .A1(_00693_), + .S(_00423_), + .X(_00122_) + ); + sky130_fd_sc_hd__mux2_2 _16804_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][10] ), + .S(_00396_), + .X(_00709_) + ); + sky130_fd_sc_hd__mux2_2 _16805_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][10] ), + .S(_00396_), + .X(_00710_) + ); + sky130_fd_sc_hd__mux2_2 _16806_ ( + .A0(_00710_), + .A1(_00709_), + .S(_00400_), + .X(_00711_) + ); + sky130_fd_sc_hd__mux2_2 _16807_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][10] ), + .S(_00396_), + .X(_00712_) + ); + sky130_fd_sc_hd__mux2_2 _16808_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][10] ), + .S(_00396_), + .X(_00713_) + ); + sky130_fd_sc_hd__mux2_2 _16809_ ( + .A0(_00713_), + .A1(_00712_), + .S(_00400_), + .X(_00714_) + ); + sky130_fd_sc_hd__mux2_2 _16810_ ( + .A0(_00714_), + .A1(_00711_), + .S(_00405_), + .X(_00715_) + ); + sky130_fd_sc_hd__mux2_2 _16811_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][10] ), + .S(_00396_), + .X(_00716_) + ); + sky130_fd_sc_hd__mux2_2 _16812_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][10] ), + .S(_00396_), + .X(_00717_) + ); + sky130_fd_sc_hd__mux2_2 _16813_ ( + .A0(_00717_), + .A1(_00716_), + .S(_00400_), + .X(_00718_) + ); + sky130_fd_sc_hd__mux2_2 _16814_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][10] ), + .S(_00396_), + .X(_00719_) + ); + sky130_fd_sc_hd__mux2_2 _16815_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][10] ), + .S(_00396_), + .X(_00720_) + ); + sky130_fd_sc_hd__mux2_2 _16816_ ( + .A0(_00720_), + .A1(_00719_), + .S(_00400_), + .X(_00721_) + ); + sky130_fd_sc_hd__mux2_2 _16817_ ( + .A0(_00721_), + .A1(_00718_), + .S(_00405_), + .X(_00722_) + ); + sky130_fd_sc_hd__mux2_2 _16818_ ( + .A0(_00722_), + .A1(_00715_), + .S(_00412_), + .X(_00723_) + ); + sky130_fd_sc_hd__mux2_2 _16819_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][10] ), + .S(_00396_), + .X(_00724_) + ); + sky130_fd_sc_hd__mux2_2 _16820_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][10] ), + .S(_00396_), + .X(_00725_) + ); + sky130_fd_sc_hd__mux2_2 _16821_ ( + .A0(_00725_), + .A1(_00724_), + .S(_00400_), + .X(_00726_) + ); + sky130_fd_sc_hd__mux2_2 _16822_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][10] ), + .S(_00396_), + .X(_00727_) + ); + sky130_fd_sc_hd__mux2_2 _16823_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][10] ), + .S(_00396_), + .X(_00728_) + ); + sky130_fd_sc_hd__mux2_2 _16824_ ( + .A0(_00728_), + .A1(_00727_), + .S(_00400_), + .X(_00729_) + ); + sky130_fd_sc_hd__mux2_2 _16825_ ( + .A0(_00729_), + .A1(_00726_), + .S(_00405_), + .X(_00730_) + ); + sky130_fd_sc_hd__mux2_2 _16826_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][10] ), + .S(_00396_), + .X(_00731_) + ); + sky130_fd_sc_hd__mux2_2 _16827_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][10] ), + .S(_00396_), + .X(_00732_) + ); + sky130_fd_sc_hd__mux2_2 _16828_ ( + .A0(_00732_), + .A1(_00731_), + .S(_00400_), + .X(_00733_) + ); + sky130_fd_sc_hd__mux2_2 _16829_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][10] ), + .S(_00396_), + .X(_00734_) + ); + sky130_fd_sc_hd__mux2_2 _16830_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][10] ), + .S(_00396_), + .X(_00735_) + ); + sky130_fd_sc_hd__mux2_2 _16831_ ( + .A0(_00735_), + .A1(_00734_), + .S(_00400_), + .X(_00736_) + ); + sky130_fd_sc_hd__mux2_2 _16832_ ( + .A0(_00736_), + .A1(_00733_), + .S(_00405_), + .X(_00737_) + ); + sky130_fd_sc_hd__mux2_2 _16833_ ( + .A0(_00737_), + .A1(_00730_), + .S(_00412_), + .X(_00738_) + ); + sky130_fd_sc_hd__mux2_2 _16834_ ( + .A0(_00738_), + .A1(_00723_), + .S(_00423_), + .X(_00092_) + ); + sky130_fd_sc_hd__mux2_2 _16835_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][11] ), + .S(_00396_), + .X(_00739_) + ); + sky130_fd_sc_hd__mux2_2 _16836_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][11] ), + .S(_00396_), + .X(_00740_) + ); + sky130_fd_sc_hd__mux2_2 _16837_ ( + .A0(_00740_), + .A1(_00739_), + .S(_00400_), + .X(_00741_) + ); + sky130_fd_sc_hd__mux2_2 _16838_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][11] ), + .S(_00396_), + .X(_00742_) + ); + sky130_fd_sc_hd__mux2_2 _16839_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][11] ), + .S(_00396_), + .X(_00743_) + ); + sky130_fd_sc_hd__mux2_2 _16840_ ( + .A0(_00743_), + .A1(_00742_), + .S(_00400_), + .X(_00744_) + ); + sky130_fd_sc_hd__mux2_2 _16841_ ( + .A0(_00744_), + .A1(_00741_), + .S(_00405_), + .X(_00745_) + ); + sky130_fd_sc_hd__mux2_2 _16842_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][11] ), + .S(_00396_), + .X(_00746_) + ); + sky130_fd_sc_hd__mux2_2 _16843_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][11] ), + .S(_00396_), + .X(_00747_) + ); + sky130_fd_sc_hd__mux2_2 _16844_ ( + .A0(_00747_), + .A1(_00746_), + .S(_00400_), + .X(_00748_) + ); + sky130_fd_sc_hd__mux2_2 _16845_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][11] ), + .S(_00396_), + .X(_00749_) + ); + sky130_fd_sc_hd__mux2_2 _16846_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][11] ), + .S(_00396_), + .X(_00750_) + ); + sky130_fd_sc_hd__mux2_2 _16847_ ( + .A0(_00750_), + .A1(_00749_), + .S(_00400_), + .X(_00751_) + ); + sky130_fd_sc_hd__mux2_2 _16848_ ( + .A0(_00751_), + .A1(_00748_), + .S(_00405_), + .X(_00752_) + ); + sky130_fd_sc_hd__mux2_2 _16849_ ( + .A0(_00752_), + .A1(_00745_), + .S(_00412_), + .X(_00753_) + ); + sky130_fd_sc_hd__mux2_2 _16850_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][11] ), + .S(_00396_), + .X(_00754_) + ); + sky130_fd_sc_hd__mux2_2 _16851_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][11] ), + .S(_00396_), + .X(_00755_) + ); + sky130_fd_sc_hd__mux2_2 _16852_ ( + .A0(_00755_), + .A1(_00754_), + .S(_00400_), + .X(_00756_) + ); + sky130_fd_sc_hd__mux2_2 _16853_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][11] ), + .S(_00396_), + .X(_00757_) + ); + sky130_fd_sc_hd__mux2_2 _16854_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][11] ), + .S(_00396_), + .X(_00758_) + ); + sky130_fd_sc_hd__mux2_2 _16855_ ( + .A0(_00758_), + .A1(_00757_), + .S(_00400_), + .X(_00759_) + ); + sky130_fd_sc_hd__mux2_2 _16856_ ( + .A0(_00759_), + .A1(_00756_), + .S(_00405_), + .X(_00760_) + ); + sky130_fd_sc_hd__mux2_2 _16857_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][11] ), + .S(_00396_), + .X(_00761_) + ); + sky130_fd_sc_hd__mux2_2 _16858_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][11] ), + .S(_00396_), + .X(_00762_) + ); + sky130_fd_sc_hd__mux2_2 _16859_ ( + .A0(_00762_), + .A1(_00761_), + .S(_00400_), + .X(_00763_) + ); + sky130_fd_sc_hd__mux2_2 _16860_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][11] ), + .S(_00396_), + .X(_00764_) + ); + sky130_fd_sc_hd__mux2_2 _16861_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][11] ), + .S(_00396_), + .X(_00765_) + ); + sky130_fd_sc_hd__mux2_2 _16862_ ( + .A0(_00765_), + .A1(_00764_), + .S(_00400_), + .X(_00766_) + ); + sky130_fd_sc_hd__mux2_2 _16863_ ( + .A0(_00766_), + .A1(_00763_), + .S(_00405_), + .X(_00767_) + ); + sky130_fd_sc_hd__mux2_2 _16864_ ( + .A0(_00767_), + .A1(_00760_), + .S(_00412_), + .X(_00768_) + ); + sky130_fd_sc_hd__mux2_2 _16865_ ( + .A0(_00768_), + .A1(_00753_), + .S(_00423_), + .X(_00093_) + ); + sky130_fd_sc_hd__mux2_2 _16866_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][12] ), + .S(_00396_), + .X(_00769_) + ); + sky130_fd_sc_hd__mux2_2 _16867_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][12] ), + .S(_00396_), + .X(_00770_) + ); + sky130_fd_sc_hd__mux2_2 _16868_ ( + .A0(_00770_), + .A1(_00769_), + .S(_00400_), + .X(_00771_) + ); + sky130_fd_sc_hd__mux2_2 _16869_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][12] ), + .S(_00396_), + .X(_00772_) + ); + sky130_fd_sc_hd__mux2_2 _16870_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][12] ), + .S(_00396_), + .X(_00773_) + ); + sky130_fd_sc_hd__mux2_2 _16871_ ( + .A0(_00773_), + .A1(_00772_), + .S(_00400_), + .X(_00774_) + ); + sky130_fd_sc_hd__mux2_2 _16872_ ( + .A0(_00774_), + .A1(_00771_), + .S(_00405_), + .X(_00775_) + ); + sky130_fd_sc_hd__mux2_2 _16873_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][12] ), + .S(_00396_), + .X(_00776_) + ); + sky130_fd_sc_hd__mux2_2 _16874_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][12] ), + .S(_00396_), + .X(_00777_) + ); + sky130_fd_sc_hd__mux2_2 _16875_ ( + .A0(_00777_), + .A1(_00776_), + .S(_00400_), + .X(_00778_) + ); + sky130_fd_sc_hd__mux2_2 _16876_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][12] ), + .S(_00396_), + .X(_00779_) + ); + sky130_fd_sc_hd__mux2_2 _16877_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][12] ), + .S(_00396_), + .X(_00780_) + ); + sky130_fd_sc_hd__mux2_2 _16878_ ( + .A0(_00780_), + .A1(_00779_), + .S(_00400_), + .X(_00781_) + ); + sky130_fd_sc_hd__mux2_2 _16879_ ( + .A0(_00781_), + .A1(_00778_), + .S(_00405_), + .X(_00782_) + ); + sky130_fd_sc_hd__mux2_2 _16880_ ( + .A0(_00782_), + .A1(_00775_), + .S(_00412_), + .X(_00783_) + ); + sky130_fd_sc_hd__mux2_2 _16881_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][12] ), + .S(_00396_), + .X(_00784_) + ); + sky130_fd_sc_hd__mux2_2 _16882_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][12] ), + .S(_00396_), + .X(_00785_) + ); + sky130_fd_sc_hd__mux2_2 _16883_ ( + .A0(_00785_), + .A1(_00784_), + .S(_00400_), + .X(_00786_) + ); + sky130_fd_sc_hd__mux2_2 _16884_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][12] ), + .S(_00396_), + .X(_00787_) + ); + sky130_fd_sc_hd__mux2_2 _16885_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][12] ), + .S(_00396_), + .X(_00788_) + ); + sky130_fd_sc_hd__mux2_2 _16886_ ( + .A0(_00788_), + .A1(_00787_), + .S(_00400_), + .X(_00789_) + ); + sky130_fd_sc_hd__mux2_2 _16887_ ( + .A0(_00789_), + .A1(_00786_), + .S(_00405_), + .X(_00790_) + ); + sky130_fd_sc_hd__mux2_2 _16888_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][12] ), + .S(_00396_), + .X(_00791_) + ); + sky130_fd_sc_hd__mux2_2 _16889_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][12] ), + .S(_00396_), + .X(_00792_) + ); + sky130_fd_sc_hd__mux2_2 _16890_ ( + .A0(_00792_), + .A1(_00791_), + .S(_00400_), + .X(_00793_) + ); + sky130_fd_sc_hd__mux2_2 _16891_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][12] ), + .S(_00396_), + .X(_00794_) + ); + sky130_fd_sc_hd__mux2_2 _16892_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][12] ), + .S(_00396_), + .X(_00795_) + ); + sky130_fd_sc_hd__mux2_2 _16893_ ( + .A0(_00795_), + .A1(_00794_), + .S(_00400_), + .X(_00796_) + ); + sky130_fd_sc_hd__mux2_2 _16894_ ( + .A0(_00796_), + .A1(_00793_), + .S(_00405_), + .X(_00797_) + ); + sky130_fd_sc_hd__mux2_2 _16895_ ( + .A0(_00797_), + .A1(_00790_), + .S(_00412_), + .X(_00798_) + ); + sky130_fd_sc_hd__mux2_2 _16896_ ( + .A0(_00798_), + .A1(_00783_), + .S(_00423_), + .X(_00094_) + ); + sky130_fd_sc_hd__mux2_2 _16897_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][13] ), + .S(_00396_), + .X(_00799_) + ); + sky130_fd_sc_hd__mux2_2 _16898_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][13] ), + .S(_00396_), + .X(_00800_) + ); + sky130_fd_sc_hd__mux2_2 _16899_ ( + .A0(_00800_), + .A1(_00799_), + .S(_00400_), + .X(_00801_) + ); + sky130_fd_sc_hd__mux2_2 _16900_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][13] ), + .S(_00396_), + .X(_00802_) + ); + sky130_fd_sc_hd__mux2_2 _16901_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][13] ), + .S(_00396_), + .X(_00803_) + ); + sky130_fd_sc_hd__mux2_2 _16902_ ( + .A0(_00803_), + .A1(_00802_), + .S(_00400_), + .X(_00804_) + ); + sky130_fd_sc_hd__mux2_2 _16903_ ( + .A0(_00804_), + .A1(_00801_), + .S(_00405_), + .X(_00805_) + ); + sky130_fd_sc_hd__mux2_2 _16904_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][13] ), + .S(_00396_), + .X(_00806_) + ); + sky130_fd_sc_hd__mux2_2 _16905_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][13] ), + .S(_00396_), + .X(_00807_) + ); + sky130_fd_sc_hd__mux2_2 _16906_ ( + .A0(_00807_), + .A1(_00806_), + .S(_00400_), + .X(_00808_) + ); + sky130_fd_sc_hd__mux2_2 _16907_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][13] ), + .S(_00396_), + .X(_00809_) + ); + sky130_fd_sc_hd__mux2_2 _16908_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][13] ), + .S(_00396_), + .X(_00810_) + ); + sky130_fd_sc_hd__mux2_2 _16909_ ( + .A0(_00810_), + .A1(_00809_), + .S(_00400_), + .X(_00811_) + ); + sky130_fd_sc_hd__mux2_2 _16910_ ( + .A0(_00811_), + .A1(_00808_), + .S(_00405_), + .X(_00812_) + ); + sky130_fd_sc_hd__mux2_2 _16911_ ( + .A0(_00812_), + .A1(_00805_), + .S(_00412_), + .X(_00813_) + ); + sky130_fd_sc_hd__mux2_2 _16912_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][13] ), + .S(_00396_), + .X(_00814_) + ); + sky130_fd_sc_hd__mux2_2 _16913_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][13] ), + .S(_00396_), + .X(_00815_) + ); + sky130_fd_sc_hd__mux2_2 _16914_ ( + .A0(_00815_), + .A1(_00814_), + .S(_00400_), + .X(_00816_) + ); + sky130_fd_sc_hd__mux2_2 _16915_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][13] ), + .S(_00396_), + .X(_00817_) + ); + sky130_fd_sc_hd__mux2_2 _16916_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][13] ), + .S(_00396_), + .X(_00818_) + ); + sky130_fd_sc_hd__mux2_2 _16917_ ( + .A0(_00818_), + .A1(_00817_), + .S(_00400_), + .X(_00819_) + ); + sky130_fd_sc_hd__mux2_2 _16918_ ( + .A0(_00819_), + .A1(_00816_), + .S(_00405_), + .X(_00820_) + ); + sky130_fd_sc_hd__mux2_2 _16919_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][13] ), + .S(_00396_), + .X(_00821_) + ); + sky130_fd_sc_hd__mux2_2 _16920_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][13] ), + .S(_00396_), + .X(_00822_) + ); + sky130_fd_sc_hd__mux2_2 _16921_ ( + .A0(_00822_), + .A1(_00821_), + .S(_00400_), + .X(_00823_) + ); + sky130_fd_sc_hd__mux2_2 _16922_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][13] ), + .S(_00396_), + .X(_00824_) + ); + sky130_fd_sc_hd__mux2_2 _16923_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][13] ), + .S(_00396_), + .X(_00825_) + ); + sky130_fd_sc_hd__mux2_2 _16924_ ( + .A0(_00825_), + .A1(_00824_), + .S(_00400_), + .X(_00826_) + ); + sky130_fd_sc_hd__mux2_2 _16925_ ( + .A0(_00826_), + .A1(_00823_), + .S(_00405_), + .X(_00827_) + ); + sky130_fd_sc_hd__mux2_2 _16926_ ( + .A0(_00827_), + .A1(_00820_), + .S(_00412_), + .X(_00828_) + ); + sky130_fd_sc_hd__mux2_2 _16927_ ( + .A0(_00828_), + .A1(_00813_), + .S(_00423_), + .X(_00095_) + ); + sky130_fd_sc_hd__mux2_2 _16928_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][14] ), + .S(_00396_), + .X(_00829_) + ); + sky130_fd_sc_hd__mux2_2 _16929_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][14] ), + .S(_00396_), + .X(_00830_) + ); + sky130_fd_sc_hd__mux2_2 _16930_ ( + .A0(_00830_), + .A1(_00829_), + .S(_00400_), + .X(_00831_) + ); + sky130_fd_sc_hd__mux2_2 _16931_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][14] ), + .S(_00396_), + .X(_00832_) + ); + sky130_fd_sc_hd__mux2_2 _16932_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][14] ), + .S(_00396_), + .X(_00833_) + ); + sky130_fd_sc_hd__mux2_2 _16933_ ( + .A0(_00833_), + .A1(_00832_), + .S(_00400_), + .X(_00834_) + ); + sky130_fd_sc_hd__mux2_2 _16934_ ( + .A0(_00834_), + .A1(_00831_), + .S(_00405_), + .X(_00835_) + ); + sky130_fd_sc_hd__mux2_2 _16935_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][14] ), + .S(_00396_), + .X(_00836_) + ); + sky130_fd_sc_hd__mux2_2 _16936_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][14] ), + .S(_00396_), + .X(_00837_) + ); + sky130_fd_sc_hd__mux2_2 _16937_ ( + .A0(_00837_), + .A1(_00836_), + .S(_00400_), + .X(_00838_) + ); + sky130_fd_sc_hd__mux2_2 _16938_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][14] ), + .S(_00396_), + .X(_00839_) + ); + sky130_fd_sc_hd__mux2_2 _16939_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][14] ), + .S(_00396_), + .X(_00840_) + ); + sky130_fd_sc_hd__mux2_2 _16940_ ( + .A0(_00840_), + .A1(_00839_), + .S(_00400_), + .X(_00841_) + ); + sky130_fd_sc_hd__mux2_2 _16941_ ( + .A0(_00841_), + .A1(_00838_), + .S(_00405_), + .X(_00842_) + ); + sky130_fd_sc_hd__mux2_2 _16942_ ( + .A0(_00842_), + .A1(_00835_), + .S(_00412_), + .X(_00843_) + ); + sky130_fd_sc_hd__mux2_2 _16943_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][14] ), + .S(_00396_), + .X(_00844_) + ); + sky130_fd_sc_hd__mux2_2 _16944_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][14] ), + .S(_00396_), + .X(_00845_) + ); + sky130_fd_sc_hd__mux2_2 _16945_ ( + .A0(_00845_), + .A1(_00844_), + .S(_00400_), + .X(_00846_) + ); + sky130_fd_sc_hd__mux2_2 _16946_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][14] ), + .S(_00396_), + .X(_00847_) + ); + sky130_fd_sc_hd__mux2_2 _16947_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][14] ), + .S(_00396_), + .X(_00848_) + ); + sky130_fd_sc_hd__mux2_2 _16948_ ( + .A0(_00848_), + .A1(_00847_), + .S(_00400_), + .X(_00849_) + ); + sky130_fd_sc_hd__mux2_2 _16949_ ( + .A0(_00849_), + .A1(_00846_), + .S(_00405_), + .X(_00850_) + ); + sky130_fd_sc_hd__mux2_2 _16950_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][14] ), + .S(_00396_), + .X(_00851_) + ); + sky130_fd_sc_hd__mux2_2 _16951_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][14] ), + .S(_00396_), + .X(_00852_) + ); + sky130_fd_sc_hd__mux2_2 _16952_ ( + .A0(_00852_), + .A1(_00851_), + .S(_00400_), + .X(_00853_) + ); + sky130_fd_sc_hd__mux2_2 _16953_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][14] ), + .S(_00396_), + .X(_00854_) + ); + sky130_fd_sc_hd__mux2_2 _16954_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][14] ), + .S(_00396_), + .X(_00855_) + ); + sky130_fd_sc_hd__mux2_2 _16955_ ( + .A0(_00855_), + .A1(_00854_), + .S(_00400_), + .X(_00856_) + ); + sky130_fd_sc_hd__mux2_2 _16956_ ( + .A0(_00856_), + .A1(_00853_), + .S(_00405_), + .X(_00857_) + ); + sky130_fd_sc_hd__mux2_2 _16957_ ( + .A0(_00857_), + .A1(_00850_), + .S(_00412_), + .X(_00858_) + ); + sky130_fd_sc_hd__mux2_2 _16958_ ( + .A0(_00858_), + .A1(_00843_), + .S(_00423_), + .X(_00096_) + ); + sky130_fd_sc_hd__mux2_2 _16959_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][15] ), + .S(_00396_), + .X(_00859_) + ); + sky130_fd_sc_hd__mux2_2 _16960_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][15] ), + .S(_00396_), + .X(_00860_) + ); + sky130_fd_sc_hd__mux2_2 _16961_ ( + .A0(_00860_), + .A1(_00859_), + .S(_00400_), + .X(_00861_) + ); + sky130_fd_sc_hd__mux2_2 _16962_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][15] ), + .S(_00396_), + .X(_00862_) + ); + sky130_fd_sc_hd__mux2_2 _16963_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][15] ), + .S(_00396_), + .X(_00863_) + ); + sky130_fd_sc_hd__mux2_2 _16964_ ( + .A0(_00863_), + .A1(_00862_), + .S(_00400_), + .X(_00864_) + ); + sky130_fd_sc_hd__mux2_2 _16965_ ( + .A0(_00864_), + .A1(_00861_), + .S(_00405_), + .X(_00865_) + ); + sky130_fd_sc_hd__mux2_2 _16966_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][15] ), + .S(_00396_), + .X(_00866_) + ); + sky130_fd_sc_hd__mux2_2 _16967_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][15] ), + .S(_00396_), + .X(_00867_) + ); + sky130_fd_sc_hd__mux2_2 _16968_ ( + .A0(_00867_), + .A1(_00866_), + .S(_00400_), + .X(_00868_) + ); + sky130_fd_sc_hd__mux2_2 _16969_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][15] ), + .S(_00396_), + .X(_00869_) + ); + sky130_fd_sc_hd__mux2_2 _16970_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][15] ), + .S(_00396_), + .X(_00870_) + ); + sky130_fd_sc_hd__mux2_2 _16971_ ( + .A0(_00870_), + .A1(_00869_), + .S(_00400_), + .X(_00871_) + ); + sky130_fd_sc_hd__mux2_2 _16972_ ( + .A0(_00871_), + .A1(_00868_), + .S(_00405_), + .X(_00872_) + ); + sky130_fd_sc_hd__mux2_2 _16973_ ( + .A0(_00872_), + .A1(_00865_), + .S(_00412_), + .X(_00873_) + ); + sky130_fd_sc_hd__mux2_2 _16974_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][15] ), + .S(_00396_), + .X(_00874_) + ); + sky130_fd_sc_hd__mux2_2 _16975_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][15] ), + .S(_00396_), + .X(_00875_) + ); + sky130_fd_sc_hd__mux2_2 _16976_ ( + .A0(_00875_), + .A1(_00874_), + .S(_00400_), + .X(_00876_) + ); + sky130_fd_sc_hd__mux2_2 _16977_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][15] ), + .S(_00396_), + .X(_00877_) + ); + sky130_fd_sc_hd__mux2_2 _16978_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][15] ), + .S(_00396_), + .X(_00878_) + ); + sky130_fd_sc_hd__mux2_2 _16979_ ( + .A0(_00878_), + .A1(_00877_), + .S(_00400_), + .X(_00879_) + ); + sky130_fd_sc_hd__mux2_2 _16980_ ( + .A0(_00879_), + .A1(_00876_), + .S(_00405_), + .X(_00880_) + ); + sky130_fd_sc_hd__mux2_2 _16981_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][15] ), + .S(_00396_), + .X(_00881_) + ); + sky130_fd_sc_hd__mux2_2 _16982_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][15] ), + .S(_00396_), + .X(_00882_) + ); + sky130_fd_sc_hd__mux2_2 _16983_ ( + .A0(_00882_), + .A1(_00881_), + .S(_00400_), + .X(_00883_) + ); + sky130_fd_sc_hd__mux2_2 _16984_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][15] ), + .S(_00396_), + .X(_00884_) + ); + sky130_fd_sc_hd__mux2_2 _16985_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][15] ), + .S(_00396_), + .X(_00885_) + ); + sky130_fd_sc_hd__mux2_2 _16986_ ( + .A0(_00885_), + .A1(_00884_), + .S(_00400_), + .X(_00886_) + ); + sky130_fd_sc_hd__mux2_2 _16987_ ( + .A0(_00886_), + .A1(_00883_), + .S(_00405_), + .X(_00887_) + ); + sky130_fd_sc_hd__mux2_2 _16988_ ( + .A0(_00887_), + .A1(_00880_), + .S(_00412_), + .X(_00888_) + ); + sky130_fd_sc_hd__mux2_2 _16989_ ( + .A0(_00888_), + .A1(_00873_), + .S(_00423_), + .X(_00097_) + ); + sky130_fd_sc_hd__mux2_2 _16990_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][16] ), + .S(_00396_), + .X(_00889_) + ); + sky130_fd_sc_hd__mux2_2 _16991_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][16] ), + .S(_00396_), + .X(_00890_) + ); + sky130_fd_sc_hd__mux2_2 _16992_ ( + .A0(_00890_), + .A1(_00889_), + .S(_00400_), + .X(_00891_) + ); + sky130_fd_sc_hd__mux2_2 _16993_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][16] ), + .S(_00396_), + .X(_00892_) + ); + sky130_fd_sc_hd__mux2_2 _16994_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][16] ), + .S(_00396_), + .X(_00893_) + ); + sky130_fd_sc_hd__mux2_2 _16995_ ( + .A0(_00893_), + .A1(_00892_), + .S(_00400_), + .X(_00894_) + ); + sky130_fd_sc_hd__mux2_2 _16996_ ( + .A0(_00894_), + .A1(_00891_), + .S(_00405_), + .X(_00895_) + ); + sky130_fd_sc_hd__mux2_2 _16997_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][16] ), + .S(_00396_), + .X(_00896_) + ); + sky130_fd_sc_hd__mux2_2 _16998_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][16] ), + .S(_00396_), + .X(_00897_) + ); + sky130_fd_sc_hd__mux2_2 _16999_ ( + .A0(_00897_), + .A1(_00896_), + .S(_00400_), + .X(_00898_) + ); + sky130_fd_sc_hd__mux2_2 _17000_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][16] ), + .S(_00396_), + .X(_00899_) + ); + sky130_fd_sc_hd__mux2_2 _17001_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][16] ), + .S(_00396_), + .X(_00900_) + ); + sky130_fd_sc_hd__mux2_2 _17002_ ( + .A0(_00900_), + .A1(_00899_), + .S(_00400_), + .X(_00901_) + ); + sky130_fd_sc_hd__mux2_2 _17003_ ( + .A0(_00901_), + .A1(_00898_), + .S(_00405_), + .X(_00902_) + ); + sky130_fd_sc_hd__mux2_2 _17004_ ( + .A0(_00902_), + .A1(_00895_), + .S(_00412_), + .X(_00903_) + ); + sky130_fd_sc_hd__mux2_2 _17005_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][16] ), + .S(_00396_), + .X(_00904_) + ); + sky130_fd_sc_hd__mux2_2 _17006_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][16] ), + .S(_00396_), + .X(_00905_) + ); + sky130_fd_sc_hd__mux2_2 _17007_ ( + .A0(_00905_), + .A1(_00904_), + .S(_00400_), + .X(_00906_) + ); + sky130_fd_sc_hd__mux2_2 _17008_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][16] ), + .S(_00396_), + .X(_00907_) + ); + sky130_fd_sc_hd__mux2_2 _17009_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][16] ), + .S(_00396_), + .X(_00908_) + ); + sky130_fd_sc_hd__mux2_2 _17010_ ( + .A0(_00908_), + .A1(_00907_), + .S(_00400_), + .X(_00909_) + ); + sky130_fd_sc_hd__mux2_2 _17011_ ( + .A0(_00909_), + .A1(_00906_), + .S(_00405_), + .X(_00910_) + ); + sky130_fd_sc_hd__mux2_2 _17012_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][16] ), + .S(_00396_), + .X(_00911_) + ); + sky130_fd_sc_hd__mux2_2 _17013_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][16] ), + .S(_00396_), + .X(_00912_) + ); + sky130_fd_sc_hd__mux2_2 _17014_ ( + .A0(_00912_), + .A1(_00911_), + .S(_00400_), + .X(_00913_) + ); + sky130_fd_sc_hd__mux2_2 _17015_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][16] ), + .S(_00396_), + .X(_00914_) + ); + sky130_fd_sc_hd__mux2_2 _17016_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][16] ), + .S(_00396_), + .X(_00915_) + ); + sky130_fd_sc_hd__mux2_2 _17017_ ( + .A0(_00915_), + .A1(_00914_), + .S(_00400_), + .X(_00916_) + ); + sky130_fd_sc_hd__mux2_2 _17018_ ( + .A0(_00916_), + .A1(_00913_), + .S(_00405_), + .X(_00917_) + ); + sky130_fd_sc_hd__mux2_2 _17019_ ( + .A0(_00917_), + .A1(_00910_), + .S(_00412_), + .X(_00918_) + ); + sky130_fd_sc_hd__mux2_2 _17020_ ( + .A0(_00918_), + .A1(_00903_), + .S(_00423_), + .X(_00098_) + ); + sky130_fd_sc_hd__mux2_2 _17021_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][17] ), + .S(_00396_), + .X(_00919_) + ); + sky130_fd_sc_hd__mux2_2 _17022_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][17] ), + .S(_00396_), + .X(_00920_) + ); + sky130_fd_sc_hd__mux2_2 _17023_ ( + .A0(_00920_), + .A1(_00919_), + .S(_00400_), + .X(_00921_) + ); + sky130_fd_sc_hd__mux2_2 _17024_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][17] ), + .S(_00396_), + .X(_00922_) + ); + sky130_fd_sc_hd__mux2_2 _17025_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][17] ), + .S(_00396_), + .X(_00923_) + ); + sky130_fd_sc_hd__mux2_2 _17026_ ( + .A0(_00923_), + .A1(_00922_), + .S(_00400_), + .X(_00924_) + ); + sky130_fd_sc_hd__mux2_2 _17027_ ( + .A0(_00924_), + .A1(_00921_), + .S(_00405_), + .X(_00925_) + ); + sky130_fd_sc_hd__mux2_2 _17028_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][17] ), + .S(_00396_), + .X(_00926_) + ); + sky130_fd_sc_hd__mux2_2 _17029_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][17] ), + .S(_00396_), + .X(_00927_) + ); + sky130_fd_sc_hd__mux2_2 _17030_ ( + .A0(_00927_), + .A1(_00926_), + .S(_00400_), + .X(_00928_) + ); + sky130_fd_sc_hd__mux2_2 _17031_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][17] ), + .S(_00396_), + .X(_00929_) + ); + sky130_fd_sc_hd__mux2_2 _17032_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][17] ), + .S(_00396_), + .X(_00930_) + ); + sky130_fd_sc_hd__mux2_2 _17033_ ( + .A0(_00930_), + .A1(_00929_), + .S(_00400_), + .X(_00931_) + ); + sky130_fd_sc_hd__mux2_2 _17034_ ( + .A0(_00931_), + .A1(_00928_), + .S(_00405_), + .X(_00932_) + ); + sky130_fd_sc_hd__mux2_2 _17035_ ( + .A0(_00932_), + .A1(_00925_), + .S(_00412_), + .X(_00933_) + ); + sky130_fd_sc_hd__mux2_2 _17036_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][17] ), + .S(_00396_), + .X(_00934_) + ); + sky130_fd_sc_hd__mux2_2 _17037_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][17] ), + .S(_00396_), + .X(_00935_) + ); + sky130_fd_sc_hd__mux2_2 _17038_ ( + .A0(_00935_), + .A1(_00934_), + .S(_00400_), + .X(_00936_) + ); + sky130_fd_sc_hd__mux2_2 _17039_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][17] ), + .S(_00396_), + .X(_00937_) + ); + sky130_fd_sc_hd__mux2_2 _17040_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][17] ), + .S(_00396_), + .X(_00938_) + ); + sky130_fd_sc_hd__mux2_2 _17041_ ( + .A0(_00938_), + .A1(_00937_), + .S(_00400_), + .X(_00939_) + ); + sky130_fd_sc_hd__mux2_2 _17042_ ( + .A0(_00939_), + .A1(_00936_), + .S(_00405_), + .X(_00940_) + ); + sky130_fd_sc_hd__mux2_2 _17043_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][17] ), + .S(_00396_), + .X(_00941_) + ); + sky130_fd_sc_hd__mux2_2 _17044_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][17] ), + .S(_00396_), + .X(_00942_) + ); + sky130_fd_sc_hd__mux2_2 _17045_ ( + .A0(_00942_), + .A1(_00941_), + .S(_00400_), + .X(_00943_) + ); + sky130_fd_sc_hd__mux2_2 _17046_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][17] ), + .S(_00396_), + .X(_00944_) + ); + sky130_fd_sc_hd__mux2_2 _17047_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][17] ), + .S(_00396_), + .X(_00945_) + ); + sky130_fd_sc_hd__mux2_2 _17048_ ( + .A0(_00945_), + .A1(_00944_), + .S(_00400_), + .X(_00946_) + ); + sky130_fd_sc_hd__mux2_2 _17049_ ( + .A0(_00946_), + .A1(_00943_), + .S(_00405_), + .X(_00947_) + ); + sky130_fd_sc_hd__mux2_2 _17050_ ( + .A0(_00947_), + .A1(_00940_), + .S(_00412_), + .X(_00948_) + ); + sky130_fd_sc_hd__mux2_2 _17051_ ( + .A0(_00948_), + .A1(_00933_), + .S(_00423_), + .X(_00099_) + ); + sky130_fd_sc_hd__mux2_2 _17052_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][18] ), + .S(_00396_), + .X(_00949_) + ); + sky130_fd_sc_hd__mux2_2 _17053_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][18] ), + .S(_00396_), + .X(_00950_) + ); + sky130_fd_sc_hd__mux2_2 _17054_ ( + .A0(_00950_), + .A1(_00949_), + .S(_00400_), + .X(_00951_) + ); + sky130_fd_sc_hd__mux2_2 _17055_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][18] ), + .S(_00396_), + .X(_00952_) + ); + sky130_fd_sc_hd__mux2_2 _17056_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][18] ), + .S(_00396_), + .X(_00953_) + ); + sky130_fd_sc_hd__mux2_2 _17057_ ( + .A0(_00953_), + .A1(_00952_), + .S(_00400_), + .X(_00954_) + ); + sky130_fd_sc_hd__mux2_2 _17058_ ( + .A0(_00954_), + .A1(_00951_), + .S(_00405_), + .X(_00955_) + ); + sky130_fd_sc_hd__mux2_2 _17059_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][18] ), + .S(_00396_), + .X(_00956_) + ); + sky130_fd_sc_hd__mux2_2 _17060_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][18] ), + .S(_00396_), + .X(_00957_) + ); + sky130_fd_sc_hd__mux2_2 _17061_ ( + .A0(_00957_), + .A1(_00956_), + .S(_00400_), + .X(_00958_) + ); + sky130_fd_sc_hd__mux2_2 _17062_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][18] ), + .S(_00396_), + .X(_00959_) + ); + sky130_fd_sc_hd__mux2_2 _17063_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][18] ), + .S(_00396_), + .X(_00960_) + ); + sky130_fd_sc_hd__mux2_2 _17064_ ( + .A0(_00960_), + .A1(_00959_), + .S(_00400_), + .X(_00961_) + ); + sky130_fd_sc_hd__mux2_2 _17065_ ( + .A0(_00961_), + .A1(_00958_), + .S(_00405_), + .X(_00962_) + ); + sky130_fd_sc_hd__mux2_2 _17066_ ( + .A0(_00962_), + .A1(_00955_), + .S(_00412_), + .X(_00963_) + ); + sky130_fd_sc_hd__mux2_2 _17067_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][18] ), + .S(_00396_), + .X(_00964_) + ); + sky130_fd_sc_hd__mux2_2 _17068_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][18] ), + .S(_00396_), + .X(_00965_) + ); + sky130_fd_sc_hd__mux2_2 _17069_ ( + .A0(_00965_), + .A1(_00964_), + .S(_00400_), + .X(_00966_) + ); + sky130_fd_sc_hd__mux2_2 _17070_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][18] ), + .S(_00396_), + .X(_00967_) + ); + sky130_fd_sc_hd__mux2_2 _17071_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][18] ), + .S(_00396_), + .X(_00968_) + ); + sky130_fd_sc_hd__mux2_2 _17072_ ( + .A0(_00968_), + .A1(_00967_), + .S(_00400_), + .X(_00969_) + ); + sky130_fd_sc_hd__mux2_2 _17073_ ( + .A0(_00969_), + .A1(_00966_), + .S(_00405_), + .X(_00970_) + ); + sky130_fd_sc_hd__mux2_2 _17074_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][18] ), + .S(_00396_), + .X(_00971_) + ); + sky130_fd_sc_hd__mux2_2 _17075_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][18] ), + .S(_00396_), + .X(_00972_) + ); + sky130_fd_sc_hd__mux2_2 _17076_ ( + .A0(_00972_), + .A1(_00971_), + .S(_00400_), + .X(_00973_) + ); + sky130_fd_sc_hd__mux2_2 _17077_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][18] ), + .S(_00396_), + .X(_00974_) + ); + sky130_fd_sc_hd__mux2_2 _17078_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][18] ), + .S(_00396_), + .X(_00975_) + ); + sky130_fd_sc_hd__mux2_2 _17079_ ( + .A0(_00975_), + .A1(_00974_), + .S(_00400_), + .X(_00976_) + ); + sky130_fd_sc_hd__mux2_2 _17080_ ( + .A0(_00976_), + .A1(_00973_), + .S(_00405_), + .X(_00977_) + ); + sky130_fd_sc_hd__mux2_2 _17081_ ( + .A0(_00977_), + .A1(_00970_), + .S(_00412_), + .X(_00978_) + ); + sky130_fd_sc_hd__mux2_2 _17082_ ( + .A0(_00978_), + .A1(_00963_), + .S(_00423_), + .X(_00100_) + ); + sky130_fd_sc_hd__mux2_2 _17083_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][19] ), + .S(_00396_), + .X(_00979_) + ); + sky130_fd_sc_hd__mux2_2 _17084_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][19] ), + .S(_00396_), + .X(_00980_) + ); + sky130_fd_sc_hd__mux2_2 _17085_ ( + .A0(_00980_), + .A1(_00979_), + .S(_00400_), + .X(_00981_) + ); + sky130_fd_sc_hd__mux2_2 _17086_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][19] ), + .S(_00396_), + .X(_00982_) + ); + sky130_fd_sc_hd__mux2_2 _17087_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][19] ), + .S(_00396_), + .X(_00983_) + ); + sky130_fd_sc_hd__mux2_2 _17088_ ( + .A0(_00983_), + .A1(_00982_), + .S(_00400_), + .X(_00984_) + ); + sky130_fd_sc_hd__mux2_2 _17089_ ( + .A0(_00984_), + .A1(_00981_), + .S(_00405_), + .X(_00985_) + ); + sky130_fd_sc_hd__mux2_2 _17090_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][19] ), + .S(_00396_), + .X(_00986_) + ); + sky130_fd_sc_hd__mux2_2 _17091_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][19] ), + .S(_00396_), + .X(_00987_) + ); + sky130_fd_sc_hd__mux2_2 _17092_ ( + .A0(_00987_), + .A1(_00986_), + .S(_00400_), + .X(_00988_) + ); + sky130_fd_sc_hd__mux2_2 _17093_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][19] ), + .S(_00396_), + .X(_00989_) + ); + sky130_fd_sc_hd__mux2_2 _17094_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][19] ), + .S(_00396_), + .X(_00990_) + ); + sky130_fd_sc_hd__mux2_2 _17095_ ( + .A0(_00990_), + .A1(_00989_), + .S(_00400_), + .X(_00991_) + ); + sky130_fd_sc_hd__mux2_2 _17096_ ( + .A0(_00991_), + .A1(_00988_), + .S(_00405_), + .X(_00992_) + ); + sky130_fd_sc_hd__mux2_2 _17097_ ( + .A0(_00992_), + .A1(_00985_), + .S(_00412_), + .X(_00993_) + ); + sky130_fd_sc_hd__mux2_2 _17098_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][19] ), + .S(_00396_), + .X(_00994_) + ); + sky130_fd_sc_hd__mux2_2 _17099_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][19] ), + .S(_00396_), + .X(_00995_) + ); + sky130_fd_sc_hd__mux2_2 _17100_ ( + .A0(_00995_), + .A1(_00994_), + .S(_00400_), + .X(_00996_) + ); + sky130_fd_sc_hd__mux2_2 _17101_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][19] ), + .S(_00396_), + .X(_00997_) + ); + sky130_fd_sc_hd__mux2_2 _17102_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][19] ), + .S(_00396_), + .X(_00998_) + ); + sky130_fd_sc_hd__mux2_2 _17103_ ( + .A0(_00998_), + .A1(_00997_), + .S(_00400_), + .X(_00999_) + ); + sky130_fd_sc_hd__mux2_2 _17104_ ( + .A0(_00999_), + .A1(_00996_), + .S(_00405_), + .X(_01000_) + ); + sky130_fd_sc_hd__mux2_2 _17105_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][19] ), + .S(_00396_), + .X(_01001_) + ); + sky130_fd_sc_hd__mux2_2 _17106_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][19] ), + .S(_00396_), + .X(_01002_) + ); + sky130_fd_sc_hd__mux2_2 _17107_ ( + .A0(_01002_), + .A1(_01001_), + .S(_00400_), + .X(_01003_) + ); + sky130_fd_sc_hd__mux2_2 _17108_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][19] ), + .S(_00396_), + .X(_01004_) + ); + sky130_fd_sc_hd__mux2_2 _17109_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][19] ), + .S(_00396_), + .X(_01005_) + ); + sky130_fd_sc_hd__mux2_2 _17110_ ( + .A0(_01005_), + .A1(_01004_), + .S(_00400_), + .X(_01006_) + ); + sky130_fd_sc_hd__mux2_2 _17111_ ( + .A0(_01006_), + .A1(_01003_), + .S(_00405_), + .X(_01007_) + ); + sky130_fd_sc_hd__mux2_2 _17112_ ( + .A0(_01007_), + .A1(_01000_), + .S(_00412_), + .X(_01008_) + ); + sky130_fd_sc_hd__mux2_2 _17113_ ( + .A0(_01008_), + .A1(_00993_), + .S(_00423_), + .X(_00101_) + ); + sky130_fd_sc_hd__mux2_2 _17114_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][20] ), + .S(_00396_), + .X(_01009_) + ); + sky130_fd_sc_hd__mux2_2 _17115_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][20] ), + .S(_00396_), + .X(_01010_) + ); + sky130_fd_sc_hd__mux2_2 _17116_ ( + .A0(_01010_), + .A1(_01009_), + .S(_00400_), + .X(_01011_) + ); + sky130_fd_sc_hd__mux2_2 _17117_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][20] ), + .S(_00396_), + .X(_01012_) + ); + sky130_fd_sc_hd__mux2_2 _17118_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][20] ), + .S(_00396_), + .X(_01013_) + ); + sky130_fd_sc_hd__mux2_2 _17119_ ( + .A0(_01013_), + .A1(_01012_), + .S(_00400_), + .X(_01014_) + ); + sky130_fd_sc_hd__mux2_2 _17120_ ( + .A0(_01014_), + .A1(_01011_), + .S(_00405_), + .X(_01015_) + ); + sky130_fd_sc_hd__mux2_2 _17121_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][20] ), + .S(_00396_), + .X(_01016_) + ); + sky130_fd_sc_hd__mux2_2 _17122_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][20] ), + .S(_00396_), + .X(_01017_) + ); + sky130_fd_sc_hd__mux2_2 _17123_ ( + .A0(_01017_), + .A1(_01016_), + .S(_00400_), + .X(_01018_) + ); + sky130_fd_sc_hd__mux2_2 _17124_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][20] ), + .S(_00396_), + .X(_01019_) + ); + sky130_fd_sc_hd__mux2_2 _17125_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][20] ), + .S(_00396_), + .X(_01020_) + ); + sky130_fd_sc_hd__mux2_2 _17126_ ( + .A0(_01020_), + .A1(_01019_), + .S(_00400_), + .X(_01021_) + ); + sky130_fd_sc_hd__mux2_2 _17127_ ( + .A0(_01021_), + .A1(_01018_), + .S(_00405_), + .X(_01022_) + ); + sky130_fd_sc_hd__mux2_2 _17128_ ( + .A0(_01022_), + .A1(_01015_), + .S(_00412_), + .X(_01023_) + ); + sky130_fd_sc_hd__mux2_2 _17129_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][20] ), + .S(_00396_), + .X(_01024_) + ); + sky130_fd_sc_hd__mux2_2 _17130_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][20] ), + .S(_00396_), + .X(_01025_) + ); + sky130_fd_sc_hd__mux2_2 _17131_ ( + .A0(_01025_), + .A1(_01024_), + .S(_00400_), + .X(_01026_) + ); + sky130_fd_sc_hd__mux2_2 _17132_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][20] ), + .S(_00396_), + .X(_01027_) + ); + sky130_fd_sc_hd__mux2_2 _17133_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][20] ), + .S(_00396_), + .X(_01028_) + ); + sky130_fd_sc_hd__mux2_2 _17134_ ( + .A0(_01028_), + .A1(_01027_), + .S(_00400_), + .X(_01029_) + ); + sky130_fd_sc_hd__mux2_2 _17135_ ( + .A0(_01029_), + .A1(_01026_), + .S(_00405_), + .X(_01030_) + ); + sky130_fd_sc_hd__mux2_2 _17136_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][20] ), + .S(_00396_), + .X(_01031_) + ); + sky130_fd_sc_hd__mux2_2 _17137_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][20] ), + .S(_00396_), + .X(_01032_) + ); + sky130_fd_sc_hd__mux2_2 _17138_ ( + .A0(_01032_), + .A1(_01031_), + .S(_00400_), + .X(_01033_) + ); + sky130_fd_sc_hd__mux2_2 _17139_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][20] ), + .S(_00396_), + .X(_01034_) + ); + sky130_fd_sc_hd__mux2_2 _17140_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][20] ), + .S(_00396_), + .X(_01035_) + ); + sky130_fd_sc_hd__mux2_2 _17141_ ( + .A0(_01035_), + .A1(_01034_), + .S(_00400_), + .X(_01036_) + ); + sky130_fd_sc_hd__mux2_2 _17142_ ( + .A0(_01036_), + .A1(_01033_), + .S(_00405_), + .X(_01037_) + ); + sky130_fd_sc_hd__mux2_2 _17143_ ( + .A0(_01037_), + .A1(_01030_), + .S(_00412_), + .X(_01038_) + ); + sky130_fd_sc_hd__mux2_2 _17144_ ( + .A0(_01038_), + .A1(_01023_), + .S(_00423_), + .X(_00103_) + ); + sky130_fd_sc_hd__mux2_2 _17145_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][21] ), + .S(_00396_), + .X(_01039_) + ); + sky130_fd_sc_hd__mux2_2 _17146_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][21] ), + .S(_00396_), + .X(_01040_) + ); + sky130_fd_sc_hd__mux2_2 _17147_ ( + .A0(_01040_), + .A1(_01039_), + .S(_00400_), + .X(_01041_) + ); + sky130_fd_sc_hd__mux2_2 _17148_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][21] ), + .S(_00396_), + .X(_01042_) + ); + sky130_fd_sc_hd__mux2_2 _17149_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][21] ), + .S(_00396_), + .X(_01043_) + ); + sky130_fd_sc_hd__mux2_2 _17150_ ( + .A0(_01043_), + .A1(_01042_), + .S(_00400_), + .X(_01044_) + ); + sky130_fd_sc_hd__mux2_2 _17151_ ( + .A0(_01044_), + .A1(_01041_), + .S(_00405_), + .X(_01045_) + ); + sky130_fd_sc_hd__mux2_2 _17152_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][21] ), + .S(_00396_), + .X(_01046_) + ); + sky130_fd_sc_hd__mux2_2 _17153_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][21] ), + .S(_00396_), + .X(_01047_) + ); + sky130_fd_sc_hd__mux2_2 _17154_ ( + .A0(_01047_), + .A1(_01046_), + .S(_00400_), + .X(_01048_) + ); + sky130_fd_sc_hd__mux2_2 _17155_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][21] ), + .S(_00396_), + .X(_01049_) + ); + sky130_fd_sc_hd__mux2_2 _17156_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][21] ), + .S(_00396_), + .X(_01050_) + ); + sky130_fd_sc_hd__mux2_2 _17157_ ( + .A0(_01050_), + .A1(_01049_), + .S(_00400_), + .X(_01051_) + ); + sky130_fd_sc_hd__mux2_2 _17158_ ( + .A0(_01051_), + .A1(_01048_), + .S(_00405_), + .X(_01052_) + ); + sky130_fd_sc_hd__mux2_2 _17159_ ( + .A0(_01052_), + .A1(_01045_), + .S(_00412_), + .X(_01053_) + ); + sky130_fd_sc_hd__mux2_2 _17160_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][21] ), + .S(_00396_), + .X(_01054_) + ); + sky130_fd_sc_hd__mux2_2 _17161_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][21] ), + .S(_00396_), + .X(_01055_) + ); + sky130_fd_sc_hd__mux2_2 _17162_ ( + .A0(_01055_), + .A1(_01054_), + .S(_00400_), + .X(_01056_) + ); + sky130_fd_sc_hd__mux2_2 _17163_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][21] ), + .S(_00396_), + .X(_01057_) + ); + sky130_fd_sc_hd__mux2_2 _17164_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][21] ), + .S(_00396_), + .X(_01058_) + ); + sky130_fd_sc_hd__mux2_2 _17165_ ( + .A0(_01058_), + .A1(_01057_), + .S(_00400_), + .X(_01059_) + ); + sky130_fd_sc_hd__mux2_2 _17166_ ( + .A0(_01059_), + .A1(_01056_), + .S(_00405_), + .X(_01060_) + ); + sky130_fd_sc_hd__mux2_2 _17167_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][21] ), + .S(_00396_), + .X(_01061_) + ); + sky130_fd_sc_hd__mux2_2 _17168_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][21] ), + .S(_00396_), + .X(_01062_) + ); + sky130_fd_sc_hd__mux2_2 _17169_ ( + .A0(_01062_), + .A1(_01061_), + .S(_00400_), + .X(_01063_) + ); + sky130_fd_sc_hd__mux2_2 _17170_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][21] ), + .S(_00396_), + .X(_01064_) + ); + sky130_fd_sc_hd__mux2_2 _17171_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][21] ), + .S(_00396_), + .X(_01065_) + ); + sky130_fd_sc_hd__mux2_2 _17172_ ( + .A0(_01065_), + .A1(_01064_), + .S(_00400_), + .X(_01066_) + ); + sky130_fd_sc_hd__mux2_2 _17173_ ( + .A0(_01066_), + .A1(_01063_), + .S(_00405_), + .X(_01067_) + ); + sky130_fd_sc_hd__mux2_2 _17174_ ( + .A0(_01067_), + .A1(_01060_), + .S(_00412_), + .X(_01068_) + ); + sky130_fd_sc_hd__mux2_2 _17175_ ( + .A0(_01068_), + .A1(_01053_), + .S(_00423_), + .X(_00104_) + ); + sky130_fd_sc_hd__mux2_2 _17176_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][22] ), + .S(_00396_), + .X(_01069_) + ); + sky130_fd_sc_hd__mux2_2 _17177_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][22] ), + .S(_00396_), + .X(_01070_) + ); + sky130_fd_sc_hd__mux2_2 _17178_ ( + .A0(_01070_), + .A1(_01069_), + .S(_00400_), + .X(_01071_) + ); + sky130_fd_sc_hd__mux2_2 _17179_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][22] ), + .S(_00396_), + .X(_01072_) + ); + sky130_fd_sc_hd__mux2_2 _17180_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][22] ), + .S(_00396_), + .X(_01073_) + ); + sky130_fd_sc_hd__mux2_2 _17181_ ( + .A0(_01073_), + .A1(_01072_), + .S(_00400_), + .X(_01074_) + ); + sky130_fd_sc_hd__mux2_2 _17182_ ( + .A0(_01074_), + .A1(_01071_), + .S(_00405_), + .X(_01075_) + ); + sky130_fd_sc_hd__mux2_2 _17183_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][22] ), + .S(_00396_), + .X(_01076_) + ); + sky130_fd_sc_hd__mux2_2 _17184_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][22] ), + .S(_00396_), + .X(_01077_) + ); + sky130_fd_sc_hd__mux2_2 _17185_ ( + .A0(_01077_), + .A1(_01076_), + .S(_00400_), + .X(_01078_) + ); + sky130_fd_sc_hd__mux2_2 _17186_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][22] ), + .S(_00396_), + .X(_01079_) + ); + sky130_fd_sc_hd__mux2_2 _17187_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][22] ), + .S(_00396_), + .X(_01080_) + ); + sky130_fd_sc_hd__mux2_2 _17188_ ( + .A0(_01080_), + .A1(_01079_), + .S(_00400_), + .X(_01081_) + ); + sky130_fd_sc_hd__mux2_2 _17189_ ( + .A0(_01081_), + .A1(_01078_), + .S(_00405_), + .X(_01082_) + ); + sky130_fd_sc_hd__mux2_2 _17190_ ( + .A0(_01082_), + .A1(_01075_), + .S(_00412_), + .X(_01083_) + ); + sky130_fd_sc_hd__mux2_2 _17191_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][22] ), + .S(_00396_), + .X(_01084_) + ); + sky130_fd_sc_hd__mux2_2 _17192_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][22] ), + .S(_00396_), + .X(_01085_) + ); + sky130_fd_sc_hd__mux2_2 _17193_ ( + .A0(_01085_), + .A1(_01084_), + .S(_00400_), + .X(_01086_) + ); + sky130_fd_sc_hd__mux2_2 _17194_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][22] ), + .S(_00396_), + .X(_01087_) + ); + sky130_fd_sc_hd__mux2_2 _17195_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][22] ), + .S(_00396_), + .X(_01088_) + ); + sky130_fd_sc_hd__mux2_2 _17196_ ( + .A0(_01088_), + .A1(_01087_), + .S(_00400_), + .X(_01089_) + ); + sky130_fd_sc_hd__mux2_2 _17197_ ( + .A0(_01089_), + .A1(_01086_), + .S(_00405_), + .X(_01090_) + ); + sky130_fd_sc_hd__mux2_2 _17198_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][22] ), + .S(_00396_), + .X(_01091_) + ); + sky130_fd_sc_hd__mux2_2 _17199_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][22] ), + .S(_00396_), + .X(_01092_) + ); + sky130_fd_sc_hd__mux2_2 _17200_ ( + .A0(_01092_), + .A1(_01091_), + .S(_00400_), + .X(_01093_) + ); + sky130_fd_sc_hd__mux2_2 _17201_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][22] ), + .S(_00396_), + .X(_01094_) + ); + sky130_fd_sc_hd__mux2_2 _17202_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][22] ), + .S(_00396_), + .X(_01095_) + ); + sky130_fd_sc_hd__mux2_2 _17203_ ( + .A0(_01095_), + .A1(_01094_), + .S(_00400_), + .X(_01096_) + ); + sky130_fd_sc_hd__mux2_2 _17204_ ( + .A0(_01096_), + .A1(_01093_), + .S(_00405_), + .X(_01097_) + ); + sky130_fd_sc_hd__mux2_2 _17205_ ( + .A0(_01097_), + .A1(_01090_), + .S(_00412_), + .X(_01098_) + ); + sky130_fd_sc_hd__mux2_2 _17206_ ( + .A0(_01098_), + .A1(_01083_), + .S(_00423_), + .X(_00105_) + ); + sky130_fd_sc_hd__mux2_2 _17207_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][23] ), + .S(_00396_), + .X(_01099_) + ); + sky130_fd_sc_hd__mux2_2 _17208_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][23] ), + .S(_00396_), + .X(_01100_) + ); + sky130_fd_sc_hd__mux2_2 _17209_ ( + .A0(_01100_), + .A1(_01099_), + .S(_00400_), + .X(_01101_) + ); + sky130_fd_sc_hd__mux2_2 _17210_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][23] ), + .S(_00396_), + .X(_01102_) + ); + sky130_fd_sc_hd__mux2_2 _17211_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][23] ), + .S(_00396_), + .X(_01103_) + ); + sky130_fd_sc_hd__mux2_2 _17212_ ( + .A0(_01103_), + .A1(_01102_), + .S(_00400_), + .X(_01104_) + ); + sky130_fd_sc_hd__mux2_2 _17213_ ( + .A0(_01104_), + .A1(_01101_), + .S(_00405_), + .X(_01105_) + ); + sky130_fd_sc_hd__mux2_2 _17214_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][23] ), + .S(_00396_), + .X(_01106_) + ); + sky130_fd_sc_hd__mux2_2 _17215_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][23] ), + .S(_00396_), + .X(_01107_) + ); + sky130_fd_sc_hd__mux2_2 _17216_ ( + .A0(_01107_), + .A1(_01106_), + .S(_00400_), + .X(_01108_) + ); + sky130_fd_sc_hd__mux2_2 _17217_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][23] ), + .S(_00396_), + .X(_01109_) + ); + sky130_fd_sc_hd__mux2_2 _17218_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][23] ), + .S(_00396_), + .X(_01110_) + ); + sky130_fd_sc_hd__mux2_2 _17219_ ( + .A0(_01110_), + .A1(_01109_), + .S(_00400_), + .X(_01111_) + ); + sky130_fd_sc_hd__mux2_2 _17220_ ( + .A0(_01111_), + .A1(_01108_), + .S(_00405_), + .X(_01112_) + ); + sky130_fd_sc_hd__mux2_2 _17221_ ( + .A0(_01112_), + .A1(_01105_), + .S(_00412_), + .X(_01113_) + ); + sky130_fd_sc_hd__mux2_2 _17222_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][23] ), + .S(_00396_), + .X(_01114_) + ); + sky130_fd_sc_hd__mux2_2 _17223_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][23] ), + .S(_00396_), + .X(_01115_) + ); + sky130_fd_sc_hd__mux2_2 _17224_ ( + .A0(_01115_), + .A1(_01114_), + .S(_00400_), + .X(_01116_) + ); + sky130_fd_sc_hd__mux2_2 _17225_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][23] ), + .S(_00396_), + .X(_01117_) + ); + sky130_fd_sc_hd__mux2_2 _17226_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][23] ), + .S(_00396_), + .X(_01118_) + ); + sky130_fd_sc_hd__mux2_2 _17227_ ( + .A0(_01118_), + .A1(_01117_), + .S(_00400_), + .X(_01119_) + ); + sky130_fd_sc_hd__mux2_2 _17228_ ( + .A0(_01119_), + .A1(_01116_), + .S(_00405_), + .X(_01120_) + ); + sky130_fd_sc_hd__mux2_2 _17229_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][23] ), + .S(_00396_), + .X(_01121_) + ); + sky130_fd_sc_hd__mux2_2 _17230_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][23] ), + .S(_00396_), + .X(_01122_) + ); + sky130_fd_sc_hd__mux2_2 _17231_ ( + .A0(_01122_), + .A1(_01121_), + .S(_00400_), + .X(_01123_) + ); + sky130_fd_sc_hd__mux2_2 _17232_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][23] ), + .S(_00396_), + .X(_01124_) + ); + sky130_fd_sc_hd__mux2_2 _17233_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][23] ), + .S(_00396_), + .X(_01125_) + ); + sky130_fd_sc_hd__mux2_2 _17234_ ( + .A0(_01125_), + .A1(_01124_), + .S(_00400_), + .X(_01126_) + ); + sky130_fd_sc_hd__mux2_2 _17235_ ( + .A0(_01126_), + .A1(_01123_), + .S(_00405_), + .X(_01127_) + ); + sky130_fd_sc_hd__mux2_2 _17236_ ( + .A0(_01127_), + .A1(_01120_), + .S(_00412_), + .X(_01128_) + ); + sky130_fd_sc_hd__mux2_2 _17237_ ( + .A0(_01128_), + .A1(_01113_), + .S(_00423_), + .X(_00106_) + ); + sky130_fd_sc_hd__mux2_2 _17238_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][24] ), + .S(_00396_), + .X(_01129_) + ); + sky130_fd_sc_hd__mux2_2 _17239_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][24] ), + .S(_00396_), + .X(_01130_) + ); + sky130_fd_sc_hd__mux2_2 _17240_ ( + .A0(_01130_), + .A1(_01129_), + .S(_00400_), + .X(_01131_) + ); + sky130_fd_sc_hd__mux2_2 _17241_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][24] ), + .S(_00396_), + .X(_01132_) + ); + sky130_fd_sc_hd__mux2_2 _17242_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][24] ), + .S(_00396_), + .X(_01133_) + ); + sky130_fd_sc_hd__mux2_2 _17243_ ( + .A0(_01133_), + .A1(_01132_), + .S(_00400_), + .X(_01134_) + ); + sky130_fd_sc_hd__mux2_2 _17244_ ( + .A0(_01134_), + .A1(_01131_), + .S(_00405_), + .X(_01135_) + ); + sky130_fd_sc_hd__mux2_2 _17245_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][24] ), + .S(_00396_), + .X(_01136_) + ); + sky130_fd_sc_hd__mux2_2 _17246_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][24] ), + .S(_00396_), + .X(_01137_) + ); + sky130_fd_sc_hd__mux2_2 _17247_ ( + .A0(_01137_), + .A1(_01136_), + .S(_00400_), + .X(_01138_) + ); + sky130_fd_sc_hd__mux2_2 _17248_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][24] ), + .S(_00396_), + .X(_01139_) + ); + sky130_fd_sc_hd__mux2_2 _17249_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][24] ), + .S(_00396_), + .X(_01140_) + ); + sky130_fd_sc_hd__mux2_2 _17250_ ( + .A0(_01140_), + .A1(_01139_), + .S(_00400_), + .X(_01141_) + ); + sky130_fd_sc_hd__mux2_2 _17251_ ( + .A0(_01141_), + .A1(_01138_), + .S(_00405_), + .X(_01142_) + ); + sky130_fd_sc_hd__mux2_2 _17252_ ( + .A0(_01142_), + .A1(_01135_), + .S(_00412_), + .X(_01143_) + ); + sky130_fd_sc_hd__mux2_2 _17253_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][24] ), + .S(_00396_), + .X(_01144_) + ); + sky130_fd_sc_hd__mux2_2 _17254_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][24] ), + .S(_00396_), + .X(_01145_) + ); + sky130_fd_sc_hd__mux2_2 _17255_ ( + .A0(_01145_), + .A1(_01144_), + .S(_00400_), + .X(_01146_) + ); + sky130_fd_sc_hd__mux2_2 _17256_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][24] ), + .S(_00396_), + .X(_01147_) + ); + sky130_fd_sc_hd__mux2_2 _17257_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][24] ), + .S(_00396_), + .X(_01148_) + ); + sky130_fd_sc_hd__mux2_2 _17258_ ( + .A0(_01148_), + .A1(_01147_), + .S(_00400_), + .X(_01149_) + ); + sky130_fd_sc_hd__mux2_2 _17259_ ( + .A0(_01149_), + .A1(_01146_), + .S(_00405_), + .X(_01150_) + ); + sky130_fd_sc_hd__mux2_2 _17260_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][24] ), + .S(_00396_), + .X(_01151_) + ); + sky130_fd_sc_hd__mux2_2 _17261_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][24] ), + .S(_00396_), + .X(_01152_) + ); + sky130_fd_sc_hd__mux2_2 _17262_ ( + .A0(_01152_), + .A1(_01151_), + .S(_00400_), + .X(_01153_) + ); + sky130_fd_sc_hd__mux2_2 _17263_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][24] ), + .S(_00396_), + .X(_01154_) + ); + sky130_fd_sc_hd__mux2_2 _17264_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][24] ), + .S(_00396_), + .X(_01155_) + ); + sky130_fd_sc_hd__mux2_2 _17265_ ( + .A0(_01155_), + .A1(_01154_), + .S(_00400_), + .X(_01156_) + ); + sky130_fd_sc_hd__mux2_2 _17266_ ( + .A0(_01156_), + .A1(_01153_), + .S(_00405_), + .X(_01157_) + ); + sky130_fd_sc_hd__mux2_2 _17267_ ( + .A0(_01157_), + .A1(_01150_), + .S(_00412_), + .X(_01158_) + ); + sky130_fd_sc_hd__mux2_2 _17268_ ( + .A0(_01158_), + .A1(_01143_), + .S(_00423_), + .X(_00107_) + ); + sky130_fd_sc_hd__mux2_2 _17269_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][25] ), + .S(_00396_), + .X(_01159_) + ); + sky130_fd_sc_hd__mux2_2 _17270_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][25] ), + .S(_00396_), + .X(_01160_) + ); + sky130_fd_sc_hd__mux2_2 _17271_ ( + .A0(_01160_), + .A1(_01159_), + .S(_00400_), + .X(_01161_) + ); + sky130_fd_sc_hd__mux2_2 _17272_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][25] ), + .S(_00396_), + .X(_01162_) + ); + sky130_fd_sc_hd__mux2_2 _17273_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][25] ), + .S(_00396_), + .X(_01163_) + ); + sky130_fd_sc_hd__mux2_2 _17274_ ( + .A0(_01163_), + .A1(_01162_), + .S(_00400_), + .X(_01164_) + ); + sky130_fd_sc_hd__mux2_2 _17275_ ( + .A0(_01164_), + .A1(_01161_), + .S(_00405_), + .X(_01165_) + ); + sky130_fd_sc_hd__mux2_2 _17276_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][25] ), + .S(_00396_), + .X(_01166_) + ); + sky130_fd_sc_hd__mux2_2 _17277_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][25] ), + .S(_00396_), + .X(_01167_) + ); + sky130_fd_sc_hd__mux2_2 _17278_ ( + .A0(_01167_), + .A1(_01166_), + .S(_00400_), + .X(_01168_) + ); + sky130_fd_sc_hd__mux2_2 _17279_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][25] ), + .S(_00396_), + .X(_01169_) + ); + sky130_fd_sc_hd__mux2_2 _17280_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][25] ), + .S(_00396_), + .X(_01170_) + ); + sky130_fd_sc_hd__mux2_2 _17281_ ( + .A0(_01170_), + .A1(_01169_), + .S(_00400_), + .X(_01171_) + ); + sky130_fd_sc_hd__mux2_2 _17282_ ( + .A0(_01171_), + .A1(_01168_), + .S(_00405_), + .X(_01172_) + ); + sky130_fd_sc_hd__mux2_2 _17283_ ( + .A0(_01172_), + .A1(_01165_), + .S(_00412_), + .X(_01173_) + ); + sky130_fd_sc_hd__mux2_2 _17284_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][25] ), + .S(_00396_), + .X(_01174_) + ); + sky130_fd_sc_hd__mux2_2 _17285_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][25] ), + .S(_00396_), + .X(_01175_) + ); + sky130_fd_sc_hd__mux2_2 _17286_ ( + .A0(_01175_), + .A1(_01174_), + .S(_00400_), + .X(_01176_) + ); + sky130_fd_sc_hd__mux2_2 _17287_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][25] ), + .S(_00396_), + .X(_01177_) + ); + sky130_fd_sc_hd__mux2_2 _17288_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][25] ), + .S(_00396_), + .X(_01178_) + ); + sky130_fd_sc_hd__mux2_2 _17289_ ( + .A0(_01178_), + .A1(_01177_), + .S(_00400_), + .X(_01179_) + ); + sky130_fd_sc_hd__mux2_2 _17290_ ( + .A0(_01179_), + .A1(_01176_), + .S(_00405_), + .X(_01180_) + ); + sky130_fd_sc_hd__mux2_2 _17291_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][25] ), + .S(_00396_), + .X(_01181_) + ); + sky130_fd_sc_hd__mux2_2 _17292_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][25] ), + .S(_00396_), + .X(_01182_) + ); + sky130_fd_sc_hd__mux2_2 _17293_ ( + .A0(_01182_), + .A1(_01181_), + .S(_00400_), + .X(_01183_) + ); + sky130_fd_sc_hd__mux2_2 _17294_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][25] ), + .S(_00396_), + .X(_01184_) + ); + sky130_fd_sc_hd__mux2_2 _17295_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][25] ), + .S(_00396_), + .X(_01185_) + ); + sky130_fd_sc_hd__mux2_2 _17296_ ( + .A0(_01185_), + .A1(_01184_), + .S(_00400_), + .X(_01186_) + ); + sky130_fd_sc_hd__mux2_2 _17297_ ( + .A0(_01186_), + .A1(_01183_), + .S(_00405_), + .X(_01187_) + ); + sky130_fd_sc_hd__mux2_2 _17298_ ( + .A0(_01187_), + .A1(_01180_), + .S(_00412_), + .X(_01188_) + ); + sky130_fd_sc_hd__mux2_2 _17299_ ( + .A0(_01188_), + .A1(_01173_), + .S(_00423_), + .X(_00108_) + ); + sky130_fd_sc_hd__mux2_2 _17300_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][26] ), + .S(_00396_), + .X(_01189_) + ); + sky130_fd_sc_hd__mux2_2 _17301_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][26] ), + .S(_00396_), + .X(_01190_) + ); + sky130_fd_sc_hd__mux2_2 _17302_ ( + .A0(_01190_), + .A1(_01189_), + .S(_00400_), + .X(_01191_) + ); + sky130_fd_sc_hd__mux2_2 _17303_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][26] ), + .S(_00396_), + .X(_01192_) + ); + sky130_fd_sc_hd__mux2_2 _17304_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][26] ), + .S(_00396_), + .X(_01193_) + ); + sky130_fd_sc_hd__mux2_2 _17305_ ( + .A0(_01193_), + .A1(_01192_), + .S(_00400_), + .X(_01194_) + ); + sky130_fd_sc_hd__mux2_2 _17306_ ( + .A0(_01194_), + .A1(_01191_), + .S(_00405_), + .X(_01195_) + ); + sky130_fd_sc_hd__mux2_2 _17307_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][26] ), + .S(_00396_), + .X(_01196_) + ); + sky130_fd_sc_hd__mux2_2 _17308_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][26] ), + .S(_00396_), + .X(_01197_) + ); + sky130_fd_sc_hd__mux2_2 _17309_ ( + .A0(_01197_), + .A1(_01196_), + .S(_00400_), + .X(_01198_) + ); + sky130_fd_sc_hd__mux2_2 _17310_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][26] ), + .S(_00396_), + .X(_01199_) + ); + sky130_fd_sc_hd__mux2_2 _17311_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][26] ), + .S(_00396_), + .X(_01200_) + ); + sky130_fd_sc_hd__mux2_2 _17312_ ( + .A0(_01200_), + .A1(_01199_), + .S(_00400_), + .X(_01201_) + ); + sky130_fd_sc_hd__mux2_2 _17313_ ( + .A0(_01201_), + .A1(_01198_), + .S(_00405_), + .X(_01202_) + ); + sky130_fd_sc_hd__mux2_2 _17314_ ( + .A0(_01202_), + .A1(_01195_), + .S(_00412_), + .X(_01203_) + ); + sky130_fd_sc_hd__mux2_2 _17315_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][26] ), + .S(_00396_), + .X(_01204_) + ); + sky130_fd_sc_hd__mux2_2 _17316_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][26] ), + .S(_00396_), + .X(_01205_) + ); + sky130_fd_sc_hd__mux2_2 _17317_ ( + .A0(_01205_), + .A1(_01204_), + .S(_00400_), + .X(_01206_) + ); + sky130_fd_sc_hd__mux2_2 _17318_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][26] ), + .S(_00396_), + .X(_01207_) + ); + sky130_fd_sc_hd__mux2_2 _17319_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][26] ), + .S(_00396_), + .X(_01208_) + ); + sky130_fd_sc_hd__mux2_2 _17320_ ( + .A0(_01208_), + .A1(_01207_), + .S(_00400_), + .X(_01209_) + ); + sky130_fd_sc_hd__mux2_2 _17321_ ( + .A0(_01209_), + .A1(_01206_), + .S(_00405_), + .X(_01210_) + ); + sky130_fd_sc_hd__mux2_2 _17322_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][26] ), + .S(_00396_), + .X(_01211_) + ); + sky130_fd_sc_hd__mux2_2 _17323_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][26] ), + .S(_00396_), + .X(_01212_) + ); + sky130_fd_sc_hd__mux2_2 _17324_ ( + .A0(_01212_), + .A1(_01211_), + .S(_00400_), + .X(_01213_) + ); + sky130_fd_sc_hd__mux2_2 _17325_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][26] ), + .S(_00396_), + .X(_01214_) + ); + sky130_fd_sc_hd__mux2_2 _17326_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][26] ), + .S(_00396_), + .X(_01215_) + ); + sky130_fd_sc_hd__mux2_2 _17327_ ( + .A0(_01215_), + .A1(_01214_), + .S(_00400_), + .X(_01216_) + ); + sky130_fd_sc_hd__mux2_2 _17328_ ( + .A0(_01216_), + .A1(_01213_), + .S(_00405_), + .X(_01217_) + ); + sky130_fd_sc_hd__mux2_2 _17329_ ( + .A0(_01217_), + .A1(_01210_), + .S(_00412_), + .X(_01218_) + ); + sky130_fd_sc_hd__mux2_2 _17330_ ( + .A0(_01218_), + .A1(_01203_), + .S(_00423_), + .X(_00109_) + ); + sky130_fd_sc_hd__mux2_2 _17331_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][27] ), + .S(_00396_), + .X(_01219_) + ); + sky130_fd_sc_hd__mux2_2 _17332_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][27] ), + .S(_00396_), + .X(_01220_) + ); + sky130_fd_sc_hd__mux2_2 _17333_ ( + .A0(_01220_), + .A1(_01219_), + .S(_00400_), + .X(_01221_) + ); + sky130_fd_sc_hd__mux2_2 _17334_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][27] ), + .S(_00396_), + .X(_01222_) + ); + sky130_fd_sc_hd__mux2_2 _17335_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][27] ), + .S(_00396_), + .X(_01223_) + ); + sky130_fd_sc_hd__mux2_2 _17336_ ( + .A0(_01223_), + .A1(_01222_), + .S(_00400_), + .X(_01224_) + ); + sky130_fd_sc_hd__mux2_2 _17337_ ( + .A0(_01224_), + .A1(_01221_), + .S(_00405_), + .X(_01225_) + ); + sky130_fd_sc_hd__mux2_2 _17338_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][27] ), + .S(_00396_), + .X(_01226_) + ); + sky130_fd_sc_hd__mux2_2 _17339_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][27] ), + .S(_00396_), + .X(_01227_) + ); + sky130_fd_sc_hd__mux2_2 _17340_ ( + .A0(_01227_), + .A1(_01226_), + .S(_00400_), + .X(_01228_) + ); + sky130_fd_sc_hd__mux2_2 _17341_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][27] ), + .S(_00396_), + .X(_01229_) + ); + sky130_fd_sc_hd__mux2_2 _17342_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][27] ), + .S(_00396_), + .X(_01230_) + ); + sky130_fd_sc_hd__mux2_2 _17343_ ( + .A0(_01230_), + .A1(_01229_), + .S(_00400_), + .X(_01231_) + ); + sky130_fd_sc_hd__mux2_2 _17344_ ( + .A0(_01231_), + .A1(_01228_), + .S(_00405_), + .X(_01232_) + ); + sky130_fd_sc_hd__mux2_2 _17345_ ( + .A0(_01232_), + .A1(_01225_), + .S(_00412_), + .X(_01233_) + ); + sky130_fd_sc_hd__mux2_2 _17346_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][27] ), + .S(_00396_), + .X(_01234_) + ); + sky130_fd_sc_hd__mux2_2 _17347_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][27] ), + .S(_00396_), + .X(_01235_) + ); + sky130_fd_sc_hd__mux2_2 _17348_ ( + .A0(_01235_), + .A1(_01234_), + .S(_00400_), + .X(_01236_) + ); + sky130_fd_sc_hd__mux2_2 _17349_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][27] ), + .S(_00396_), + .X(_01237_) + ); + sky130_fd_sc_hd__mux2_2 _17350_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][27] ), + .S(_00396_), + .X(_01238_) + ); + sky130_fd_sc_hd__mux2_2 _17351_ ( + .A0(_01238_), + .A1(_01237_), + .S(_00400_), + .X(_01239_) + ); + sky130_fd_sc_hd__mux2_2 _17352_ ( + .A0(_01239_), + .A1(_01236_), + .S(_00405_), + .X(_01240_) + ); + sky130_fd_sc_hd__mux2_2 _17353_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][27] ), + .S(_00396_), + .X(_01241_) + ); + sky130_fd_sc_hd__mux2_2 _17354_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][27] ), + .S(_00396_), + .X(_01242_) + ); + sky130_fd_sc_hd__mux2_2 _17355_ ( + .A0(_01242_), + .A1(_01241_), + .S(_00400_), + .X(_01243_) + ); + sky130_fd_sc_hd__mux2_2 _17356_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][27] ), + .S(_00396_), + .X(_01244_) + ); + sky130_fd_sc_hd__mux2_2 _17357_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][27] ), + .S(_00396_), + .X(_01245_) + ); + sky130_fd_sc_hd__mux2_2 _17358_ ( + .A0(_01245_), + .A1(_01244_), + .S(_00400_), + .X(_01246_) + ); + sky130_fd_sc_hd__mux2_2 _17359_ ( + .A0(_01246_), + .A1(_01243_), + .S(_00405_), + .X(_01247_) + ); + sky130_fd_sc_hd__mux2_2 _17360_ ( + .A0(_01247_), + .A1(_01240_), + .S(_00412_), + .X(_01248_) + ); + sky130_fd_sc_hd__mux2_2 _17361_ ( + .A0(_01248_), + .A1(_01233_), + .S(_00423_), + .X(_00110_) + ); + sky130_fd_sc_hd__mux2_2 _17362_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][28] ), + .S(_00396_), + .X(_01249_) + ); + sky130_fd_sc_hd__mux2_2 _17363_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][28] ), + .S(_00396_), + .X(_01250_) + ); + sky130_fd_sc_hd__mux2_2 _17364_ ( + .A0(_01250_), + .A1(_01249_), + .S(_00400_), + .X(_01251_) + ); + sky130_fd_sc_hd__mux2_2 _17365_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][28] ), + .S(_00396_), + .X(_01252_) + ); + sky130_fd_sc_hd__mux2_2 _17366_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][28] ), + .S(_00396_), + .X(_01253_) + ); + sky130_fd_sc_hd__mux2_2 _17367_ ( + .A0(_01253_), + .A1(_01252_), + .S(_00400_), + .X(_01254_) + ); + sky130_fd_sc_hd__mux2_2 _17368_ ( + .A0(_01254_), + .A1(_01251_), + .S(_00405_), + .X(_01255_) + ); + sky130_fd_sc_hd__mux2_2 _17369_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][28] ), + .S(_00396_), + .X(_01256_) + ); + sky130_fd_sc_hd__mux2_2 _17370_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][28] ), + .S(_00396_), + .X(_01257_) + ); + sky130_fd_sc_hd__mux2_2 _17371_ ( + .A0(_01257_), + .A1(_01256_), + .S(_00400_), + .X(_01258_) + ); + sky130_fd_sc_hd__mux2_2 _17372_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][28] ), + .S(_00396_), + .X(_01259_) + ); + sky130_fd_sc_hd__mux2_2 _17373_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][28] ), + .S(_00396_), + .X(_01260_) + ); + sky130_fd_sc_hd__mux2_2 _17374_ ( + .A0(_01260_), + .A1(_01259_), + .S(_00400_), + .X(_01261_) + ); + sky130_fd_sc_hd__mux2_2 _17375_ ( + .A0(_01261_), + .A1(_01258_), + .S(_00405_), + .X(_01262_) + ); + sky130_fd_sc_hd__mux2_2 _17376_ ( + .A0(_01262_), + .A1(_01255_), + .S(_00412_), + .X(_01263_) + ); + sky130_fd_sc_hd__mux2_2 _17377_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][28] ), + .S(_00396_), + .X(_01264_) + ); + sky130_fd_sc_hd__mux2_2 _17378_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][28] ), + .S(_00396_), + .X(_01265_) + ); + sky130_fd_sc_hd__mux2_2 _17379_ ( + .A0(_01265_), + .A1(_01264_), + .S(_00400_), + .X(_01266_) + ); + sky130_fd_sc_hd__mux2_2 _17380_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][28] ), + .S(_00396_), + .X(_01267_) + ); + sky130_fd_sc_hd__mux2_2 _17381_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][28] ), + .S(_00396_), + .X(_01268_) + ); + sky130_fd_sc_hd__mux2_2 _17382_ ( + .A0(_01268_), + .A1(_01267_), + .S(_00400_), + .X(_01269_) + ); + sky130_fd_sc_hd__mux2_2 _17383_ ( + .A0(_01269_), + .A1(_01266_), + .S(_00405_), + .X(_01270_) + ); + sky130_fd_sc_hd__mux2_2 _17384_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][28] ), + .S(_00396_), + .X(_01271_) + ); + sky130_fd_sc_hd__mux2_2 _17385_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][28] ), + .S(_00396_), + .X(_01272_) + ); + sky130_fd_sc_hd__mux2_2 _17386_ ( + .A0(_01272_), + .A1(_01271_), + .S(_00400_), + .X(_01273_) + ); + sky130_fd_sc_hd__mux2_2 _17387_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][28] ), + .S(_00396_), + .X(_01274_) + ); + sky130_fd_sc_hd__mux2_2 _17388_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][28] ), + .S(_00396_), + .X(_01275_) + ); + sky130_fd_sc_hd__mux2_2 _17389_ ( + .A0(_01275_), + .A1(_01274_), + .S(_00400_), + .X(_01276_) + ); + sky130_fd_sc_hd__mux2_2 _17390_ ( + .A0(_01276_), + .A1(_01273_), + .S(_00405_), + .X(_01277_) + ); + sky130_fd_sc_hd__mux2_2 _17391_ ( + .A0(_01277_), + .A1(_01270_), + .S(_00412_), + .X(_01278_) + ); + sky130_fd_sc_hd__mux2_2 _17392_ ( + .A0(_01278_), + .A1(_01263_), + .S(_00423_), + .X(_00111_) + ); + sky130_fd_sc_hd__mux2_2 _17393_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][29] ), + .S(_00396_), + .X(_01279_) + ); + sky130_fd_sc_hd__mux2_2 _17394_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][29] ), + .S(_00396_), + .X(_01280_) + ); + sky130_fd_sc_hd__mux2_2 _17395_ ( + .A0(_01280_), + .A1(_01279_), + .S(_00400_), + .X(_01281_) + ); + sky130_fd_sc_hd__mux2_2 _17396_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][29] ), + .S(_00396_), + .X(_01282_) + ); + sky130_fd_sc_hd__mux2_2 _17397_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][29] ), + .S(_00396_), + .X(_01283_) + ); + sky130_fd_sc_hd__mux2_2 _17398_ ( + .A0(_01283_), + .A1(_01282_), + .S(_00400_), + .X(_01284_) + ); + sky130_fd_sc_hd__mux2_2 _17399_ ( + .A0(_01284_), + .A1(_01281_), + .S(_00405_), + .X(_01285_) + ); + sky130_fd_sc_hd__mux2_2 _17400_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][29] ), + .S(_00396_), + .X(_01286_) + ); + sky130_fd_sc_hd__mux2_2 _17401_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][29] ), + .S(_00396_), + .X(_01287_) + ); + sky130_fd_sc_hd__mux2_2 _17402_ ( + .A0(_01287_), + .A1(_01286_), + .S(_00400_), + .X(_01288_) + ); + sky130_fd_sc_hd__mux2_2 _17403_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][29] ), + .S(_00396_), + .X(_01289_) + ); + sky130_fd_sc_hd__mux2_2 _17404_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][29] ), + .S(_00396_), + .X(_01290_) + ); + sky130_fd_sc_hd__mux2_2 _17405_ ( + .A0(_01290_), + .A1(_01289_), + .S(_00400_), + .X(_01291_) + ); + sky130_fd_sc_hd__mux2_2 _17406_ ( + .A0(_01291_), + .A1(_01288_), + .S(_00405_), + .X(_01292_) + ); + sky130_fd_sc_hd__mux2_2 _17407_ ( + .A0(_01292_), + .A1(_01285_), + .S(_00412_), + .X(_01293_) + ); + sky130_fd_sc_hd__mux2_2 _17408_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][29] ), + .S(_00396_), + .X(_01294_) + ); + sky130_fd_sc_hd__mux2_2 _17409_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][29] ), + .S(_00396_), + .X(_01295_) + ); + sky130_fd_sc_hd__mux2_2 _17410_ ( + .A0(_01295_), + .A1(_01294_), + .S(_00400_), + .X(_01296_) + ); + sky130_fd_sc_hd__mux2_2 _17411_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][29] ), + .S(_00396_), + .X(_01297_) + ); + sky130_fd_sc_hd__mux2_2 _17412_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][29] ), + .S(_00396_), + .X(_01298_) + ); + sky130_fd_sc_hd__mux2_2 _17413_ ( + .A0(_01298_), + .A1(_01297_), + .S(_00400_), + .X(_01299_) + ); + sky130_fd_sc_hd__mux2_2 _17414_ ( + .A0(_01299_), + .A1(_01296_), + .S(_00405_), + .X(_01300_) + ); + sky130_fd_sc_hd__mux2_2 _17415_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][29] ), + .S(_00396_), + .X(_01301_) + ); + sky130_fd_sc_hd__mux2_2 _17416_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][29] ), + .S(_00396_), + .X(_01302_) + ); + sky130_fd_sc_hd__mux2_2 _17417_ ( + .A0(_01302_), + .A1(_01301_), + .S(_00400_), + .X(_01303_) + ); + sky130_fd_sc_hd__mux2_2 _17418_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][29] ), + .S(_00396_), + .X(_01304_) + ); + sky130_fd_sc_hd__mux2_2 _17419_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][29] ), + .S(_00396_), + .X(_01305_) + ); + sky130_fd_sc_hd__mux2_2 _17420_ ( + .A0(_01305_), + .A1(_01304_), + .S(_00400_), + .X(_01306_) + ); + sky130_fd_sc_hd__mux2_2 _17421_ ( + .A0(_01306_), + .A1(_01303_), + .S(_00405_), + .X(_01307_) + ); + sky130_fd_sc_hd__mux2_2 _17422_ ( + .A0(_01307_), + .A1(_01300_), + .S(_00412_), + .X(_01308_) + ); + sky130_fd_sc_hd__mux2_2 _17423_ ( + .A0(_01308_), + .A1(_01293_), + .S(_00423_), + .X(_00112_) + ); + sky130_fd_sc_hd__mux2_2 _17424_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][30] ), + .S(_00396_), + .X(_01309_) + ); + sky130_fd_sc_hd__mux2_2 _17425_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][30] ), + .S(_00396_), + .X(_01310_) + ); + sky130_fd_sc_hd__mux2_2 _17426_ ( + .A0(_01310_), + .A1(_01309_), + .S(_00400_), + .X(_01311_) + ); + sky130_fd_sc_hd__mux2_2 _17427_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][30] ), + .S(_00396_), + .X(_01312_) + ); + sky130_fd_sc_hd__mux2_2 _17428_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][30] ), + .S(_00396_), + .X(_01313_) + ); + sky130_fd_sc_hd__mux2_2 _17429_ ( + .A0(_01313_), + .A1(_01312_), + .S(_00400_), + .X(_01314_) + ); + sky130_fd_sc_hd__mux2_2 _17430_ ( + .A0(_01314_), + .A1(_01311_), + .S(_00405_), + .X(_01315_) + ); + sky130_fd_sc_hd__mux2_2 _17431_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][30] ), + .S(_00396_), + .X(_01316_) + ); + sky130_fd_sc_hd__mux2_2 _17432_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][30] ), + .S(_00396_), + .X(_01317_) + ); + sky130_fd_sc_hd__mux2_2 _17433_ ( + .A0(_01317_), + .A1(_01316_), + .S(_00400_), + .X(_01318_) + ); + sky130_fd_sc_hd__mux2_2 _17434_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][30] ), + .S(_00396_), + .X(_01319_) + ); + sky130_fd_sc_hd__mux2_2 _17435_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][30] ), + .S(_00396_), + .X(_01320_) + ); + sky130_fd_sc_hd__mux2_2 _17436_ ( + .A0(_01320_), + .A1(_01319_), + .S(_00400_), + .X(_01321_) + ); + sky130_fd_sc_hd__mux2_2 _17437_ ( + .A0(_01321_), + .A1(_01318_), + .S(_00405_), + .X(_01322_) + ); + sky130_fd_sc_hd__mux2_2 _17438_ ( + .A0(_01322_), + .A1(_01315_), + .S(_00412_), + .X(_01323_) + ); + sky130_fd_sc_hd__mux2_2 _17439_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][30] ), + .S(_00396_), + .X(_01324_) + ); + sky130_fd_sc_hd__mux2_2 _17440_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][30] ), + .S(_00396_), + .X(_01325_) + ); + sky130_fd_sc_hd__mux2_2 _17441_ ( + .A0(_01325_), + .A1(_01324_), + .S(_00400_), + .X(_01326_) + ); + sky130_fd_sc_hd__mux2_2 _17442_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][30] ), + .S(_00396_), + .X(_01327_) + ); + sky130_fd_sc_hd__mux2_2 _17443_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][30] ), + .S(_00396_), + .X(_01328_) + ); + sky130_fd_sc_hd__mux2_2 _17444_ ( + .A0(_01328_), + .A1(_01327_), + .S(_00400_), + .X(_01329_) + ); + sky130_fd_sc_hd__mux2_2 _17445_ ( + .A0(_01329_), + .A1(_01326_), + .S(_00405_), + .X(_01330_) + ); + sky130_fd_sc_hd__mux2_2 _17446_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][30] ), + .S(_00396_), + .X(_01331_) + ); + sky130_fd_sc_hd__mux2_2 _17447_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][30] ), + .S(_00396_), + .X(_01332_) + ); + sky130_fd_sc_hd__mux2_2 _17448_ ( + .A0(_01332_), + .A1(_01331_), + .S(_00400_), + .X(_01333_) + ); + sky130_fd_sc_hd__mux2_2 _17449_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][30] ), + .S(_00396_), + .X(_01334_) + ); + sky130_fd_sc_hd__mux2_2 _17450_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][30] ), + .S(_00396_), + .X(_01335_) + ); + sky130_fd_sc_hd__mux2_2 _17451_ ( + .A0(_01335_), + .A1(_01334_), + .S(_00400_), + .X(_01336_) + ); + sky130_fd_sc_hd__mux2_2 _17452_ ( + .A0(_01336_), + .A1(_01333_), + .S(_00405_), + .X(_01337_) + ); + sky130_fd_sc_hd__mux2_2 _17453_ ( + .A0(_01337_), + .A1(_01330_), + .S(_00412_), + .X(_01338_) + ); + sky130_fd_sc_hd__mux2_2 _17454_ ( + .A0(_01338_), + .A1(_01323_), + .S(_00423_), + .X(_00114_) + ); + sky130_fd_sc_hd__mux2_2 _17455_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][31] ), + .S(_00396_), + .X(_01339_) + ); + sky130_fd_sc_hd__mux2_2 _17456_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][31] ), + .S(_00396_), + .X(_01340_) + ); + sky130_fd_sc_hd__mux2_2 _17457_ ( + .A0(_01340_), + .A1(_01339_), + .S(_00400_), + .X(_01341_) + ); + sky130_fd_sc_hd__mux2_2 _17458_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][31] ), + .S(_00396_), + .X(_01342_) + ); + sky130_fd_sc_hd__mux2_2 _17459_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][31] ), + .S(_00396_), + .X(_01343_) + ); + sky130_fd_sc_hd__mux2_2 _17460_ ( + .A0(_01343_), + .A1(_01342_), + .S(_00400_), + .X(_01344_) + ); + sky130_fd_sc_hd__mux2_2 _17461_ ( + .A0(_01344_), + .A1(_01341_), + .S(_00405_), + .X(_01345_) + ); + sky130_fd_sc_hd__mux2_2 _17462_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][31] ), + .S(_00396_), + .X(_01346_) + ); + sky130_fd_sc_hd__mux2_2 _17463_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][31] ), + .S(_00396_), + .X(_01347_) + ); + sky130_fd_sc_hd__mux2_2 _17464_ ( + .A0(_01347_), + .A1(_01346_), + .S(_00400_), + .X(_01348_) + ); + sky130_fd_sc_hd__mux2_2 _17465_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][31] ), + .S(_00396_), + .X(_01349_) + ); + sky130_fd_sc_hd__mux2_2 _17466_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][31] ), + .S(_00396_), + .X(_01350_) + ); + sky130_fd_sc_hd__mux2_2 _17467_ ( + .A0(_01350_), + .A1(_01349_), + .S(_00400_), + .X(_01351_) + ); + sky130_fd_sc_hd__mux2_2 _17468_ ( + .A0(_01351_), + .A1(_01348_), + .S(_00405_), + .X(_01352_) + ); + sky130_fd_sc_hd__mux2_2 _17469_ ( + .A0(_01352_), + .A1(_01345_), + .S(_00412_), + .X(_01353_) + ); + sky130_fd_sc_hd__mux2_2 _17470_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][31] ), + .S(_00396_), + .X(_01354_) + ); + sky130_fd_sc_hd__mux2_2 _17471_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][31] ), + .S(_00396_), + .X(_01355_) + ); + sky130_fd_sc_hd__mux2_2 _17472_ ( + .A0(_01355_), + .A1(_01354_), + .S(_00400_), + .X(_01356_) + ); + sky130_fd_sc_hd__mux2_2 _17473_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][31] ), + .S(_00396_), + .X(_01357_) + ); + sky130_fd_sc_hd__mux2_2 _17474_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][31] ), + .S(_00396_), + .X(_01358_) + ); + sky130_fd_sc_hd__mux2_2 _17475_ ( + .A0(_01358_), + .A1(_01357_), + .S(_00400_), + .X(_01359_) + ); + sky130_fd_sc_hd__mux2_2 _17476_ ( + .A0(_01359_), + .A1(_01356_), + .S(_00405_), + .X(_01360_) + ); + sky130_fd_sc_hd__mux2_2 _17477_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][31] ), + .S(_00396_), + .X(_01361_) + ); + sky130_fd_sc_hd__mux2_2 _17478_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][31] ), + .S(_00396_), + .X(_01362_) + ); + sky130_fd_sc_hd__mux2_2 _17479_ ( + .A0(_01362_), + .A1(_01361_), + .S(_00400_), + .X(_01363_) + ); + sky130_fd_sc_hd__mux2_2 _17480_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][31] ), + .S(_00396_), + .X(_01364_) + ); + sky130_fd_sc_hd__mux2_2 _17481_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][31] ), + .S(_00396_), + .X(_01365_) + ); + sky130_fd_sc_hd__mux2_2 _17482_ ( + .A0(_01365_), + .A1(_01364_), + .S(_00400_), + .X(_01366_) + ); + sky130_fd_sc_hd__mux2_2 _17483_ ( + .A0(_01366_), + .A1(_01363_), + .S(_00405_), + .X(_01367_) + ); + sky130_fd_sc_hd__mux2_2 _17484_ ( + .A0(_01367_), + .A1(_01360_), + .S(_00412_), + .X(_01368_) + ); + sky130_fd_sc_hd__mux2_2 _17485_ ( + .A0(_01368_), + .A1(_01353_), + .S(_00423_), + .X(_00115_) + ); + sky130_fd_sc_hd__mux2_2 _17486_ ( + .A0(_01370_), + .A1(_01369_), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_01371_) + ); + sky130_fd_sc_hd__mux2_2 _17487_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[3] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[5] ), + .S(_01372_), + .X(_01373_) + ); + sky130_fd_sc_hd__mux2_2 _17488_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[3] ), + .A1(_01373_), + .S(_05061_), + .X(_01374_) + ); + sky130_fd_sc_hd__mux2_2 _17489_ ( + .A0(_01374_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[5] ), + .S(_05093_), + .X(_01375_) + ); + sky130_fd_sc_hd__mux2_2 _17490_ ( + .A0(_01376_), + .A1(_01371_), + .S(_05100_), + .X(_01377_) + ); + sky130_fd_sc_hd__mux2_2 _17491_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][0] ), + .S(_01377_), + .X(_00032_) + ); + sky130_fd_sc_hd__mux2_2 _17492_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][2] ), + .S(_01377_), + .X(_00051_) + ); + sky130_fd_sc_hd__mux2_2 _17493_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][3] ), + .S(_01377_), + .X(_00052_) + ); + sky130_fd_sc_hd__mux2_2 _17494_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][4] ), + .S(_01377_), + .X(_00053_) + ); + sky130_fd_sc_hd__mux2_2 _17495_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][5] ), + .S(_01377_), + .X(_00054_) + ); + sky130_fd_sc_hd__mux2_2 _17496_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][6] ), + .S(_01377_), + .X(_00055_) + ); + sky130_fd_sc_hd__mux2_2 _17497_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][7] ), + .S(_01377_), + .X(_00056_) + ); + sky130_fd_sc_hd__mux2_2 _17498_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][8] ), + .S(_01377_), + .X(_00057_) + ); + sky130_fd_sc_hd__mux2_2 _17499_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][9] ), + .S(_01377_), + .X(_00058_) + ); + sky130_fd_sc_hd__mux2_2 _17500_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][10] ), + .S(_01377_), + .X(_00033_) + ); + sky130_fd_sc_hd__mux2_2 _17501_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][11] ), + .S(_01377_), + .X(_00034_) + ); + sky130_fd_sc_hd__mux2_2 _17502_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][12] ), + .S(_01377_), + .X(_00035_) + ); + sky130_fd_sc_hd__mux2_2 _17503_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][13] ), + .S(_01377_), + .X(_00036_) + ); + sky130_fd_sc_hd__mux2_2 _17504_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][14] ), + .S(_01377_), + .X(_00037_) + ); + sky130_fd_sc_hd__mux2_2 _17505_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][15] ), + .S(_01377_), + .X(_00038_) + ); + sky130_fd_sc_hd__mux2_2 _17506_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][16] ), + .S(_01377_), + .X(_00039_) + ); + sky130_fd_sc_hd__mux2_2 _17507_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][17] ), + .S(_01377_), + .X(_00040_) + ); + sky130_fd_sc_hd__mux2_2 _17508_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][18] ), + .S(_01377_), + .X(_00041_) + ); + sky130_fd_sc_hd__mux2_2 _17509_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][19] ), + .S(_01377_), + .X(_00042_) + ); + sky130_fd_sc_hd__mux2_2 _17510_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][20] ), + .S(_01377_), + .X(_00043_) + ); + sky130_fd_sc_hd__mux2_2 _17511_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][21] ), + .S(_01377_), + .X(_00044_) + ); + sky130_fd_sc_hd__mux2_2 _17512_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][22] ), + .S(_01377_), + .X(_00045_) + ); + sky130_fd_sc_hd__mux2_2 _17513_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][23] ), + .S(_01377_), + .X(_00046_) + ); + sky130_fd_sc_hd__mux2_2 _17514_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][24] ), + .S(_01377_), + .X(_00047_) + ); + sky130_fd_sc_hd__mux2_2 _17515_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][25] ), + .S(_01377_), + .X(_00048_) + ); + sky130_fd_sc_hd__mux2_2 _17516_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][26] ), + .S(_01377_), + .X(_00049_) + ); + sky130_fd_sc_hd__mux2_2 _17517_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][27] ), + .S(_01377_), + .X(_00050_) + ); + sky130_fd_sc_hd__mux2_2 _17518_ ( + .A0(_01379_), + .A1(_01378_), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_01380_) + ); + sky130_fd_sc_hd__mux2_2 _17519_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[0] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[2] ), + .S(_01372_), + .X(_01381_) + ); + sky130_fd_sc_hd__mux2_2 _17520_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[0] ), + .A1(_01381_), + .S(_05061_), + .X(_01382_) + ); + sky130_fd_sc_hd__mux2_2 _17521_ ( + .A0(_01382_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[2] ), + .S(_05093_), + .X(_01383_) + ); + sky130_fd_sc_hd__mux2_2 _17522_ ( + .A0(_01384_), + .A1(_01380_), + .S(_05100_), + .X(_01385_) + ); + sky130_fd_sc_hd__mux2_2 _17523_ ( + .A0(_01387_), + .A1(_01386_), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_01388_) + ); + sky130_fd_sc_hd__mux2_2 _17524_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[1] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[3] ), + .S(_01372_), + .X(_01389_) + ); + sky130_fd_sc_hd__mux2_2 _17525_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[1] ), + .A1(_01389_), + .S(_05061_), + .X(_01390_) + ); + sky130_fd_sc_hd__mux2_2 _17526_ ( + .A0(_01390_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[3] ), + .S(_05093_), + .X(_01391_) + ); + sky130_fd_sc_hd__mux2_2 _17527_ ( + .A0(_01392_), + .A1(_01388_), + .S(_05100_), + .X(_01393_) + ); + sky130_fd_sc_hd__mux2_2 _17528_ ( + .A0(_01395_), + .A1(_01394_), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_01396_) + ); + sky130_fd_sc_hd__mux2_2 _17529_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[2] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[4] ), + .S(_01372_), + .X(_01397_) + ); + sky130_fd_sc_hd__mux2_2 _17530_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[2] ), + .A1(_01397_), + .S(_05061_), + .X(_01398_) + ); + sky130_fd_sc_hd__mux2_2 _17531_ ( + .A0(_01398_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[4] ), + .S(_05093_), + .X(_01399_) + ); + sky130_fd_sc_hd__mux2_2 _17532_ ( + .A0(_01400_), + .A1(_01396_), + .S(_05100_), + .X(_01401_) + ); + sky130_fd_sc_hd__mux2_2 _17533_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][0] ), + .S(_01385_), + .X(_01402_) + ); + sky130_fd_sc_hd__mux2_2 _17534_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][0] ), + .S(_01385_), + .X(_01403_) + ); + sky130_fd_sc_hd__mux2_2 _17535_ ( + .A0(_01403_), + .A1(_01402_), + .S(_01393_), + .X(_01404_) + ); + sky130_fd_sc_hd__mux2_2 _17536_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][0] ), + .S(_01385_), + .X(_01405_) + ); + sky130_fd_sc_hd__mux2_2 _17537_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][0] ), + .S(_01385_), + .X(_01406_) + ); + sky130_fd_sc_hd__mux2_2 _17538_ ( + .A0(_01406_), + .A1(_01405_), + .S(_01393_), + .X(_01407_) + ); + sky130_fd_sc_hd__mux2_2 _17539_ ( + .A0(_01407_), + .A1(_01404_), + .S(_01401_), + .X(_01408_) + ); + sky130_fd_sc_hd__mux2_2 _17540_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][0] ), + .S(_01385_), + .X(_01409_) + ); + sky130_fd_sc_hd__mux2_2 _17541_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][0] ), + .S(_01385_), + .X(_01410_) + ); + sky130_fd_sc_hd__mux2_2 _17542_ ( + .A0(_01410_), + .A1(_01409_), + .S(_01393_), + .X(_01411_) + ); + sky130_fd_sc_hd__mux2_2 _17543_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][0] ), + .S(_01385_), + .X(_01412_) + ); + sky130_fd_sc_hd__mux2_2 _17544_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][0] ), + .S(_01385_), + .X(_01413_) + ); + sky130_fd_sc_hd__mux2_2 _17545_ ( + .A0(_01413_), + .A1(_01412_), + .S(_01393_), + .X(_01414_) + ); + sky130_fd_sc_hd__mux2_2 _17546_ ( + .A0(_01414_), + .A1(_01411_), + .S(_01401_), + .X(_01415_) + ); + sky130_fd_sc_hd__mux2_2 _17547_ ( + .A0(_01415_), + .A1(_01408_), + .S(_01377_), + .X(_00000_) + ); + sky130_fd_sc_hd__mux2_2 _17548_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][1] ), + .S(_01385_), + .X(_01416_) + ); + sky130_fd_sc_hd__mux2_2 _17549_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][1] ), + .S(_01385_), + .X(_01417_) + ); + sky130_fd_sc_hd__mux2_2 _17550_ ( + .A0(_01417_), + .A1(_01416_), + .S(_01393_), + .X(_01418_) + ); + sky130_fd_sc_hd__mux2_2 _17551_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][1] ), + .S(_01385_), + .X(_01419_) + ); + sky130_fd_sc_hd__mux2_2 _17552_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][1] ), + .S(_01385_), + .X(_01420_) + ); + sky130_fd_sc_hd__mux2_2 _17553_ ( + .A0(_01420_), + .A1(_01419_), + .S(_01393_), + .X(_01421_) + ); + sky130_fd_sc_hd__mux2_2 _17554_ ( + .A0(_01421_), + .A1(_01418_), + .S(_01401_), + .X(_01422_) + ); + sky130_fd_sc_hd__mux2_2 _17555_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][1] ), + .S(_01385_), + .X(_01423_) + ); + sky130_fd_sc_hd__mux2_2 _17556_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][1] ), + .S(_01385_), + .X(_01424_) + ); + sky130_fd_sc_hd__mux2_2 _17557_ ( + .A0(_01424_), + .A1(_01423_), + .S(_01393_), + .X(_01425_) + ); + sky130_fd_sc_hd__mux2_2 _17558_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][1] ), + .S(_01385_), + .X(_01426_) + ); + sky130_fd_sc_hd__mux2_2 _17559_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][1] ), + .S(_01385_), + .X(_01427_) + ); + sky130_fd_sc_hd__mux2_2 _17560_ ( + .A0(_01427_), + .A1(_01426_), + .S(_01393_), + .X(_01428_) + ); + sky130_fd_sc_hd__mux2_2 _17561_ ( + .A0(_01428_), + .A1(_01425_), + .S(_01401_), + .X(_01429_) + ); + sky130_fd_sc_hd__mux2_2 _17562_ ( + .A0(_01429_), + .A1(_01422_), + .S(_01377_), + .X(_00011_) + ); + sky130_fd_sc_hd__mux2_2 _17563_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][2] ), + .S(_01385_), + .X(_01430_) + ); + sky130_fd_sc_hd__mux2_2 _17564_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][2] ), + .S(_01385_), + .X(_01431_) + ); + sky130_fd_sc_hd__mux2_2 _17565_ ( + .A0(_01431_), + .A1(_01430_), + .S(_01393_), + .X(_01432_) + ); + sky130_fd_sc_hd__mux2_2 _17566_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][2] ), + .S(_01385_), + .X(_01433_) + ); + sky130_fd_sc_hd__mux2_2 _17567_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][2] ), + .S(_01385_), + .X(_01434_) + ); + sky130_fd_sc_hd__mux2_2 _17568_ ( + .A0(_01434_), + .A1(_01433_), + .S(_01393_), + .X(_01435_) + ); + sky130_fd_sc_hd__mux2_2 _17569_ ( + .A0(_01435_), + .A1(_01432_), + .S(_01401_), + .X(_01436_) + ); + sky130_fd_sc_hd__mux2_2 _17570_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][2] ), + .S(_01385_), + .X(_01437_) + ); + sky130_fd_sc_hd__mux2_2 _17571_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][2] ), + .S(_01385_), + .X(_01438_) + ); + sky130_fd_sc_hd__mux2_2 _17572_ ( + .A0(_01438_), + .A1(_01437_), + .S(_01393_), + .X(_01439_) + ); + sky130_fd_sc_hd__mux2_2 _17573_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][2] ), + .S(_01385_), + .X(_01440_) + ); + sky130_fd_sc_hd__mux2_2 _17574_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][2] ), + .S(_01385_), + .X(_01441_) + ); + sky130_fd_sc_hd__mux2_2 _17575_ ( + .A0(_01441_), + .A1(_01440_), + .S(_01393_), + .X(_01442_) + ); + sky130_fd_sc_hd__mux2_2 _17576_ ( + .A0(_01442_), + .A1(_01439_), + .S(_01401_), + .X(_01443_) + ); + sky130_fd_sc_hd__mux2_2 _17577_ ( + .A0(_01443_), + .A1(_01436_), + .S(_01377_), + .X(_00022_) + ); + sky130_fd_sc_hd__mux2_2 _17578_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][3] ), + .S(_01385_), + .X(_01444_) + ); + sky130_fd_sc_hd__mux2_2 _17579_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][3] ), + .S(_01385_), + .X(_01445_) + ); + sky130_fd_sc_hd__mux2_2 _17580_ ( + .A0(_01445_), + .A1(_01444_), + .S(_01393_), + .X(_01446_) + ); + sky130_fd_sc_hd__mux2_2 _17581_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][3] ), + .S(_01385_), + .X(_01447_) + ); + sky130_fd_sc_hd__mux2_2 _17582_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][3] ), + .S(_01385_), + .X(_01448_) + ); + sky130_fd_sc_hd__mux2_2 _17583_ ( + .A0(_01448_), + .A1(_01447_), + .S(_01393_), + .X(_01449_) + ); + sky130_fd_sc_hd__mux2_2 _17584_ ( + .A0(_01449_), + .A1(_01446_), + .S(_01401_), + .X(_01450_) + ); + sky130_fd_sc_hd__mux2_2 _17585_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][3] ), + .S(_01385_), + .X(_01451_) + ); + sky130_fd_sc_hd__mux2_2 _17586_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][3] ), + .S(_01385_), + .X(_01452_) + ); + sky130_fd_sc_hd__mux2_2 _17587_ ( + .A0(_01452_), + .A1(_01451_), + .S(_01393_), + .X(_01453_) + ); + sky130_fd_sc_hd__mux2_2 _17588_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][3] ), + .S(_01385_), + .X(_01454_) + ); + sky130_fd_sc_hd__mux2_2 _17589_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][3] ), + .S(_01385_), + .X(_01455_) + ); + sky130_fd_sc_hd__mux2_2 _17590_ ( + .A0(_01455_), + .A1(_01454_), + .S(_01393_), + .X(_01456_) + ); + sky130_fd_sc_hd__mux2_2 _17591_ ( + .A0(_01456_), + .A1(_01453_), + .S(_01401_), + .X(_01457_) + ); + sky130_fd_sc_hd__mux2_2 _17592_ ( + .A0(_01457_), + .A1(_01450_), + .S(_01377_), + .X(_00025_) + ); + sky130_fd_sc_hd__mux2_2 _17593_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][4] ), + .S(_01385_), + .X(_01458_) + ); + sky130_fd_sc_hd__mux2_2 _17594_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][4] ), + .S(_01385_), + .X(_01459_) + ); + sky130_fd_sc_hd__mux2_2 _17595_ ( + .A0(_01459_), + .A1(_01458_), + .S(_01393_), + .X(_01460_) + ); + sky130_fd_sc_hd__mux2_2 _17596_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][4] ), + .S(_01385_), + .X(_01461_) + ); + sky130_fd_sc_hd__mux2_2 _17597_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][4] ), + .S(_01385_), + .X(_01462_) + ); + sky130_fd_sc_hd__mux2_2 _17598_ ( + .A0(_01462_), + .A1(_01461_), + .S(_01393_), + .X(_01463_) + ); + sky130_fd_sc_hd__mux2_2 _17599_ ( + .A0(_01463_), + .A1(_01460_), + .S(_01401_), + .X(_01464_) + ); + sky130_fd_sc_hd__mux2_2 _17600_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][4] ), + .S(_01385_), + .X(_01465_) + ); + sky130_fd_sc_hd__mux2_2 _17601_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][4] ), + .S(_01385_), + .X(_01466_) + ); + sky130_fd_sc_hd__mux2_2 _17602_ ( + .A0(_01466_), + .A1(_01465_), + .S(_01393_), + .X(_01467_) + ); + sky130_fd_sc_hd__mux2_2 _17603_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][4] ), + .S(_01385_), + .X(_01468_) + ); + sky130_fd_sc_hd__mux2_2 _17604_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][4] ), + .S(_01385_), + .X(_01469_) + ); + sky130_fd_sc_hd__mux2_2 _17605_ ( + .A0(_01469_), + .A1(_01468_), + .S(_01393_), + .X(_01470_) + ); + sky130_fd_sc_hd__mux2_2 _17606_ ( + .A0(_01470_), + .A1(_01467_), + .S(_01401_), + .X(_01471_) + ); + sky130_fd_sc_hd__mux2_2 _17607_ ( + .A0(_01471_), + .A1(_01464_), + .S(_01377_), + .X(_00026_) + ); + sky130_fd_sc_hd__mux2_2 _17608_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][5] ), + .S(_01385_), + .X(_01472_) + ); + sky130_fd_sc_hd__mux2_2 _17609_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][5] ), + .S(_01385_), + .X(_01473_) + ); + sky130_fd_sc_hd__mux2_2 _17610_ ( + .A0(_01473_), + .A1(_01472_), + .S(_01393_), + .X(_01474_) + ); + sky130_fd_sc_hd__mux2_2 _17611_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][5] ), + .S(_01385_), + .X(_01475_) + ); + sky130_fd_sc_hd__mux2_2 _17612_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][5] ), + .S(_01385_), + .X(_01476_) + ); + sky130_fd_sc_hd__mux2_2 _17613_ ( + .A0(_01476_), + .A1(_01475_), + .S(_01393_), + .X(_01477_) + ); + sky130_fd_sc_hd__mux2_2 _17614_ ( + .A0(_01477_), + .A1(_01474_), + .S(_01401_), + .X(_01478_) + ); + sky130_fd_sc_hd__mux2_2 _17615_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][5] ), + .S(_01385_), + .X(_01479_) + ); + sky130_fd_sc_hd__mux2_2 _17616_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][5] ), + .S(_01385_), + .X(_01480_) + ); + sky130_fd_sc_hd__mux2_2 _17617_ ( + .A0(_01480_), + .A1(_01479_), + .S(_01393_), + .X(_01481_) + ); + sky130_fd_sc_hd__mux2_2 _17618_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][5] ), + .S(_01385_), + .X(_01482_) + ); + sky130_fd_sc_hd__mux2_2 _17619_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][5] ), + .S(_01385_), + .X(_01483_) + ); + sky130_fd_sc_hd__mux2_2 _17620_ ( + .A0(_01483_), + .A1(_01482_), + .S(_01393_), + .X(_01484_) + ); + sky130_fd_sc_hd__mux2_2 _17621_ ( + .A0(_01484_), + .A1(_01481_), + .S(_01401_), + .X(_01485_) + ); + sky130_fd_sc_hd__mux2_2 _17622_ ( + .A0(_01485_), + .A1(_01478_), + .S(_01377_), + .X(_00027_) + ); + sky130_fd_sc_hd__mux2_2 _17623_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][6] ), + .S(_01385_), + .X(_01486_) + ); + sky130_fd_sc_hd__mux2_2 _17624_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][6] ), + .S(_01385_), + .X(_01487_) + ); + sky130_fd_sc_hd__mux2_2 _17625_ ( + .A0(_01487_), + .A1(_01486_), + .S(_01393_), + .X(_01488_) + ); + sky130_fd_sc_hd__mux2_2 _17626_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][6] ), + .S(_01385_), + .X(_01489_) + ); + sky130_fd_sc_hd__mux2_2 _17627_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][6] ), + .S(_01385_), + .X(_01490_) + ); + sky130_fd_sc_hd__mux2_2 _17628_ ( + .A0(_01490_), + .A1(_01489_), + .S(_01393_), + .X(_01491_) + ); + sky130_fd_sc_hd__mux2_2 _17629_ ( + .A0(_01491_), + .A1(_01488_), + .S(_01401_), + .X(_01492_) + ); + sky130_fd_sc_hd__mux2_2 _17630_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][6] ), + .S(_01385_), + .X(_01493_) + ); + sky130_fd_sc_hd__mux2_2 _17631_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][6] ), + .S(_01385_), + .X(_01494_) + ); + sky130_fd_sc_hd__mux2_2 _17632_ ( + .A0(_01494_), + .A1(_01493_), + .S(_01393_), + .X(_01495_) + ); + sky130_fd_sc_hd__mux2_2 _17633_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][6] ), + .S(_01385_), + .X(_01496_) + ); + sky130_fd_sc_hd__mux2_2 _17634_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][6] ), + .S(_01385_), + .X(_01497_) + ); + sky130_fd_sc_hd__mux2_2 _17635_ ( + .A0(_01497_), + .A1(_01496_), + .S(_01393_), + .X(_01498_) + ); + sky130_fd_sc_hd__mux2_2 _17636_ ( + .A0(_01498_), + .A1(_01495_), + .S(_01401_), + .X(_01499_) + ); + sky130_fd_sc_hd__mux2_2 _17637_ ( + .A0(_01499_), + .A1(_01492_), + .S(_01377_), + .X(_00028_) + ); + sky130_fd_sc_hd__mux2_2 _17638_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][7] ), + .S(_01385_), + .X(_01500_) + ); + sky130_fd_sc_hd__mux2_2 _17639_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][7] ), + .S(_01385_), + .X(_01501_) + ); + sky130_fd_sc_hd__mux2_2 _17640_ ( + .A0(_01501_), + .A1(_01500_), + .S(_01393_), + .X(_01502_) + ); + sky130_fd_sc_hd__mux2_2 _17641_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][7] ), + .S(_01385_), + .X(_01503_) + ); + sky130_fd_sc_hd__mux2_2 _17642_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][7] ), + .S(_01385_), + .X(_01504_) + ); + sky130_fd_sc_hd__mux2_2 _17643_ ( + .A0(_01504_), + .A1(_01503_), + .S(_01393_), + .X(_01505_) + ); + sky130_fd_sc_hd__mux2_2 _17644_ ( + .A0(_01505_), + .A1(_01502_), + .S(_01401_), + .X(_01506_) + ); + sky130_fd_sc_hd__mux2_2 _17645_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][7] ), + .S(_01385_), + .X(_01507_) + ); + sky130_fd_sc_hd__mux2_2 _17646_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][7] ), + .S(_01385_), + .X(_01508_) + ); + sky130_fd_sc_hd__mux2_2 _17647_ ( + .A0(_01508_), + .A1(_01507_), + .S(_01393_), + .X(_01509_) + ); + sky130_fd_sc_hd__mux2_2 _17648_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][7] ), + .S(_01385_), + .X(_01510_) + ); + sky130_fd_sc_hd__mux2_2 _17649_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][7] ), + .S(_01385_), + .X(_01511_) + ); + sky130_fd_sc_hd__mux2_2 _17650_ ( + .A0(_01511_), + .A1(_01510_), + .S(_01393_), + .X(_01512_) + ); + sky130_fd_sc_hd__mux2_2 _17651_ ( + .A0(_01512_), + .A1(_01509_), + .S(_01401_), + .X(_01513_) + ); + sky130_fd_sc_hd__mux2_2 _17652_ ( + .A0(_01513_), + .A1(_01506_), + .S(_01377_), + .X(_00029_) + ); + sky130_fd_sc_hd__mux2_2 _17653_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][8] ), + .S(_01385_), + .X(_01514_) + ); + sky130_fd_sc_hd__mux2_2 _17654_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][8] ), + .S(_01385_), + .X(_01515_) + ); + sky130_fd_sc_hd__mux2_2 _17655_ ( + .A0(_01515_), + .A1(_01514_), + .S(_01393_), + .X(_01516_) + ); + sky130_fd_sc_hd__mux2_2 _17656_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][8] ), + .S(_01385_), + .X(_01517_) + ); + sky130_fd_sc_hd__mux2_2 _17657_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][8] ), + .S(_01385_), + .X(_01518_) + ); + sky130_fd_sc_hd__mux2_2 _17658_ ( + .A0(_01518_), + .A1(_01517_), + .S(_01393_), + .X(_01519_) + ); + sky130_fd_sc_hd__mux2_2 _17659_ ( + .A0(_01519_), + .A1(_01516_), + .S(_01401_), + .X(_01520_) + ); + sky130_fd_sc_hd__mux2_2 _17660_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][8] ), + .S(_01385_), + .X(_01521_) + ); + sky130_fd_sc_hd__mux2_2 _17661_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][8] ), + .S(_01385_), + .X(_01522_) + ); + sky130_fd_sc_hd__mux2_2 _17662_ ( + .A0(_01522_), + .A1(_01521_), + .S(_01393_), + .X(_01523_) + ); + sky130_fd_sc_hd__mux2_2 _17663_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][8] ), + .S(_01385_), + .X(_01524_) + ); + sky130_fd_sc_hd__mux2_2 _17664_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][8] ), + .S(_01385_), + .X(_01525_) + ); + sky130_fd_sc_hd__mux2_2 _17665_ ( + .A0(_01525_), + .A1(_01524_), + .S(_01393_), + .X(_01526_) + ); + sky130_fd_sc_hd__mux2_2 _17666_ ( + .A0(_01526_), + .A1(_01523_), + .S(_01401_), + .X(_01527_) + ); + sky130_fd_sc_hd__mux2_2 _17667_ ( + .A0(_01527_), + .A1(_01520_), + .S(_01377_), + .X(_00030_) + ); + sky130_fd_sc_hd__mux2_2 _17668_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][9] ), + .S(_01385_), + .X(_01528_) + ); + sky130_fd_sc_hd__mux2_2 _17669_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][9] ), + .S(_01385_), + .X(_01529_) + ); + sky130_fd_sc_hd__mux2_2 _17670_ ( + .A0(_01529_), + .A1(_01528_), + .S(_01393_), + .X(_01530_) + ); + sky130_fd_sc_hd__mux2_2 _17671_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][9] ), + .S(_01385_), + .X(_01531_) + ); + sky130_fd_sc_hd__mux2_2 _17672_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][9] ), + .S(_01385_), + .X(_01532_) + ); + sky130_fd_sc_hd__mux2_2 _17673_ ( + .A0(_01532_), + .A1(_01531_), + .S(_01393_), + .X(_01533_) + ); + sky130_fd_sc_hd__mux2_2 _17674_ ( + .A0(_01533_), + .A1(_01530_), + .S(_01401_), + .X(_01534_) + ); + sky130_fd_sc_hd__mux2_2 _17675_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][9] ), + .S(_01385_), + .X(_01535_) + ); + sky130_fd_sc_hd__mux2_2 _17676_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][9] ), + .S(_01385_), + .X(_01536_) + ); + sky130_fd_sc_hd__mux2_2 _17677_ ( + .A0(_01536_), + .A1(_01535_), + .S(_01393_), + .X(_01537_) + ); + sky130_fd_sc_hd__mux2_2 _17678_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][9] ), + .S(_01385_), + .X(_01538_) + ); + sky130_fd_sc_hd__mux2_2 _17679_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][9] ), + .S(_01385_), + .X(_01539_) + ); + sky130_fd_sc_hd__mux2_2 _17680_ ( + .A0(_01539_), + .A1(_01538_), + .S(_01393_), + .X(_01540_) + ); + sky130_fd_sc_hd__mux2_2 _17681_ ( + .A0(_01540_), + .A1(_01537_), + .S(_01401_), + .X(_01541_) + ); + sky130_fd_sc_hd__mux2_2 _17682_ ( + .A0(_01541_), + .A1(_01534_), + .S(_01377_), + .X(_00031_) + ); + sky130_fd_sc_hd__mux2_2 _17683_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][10] ), + .S(_01385_), + .X(_01542_) + ); + sky130_fd_sc_hd__mux2_2 _17684_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][10] ), + .S(_01385_), + .X(_01543_) + ); + sky130_fd_sc_hd__mux2_2 _17685_ ( + .A0(_01543_), + .A1(_01542_), + .S(_01393_), + .X(_01544_) + ); + sky130_fd_sc_hd__mux2_2 _17686_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][10] ), + .S(_01385_), + .X(_01545_) + ); + sky130_fd_sc_hd__mux2_2 _17687_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][10] ), + .S(_01385_), + .X(_01546_) + ); + sky130_fd_sc_hd__mux2_2 _17688_ ( + .A0(_01546_), + .A1(_01545_), + .S(_01393_), + .X(_01547_) + ); + sky130_fd_sc_hd__mux2_2 _17689_ ( + .A0(_01547_), + .A1(_01544_), + .S(_01401_), + .X(_01548_) + ); + sky130_fd_sc_hd__mux2_2 _17690_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][10] ), + .S(_01385_), + .X(_01549_) + ); + sky130_fd_sc_hd__mux2_2 _17691_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][10] ), + .S(_01385_), + .X(_01550_) + ); + sky130_fd_sc_hd__mux2_2 _17692_ ( + .A0(_01550_), + .A1(_01549_), + .S(_01393_), + .X(_01551_) + ); + sky130_fd_sc_hd__mux2_2 _17693_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][10] ), + .S(_01385_), + .X(_01552_) + ); + sky130_fd_sc_hd__mux2_2 _17694_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][10] ), + .S(_01385_), + .X(_01553_) + ); + sky130_fd_sc_hd__mux2_2 _17695_ ( + .A0(_01553_), + .A1(_01552_), + .S(_01393_), + .X(_01554_) + ); + sky130_fd_sc_hd__mux2_2 _17696_ ( + .A0(_01554_), + .A1(_01551_), + .S(_01401_), + .X(_01555_) + ); + sky130_fd_sc_hd__mux2_2 _17697_ ( + .A0(_01555_), + .A1(_01548_), + .S(_01377_), + .X(_00001_) + ); + sky130_fd_sc_hd__mux2_2 _17698_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][11] ), + .S(_01385_), + .X(_01556_) + ); + sky130_fd_sc_hd__mux2_2 _17699_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][11] ), + .S(_01385_), + .X(_01557_) + ); + sky130_fd_sc_hd__mux2_2 _17700_ ( + .A0(_01557_), + .A1(_01556_), + .S(_01393_), + .X(_01558_) + ); + sky130_fd_sc_hd__mux2_2 _17701_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][11] ), + .S(_01385_), + .X(_01559_) + ); + sky130_fd_sc_hd__mux2_2 _17702_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][11] ), + .S(_01385_), + .X(_01560_) + ); + sky130_fd_sc_hd__mux2_2 _17703_ ( + .A0(_01560_), + .A1(_01559_), + .S(_01393_), + .X(_01561_) + ); + sky130_fd_sc_hd__mux2_2 _17704_ ( + .A0(_01561_), + .A1(_01558_), + .S(_01401_), + .X(_01562_) + ); + sky130_fd_sc_hd__mux2_2 _17705_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][11] ), + .S(_01385_), + .X(_01563_) + ); + sky130_fd_sc_hd__mux2_2 _17706_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][11] ), + .S(_01385_), + .X(_01564_) + ); + sky130_fd_sc_hd__mux2_2 _17707_ ( + .A0(_01564_), + .A1(_01563_), + .S(_01393_), + .X(_01565_) + ); + sky130_fd_sc_hd__mux2_2 _17708_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][11] ), + .S(_01385_), + .X(_01566_) + ); + sky130_fd_sc_hd__mux2_2 _17709_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][11] ), + .S(_01385_), + .X(_01567_) + ); + sky130_fd_sc_hd__mux2_2 _17710_ ( + .A0(_01567_), + .A1(_01566_), + .S(_01393_), + .X(_01568_) + ); + sky130_fd_sc_hd__mux2_2 _17711_ ( + .A0(_01568_), + .A1(_01565_), + .S(_01401_), + .X(_01569_) + ); + sky130_fd_sc_hd__mux2_2 _17712_ ( + .A0(_01569_), + .A1(_01562_), + .S(_01377_), + .X(_00002_) + ); + sky130_fd_sc_hd__mux2_2 _17713_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][12] ), + .S(_01385_), + .X(_01570_) + ); + sky130_fd_sc_hd__mux2_2 _17714_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][12] ), + .S(_01385_), + .X(_01571_) + ); + sky130_fd_sc_hd__mux2_2 _17715_ ( + .A0(_01571_), + .A1(_01570_), + .S(_01393_), + .X(_01572_) + ); + sky130_fd_sc_hd__mux2_2 _17716_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][12] ), + .S(_01385_), + .X(_01573_) + ); + sky130_fd_sc_hd__mux2_2 _17717_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][12] ), + .S(_01385_), + .X(_01574_) + ); + sky130_fd_sc_hd__mux2_2 _17718_ ( + .A0(_01574_), + .A1(_01573_), + .S(_01393_), + .X(_01575_) + ); + sky130_fd_sc_hd__mux2_2 _17719_ ( + .A0(_01575_), + .A1(_01572_), + .S(_01401_), + .X(_01576_) + ); + sky130_fd_sc_hd__mux2_2 _17720_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][12] ), + .S(_01385_), + .X(_01577_) + ); + sky130_fd_sc_hd__mux2_2 _17721_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][12] ), + .S(_01385_), + .X(_01578_) + ); + sky130_fd_sc_hd__mux2_2 _17722_ ( + .A0(_01578_), + .A1(_01577_), + .S(_01393_), + .X(_01579_) + ); + sky130_fd_sc_hd__mux2_2 _17723_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][12] ), + .S(_01385_), + .X(_01580_) + ); + sky130_fd_sc_hd__mux2_2 _17724_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][12] ), + .S(_01385_), + .X(_01581_) + ); + sky130_fd_sc_hd__mux2_2 _17725_ ( + .A0(_01581_), + .A1(_01580_), + .S(_01393_), + .X(_01582_) + ); + sky130_fd_sc_hd__mux2_2 _17726_ ( + .A0(_01582_), + .A1(_01579_), + .S(_01401_), + .X(_01583_) + ); + sky130_fd_sc_hd__mux2_2 _17727_ ( + .A0(_01583_), + .A1(_01576_), + .S(_01377_), + .X(_00003_) + ); + sky130_fd_sc_hd__mux2_2 _17728_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][13] ), + .S(_01385_), + .X(_01584_) + ); + sky130_fd_sc_hd__mux2_2 _17729_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][13] ), + .S(_01385_), + .X(_01585_) + ); + sky130_fd_sc_hd__mux2_2 _17730_ ( + .A0(_01585_), + .A1(_01584_), + .S(_01393_), + .X(_01586_) + ); + sky130_fd_sc_hd__mux2_2 _17731_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][13] ), + .S(_01385_), + .X(_01587_) + ); + sky130_fd_sc_hd__mux2_2 _17732_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][13] ), + .S(_01385_), + .X(_01588_) + ); + sky130_fd_sc_hd__mux2_2 _17733_ ( + .A0(_01588_), + .A1(_01587_), + .S(_01393_), + .X(_01589_) + ); + sky130_fd_sc_hd__mux2_2 _17734_ ( + .A0(_01589_), + .A1(_01586_), + .S(_01401_), + .X(_01590_) + ); + sky130_fd_sc_hd__mux2_2 _17735_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][13] ), + .S(_01385_), + .X(_01591_) + ); + sky130_fd_sc_hd__mux2_2 _17736_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][13] ), + .S(_01385_), + .X(_01592_) + ); + sky130_fd_sc_hd__mux2_2 _17737_ ( + .A0(_01592_), + .A1(_01591_), + .S(_01393_), + .X(_01593_) + ); + sky130_fd_sc_hd__mux2_2 _17738_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][13] ), + .S(_01385_), + .X(_01594_) + ); + sky130_fd_sc_hd__mux2_2 _17739_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][13] ), + .S(_01385_), + .X(_01595_) + ); + sky130_fd_sc_hd__mux2_2 _17740_ ( + .A0(_01595_), + .A1(_01594_), + .S(_01393_), + .X(_01596_) + ); + sky130_fd_sc_hd__mux2_2 _17741_ ( + .A0(_01596_), + .A1(_01593_), + .S(_01401_), + .X(_01597_) + ); + sky130_fd_sc_hd__mux2_2 _17742_ ( + .A0(_01597_), + .A1(_01590_), + .S(_01377_), + .X(_00004_) + ); + sky130_fd_sc_hd__mux2_2 _17743_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][14] ), + .S(_01385_), + .X(_01598_) + ); + sky130_fd_sc_hd__mux2_2 _17744_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][14] ), + .S(_01385_), + .X(_01599_) + ); + sky130_fd_sc_hd__mux2_2 _17745_ ( + .A0(_01599_), + .A1(_01598_), + .S(_01393_), + .X(_01600_) + ); + sky130_fd_sc_hd__mux2_2 _17746_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][14] ), + .S(_01385_), + .X(_01601_) + ); + sky130_fd_sc_hd__mux2_2 _17747_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][14] ), + .S(_01385_), + .X(_01602_) + ); + sky130_fd_sc_hd__mux2_2 _17748_ ( + .A0(_01602_), + .A1(_01601_), + .S(_01393_), + .X(_01603_) + ); + sky130_fd_sc_hd__mux2_2 _17749_ ( + .A0(_01603_), + .A1(_01600_), + .S(_01401_), + .X(_01604_) + ); + sky130_fd_sc_hd__mux2_2 _17750_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][14] ), + .S(_01385_), + .X(_01605_) + ); + sky130_fd_sc_hd__mux2_2 _17751_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][14] ), + .S(_01385_), + .X(_01606_) + ); + sky130_fd_sc_hd__mux2_2 _17752_ ( + .A0(_01606_), + .A1(_01605_), + .S(_01393_), + .X(_01607_) + ); + sky130_fd_sc_hd__mux2_2 _17753_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][14] ), + .S(_01385_), + .X(_01608_) + ); + sky130_fd_sc_hd__mux2_2 _17754_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][14] ), + .S(_01385_), + .X(_01609_) + ); + sky130_fd_sc_hd__mux2_2 _17755_ ( + .A0(_01609_), + .A1(_01608_), + .S(_01393_), + .X(_01610_) + ); + sky130_fd_sc_hd__mux2_2 _17756_ ( + .A0(_01610_), + .A1(_01607_), + .S(_01401_), + .X(_01611_) + ); + sky130_fd_sc_hd__mux2_2 _17757_ ( + .A0(_01611_), + .A1(_01604_), + .S(_01377_), + .X(_00005_) + ); + sky130_fd_sc_hd__mux2_2 _17758_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][15] ), + .S(_01385_), + .X(_01612_) + ); + sky130_fd_sc_hd__mux2_2 _17759_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][15] ), + .S(_01385_), + .X(_01613_) + ); + sky130_fd_sc_hd__mux2_2 _17760_ ( + .A0(_01613_), + .A1(_01612_), + .S(_01393_), + .X(_01614_) + ); + sky130_fd_sc_hd__mux2_2 _17761_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][15] ), + .S(_01385_), + .X(_01615_) + ); + sky130_fd_sc_hd__mux2_2 _17762_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][15] ), + .S(_01385_), + .X(_01616_) + ); + sky130_fd_sc_hd__mux2_2 _17763_ ( + .A0(_01616_), + .A1(_01615_), + .S(_01393_), + .X(_01617_) + ); + sky130_fd_sc_hd__mux2_2 _17764_ ( + .A0(_01617_), + .A1(_01614_), + .S(_01401_), + .X(_01618_) + ); + sky130_fd_sc_hd__mux2_2 _17765_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][15] ), + .S(_01385_), + .X(_01619_) + ); + sky130_fd_sc_hd__mux2_2 _17766_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][15] ), + .S(_01385_), + .X(_01620_) + ); + sky130_fd_sc_hd__mux2_2 _17767_ ( + .A0(_01620_), + .A1(_01619_), + .S(_01393_), + .X(_01621_) + ); + sky130_fd_sc_hd__mux2_2 _17768_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][15] ), + .S(_01385_), + .X(_01622_) + ); + sky130_fd_sc_hd__mux2_2 _17769_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][15] ), + .S(_01385_), + .X(_01623_) + ); + sky130_fd_sc_hd__mux2_2 _17770_ ( + .A0(_01623_), + .A1(_01622_), + .S(_01393_), + .X(_01624_) + ); + sky130_fd_sc_hd__mux2_2 _17771_ ( + .A0(_01624_), + .A1(_01621_), + .S(_01401_), + .X(_01625_) + ); + sky130_fd_sc_hd__mux2_2 _17772_ ( + .A0(_01625_), + .A1(_01618_), + .S(_01377_), + .X(_00006_) + ); + sky130_fd_sc_hd__mux2_2 _17773_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][16] ), + .S(_01385_), + .X(_01626_) + ); + sky130_fd_sc_hd__mux2_2 _17774_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][16] ), + .S(_01385_), + .X(_01627_) + ); + sky130_fd_sc_hd__mux2_2 _17775_ ( + .A0(_01627_), + .A1(_01626_), + .S(_01393_), + .X(_01628_) + ); + sky130_fd_sc_hd__mux2_2 _17776_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][16] ), + .S(_01385_), + .X(_01629_) + ); + sky130_fd_sc_hd__mux2_2 _17777_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][16] ), + .S(_01385_), + .X(_01630_) + ); + sky130_fd_sc_hd__mux2_2 _17778_ ( + .A0(_01630_), + .A1(_01629_), + .S(_01393_), + .X(_01631_) + ); + sky130_fd_sc_hd__mux2_2 _17779_ ( + .A0(_01631_), + .A1(_01628_), + .S(_01401_), + .X(_01632_) + ); + sky130_fd_sc_hd__mux2_2 _17780_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][16] ), + .S(_01385_), + .X(_01633_) + ); + sky130_fd_sc_hd__mux2_2 _17781_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][16] ), + .S(_01385_), + .X(_01634_) + ); + sky130_fd_sc_hd__mux2_2 _17782_ ( + .A0(_01634_), + .A1(_01633_), + .S(_01393_), + .X(_01635_) + ); + sky130_fd_sc_hd__mux2_2 _17783_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][16] ), + .S(_01385_), + .X(_01636_) + ); + sky130_fd_sc_hd__mux2_2 _17784_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][16] ), + .S(_01385_), + .X(_01637_) + ); + sky130_fd_sc_hd__mux2_2 _17785_ ( + .A0(_01637_), + .A1(_01636_), + .S(_01393_), + .X(_01638_) + ); + sky130_fd_sc_hd__mux2_2 _17786_ ( + .A0(_01638_), + .A1(_01635_), + .S(_01401_), + .X(_01639_) + ); + sky130_fd_sc_hd__mux2_2 _17787_ ( + .A0(_01639_), + .A1(_01632_), + .S(_01377_), + .X(_00007_) + ); + sky130_fd_sc_hd__mux2_2 _17788_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][17] ), + .S(_01385_), + .X(_01640_) + ); + sky130_fd_sc_hd__mux2_2 _17789_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][17] ), + .S(_01385_), + .X(_01641_) + ); + sky130_fd_sc_hd__mux2_2 _17790_ ( + .A0(_01641_), + .A1(_01640_), + .S(_01393_), + .X(_01642_) + ); + sky130_fd_sc_hd__mux2_2 _17791_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][17] ), + .S(_01385_), + .X(_01643_) + ); + sky130_fd_sc_hd__mux2_2 _17792_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][17] ), + .S(_01385_), + .X(_01644_) + ); + sky130_fd_sc_hd__mux2_2 _17793_ ( + .A0(_01644_), + .A1(_01643_), + .S(_01393_), + .X(_01645_) + ); + sky130_fd_sc_hd__mux2_2 _17794_ ( + .A0(_01645_), + .A1(_01642_), + .S(_01401_), + .X(_01646_) + ); + sky130_fd_sc_hd__mux2_2 _17795_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][17] ), + .S(_01385_), + .X(_01647_) + ); + sky130_fd_sc_hd__mux2_2 _17796_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][17] ), + .S(_01385_), + .X(_01648_) + ); + sky130_fd_sc_hd__mux2_2 _17797_ ( + .A0(_01648_), + .A1(_01647_), + .S(_01393_), + .X(_01649_) + ); + sky130_fd_sc_hd__mux2_2 _17798_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][17] ), + .S(_01385_), + .X(_01650_) + ); + sky130_fd_sc_hd__mux2_2 _17799_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][17] ), + .S(_01385_), + .X(_01651_) + ); + sky130_fd_sc_hd__mux2_2 _17800_ ( + .A0(_01651_), + .A1(_01650_), + .S(_01393_), + .X(_01652_) + ); + sky130_fd_sc_hd__mux2_2 _17801_ ( + .A0(_01652_), + .A1(_01649_), + .S(_01401_), + .X(_01653_) + ); + sky130_fd_sc_hd__mux2_2 _17802_ ( + .A0(_01653_), + .A1(_01646_), + .S(_01377_), + .X(_00008_) + ); + sky130_fd_sc_hd__mux2_2 _17803_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][18] ), + .S(_01385_), + .X(_01654_) + ); + sky130_fd_sc_hd__mux2_2 _17804_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][18] ), + .S(_01385_), + .X(_01655_) + ); + sky130_fd_sc_hd__mux2_2 _17805_ ( + .A0(_01655_), + .A1(_01654_), + .S(_01393_), + .X(_01656_) + ); + sky130_fd_sc_hd__mux2_2 _17806_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][18] ), + .S(_01385_), + .X(_01657_) + ); + sky130_fd_sc_hd__mux2_2 _17807_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][18] ), + .S(_01385_), + .X(_01658_) + ); + sky130_fd_sc_hd__mux2_2 _17808_ ( + .A0(_01658_), + .A1(_01657_), + .S(_01393_), + .X(_01659_) + ); + sky130_fd_sc_hd__mux2_2 _17809_ ( + .A0(_01659_), + .A1(_01656_), + .S(_01401_), + .X(_01660_) + ); + sky130_fd_sc_hd__mux2_2 _17810_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][18] ), + .S(_01385_), + .X(_01661_) + ); + sky130_fd_sc_hd__mux2_2 _17811_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][18] ), + .S(_01385_), + .X(_01662_) + ); + sky130_fd_sc_hd__mux2_2 _17812_ ( + .A0(_01662_), + .A1(_01661_), + .S(_01393_), + .X(_01663_) + ); + sky130_fd_sc_hd__mux2_2 _17813_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][18] ), + .S(_01385_), + .X(_01664_) + ); + sky130_fd_sc_hd__mux2_2 _17814_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][18] ), + .S(_01385_), + .X(_01665_) + ); + sky130_fd_sc_hd__mux2_2 _17815_ ( + .A0(_01665_), + .A1(_01664_), + .S(_01393_), + .X(_01666_) + ); + sky130_fd_sc_hd__mux2_2 _17816_ ( + .A0(_01666_), + .A1(_01663_), + .S(_01401_), + .X(_01667_) + ); + sky130_fd_sc_hd__mux2_2 _17817_ ( + .A0(_01667_), + .A1(_01660_), + .S(_01377_), + .X(_00009_) + ); + sky130_fd_sc_hd__mux2_2 _17818_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][19] ), + .S(_01385_), + .X(_01668_) + ); + sky130_fd_sc_hd__mux2_2 _17819_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][19] ), + .S(_01385_), + .X(_01669_) + ); + sky130_fd_sc_hd__mux2_2 _17820_ ( + .A0(_01669_), + .A1(_01668_), + .S(_01393_), + .X(_01670_) + ); + sky130_fd_sc_hd__mux2_2 _17821_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][19] ), + .S(_01385_), + .X(_01671_) + ); + sky130_fd_sc_hd__mux2_2 _17822_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][19] ), + .S(_01385_), + .X(_01672_) + ); + sky130_fd_sc_hd__mux2_2 _17823_ ( + .A0(_01672_), + .A1(_01671_), + .S(_01393_), + .X(_01673_) + ); + sky130_fd_sc_hd__mux2_2 _17824_ ( + .A0(_01673_), + .A1(_01670_), + .S(_01401_), + .X(_01674_) + ); + sky130_fd_sc_hd__mux2_2 _17825_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][19] ), + .S(_01385_), + .X(_01675_) + ); + sky130_fd_sc_hd__mux2_2 _17826_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][19] ), + .S(_01385_), + .X(_01676_) + ); + sky130_fd_sc_hd__mux2_2 _17827_ ( + .A0(_01676_), + .A1(_01675_), + .S(_01393_), + .X(_01677_) + ); + sky130_fd_sc_hd__mux2_2 _17828_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][19] ), + .S(_01385_), + .X(_01678_) + ); + sky130_fd_sc_hd__mux2_2 _17829_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][19] ), + .S(_01385_), + .X(_01679_) + ); + sky130_fd_sc_hd__mux2_2 _17830_ ( + .A0(_01679_), + .A1(_01678_), + .S(_01393_), + .X(_01680_) + ); + sky130_fd_sc_hd__mux2_2 _17831_ ( + .A0(_01680_), + .A1(_01677_), + .S(_01401_), + .X(_01681_) + ); + sky130_fd_sc_hd__mux2_2 _17832_ ( + .A0(_01681_), + .A1(_01674_), + .S(_01377_), + .X(_00010_) + ); + sky130_fd_sc_hd__mux2_2 _17833_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][20] ), + .S(_01385_), + .X(_01682_) + ); + sky130_fd_sc_hd__mux2_2 _17834_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][20] ), + .S(_01385_), + .X(_01683_) + ); + sky130_fd_sc_hd__mux2_2 _17835_ ( + .A0(_01683_), + .A1(_01682_), + .S(_01393_), + .X(_01684_) + ); + sky130_fd_sc_hd__mux2_2 _17836_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][20] ), + .S(_01385_), + .X(_01685_) + ); + sky130_fd_sc_hd__mux2_2 _17837_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][20] ), + .S(_01385_), + .X(_01686_) + ); + sky130_fd_sc_hd__mux2_2 _17838_ ( + .A0(_01686_), + .A1(_01685_), + .S(_01393_), + .X(_01687_) + ); + sky130_fd_sc_hd__mux2_2 _17839_ ( + .A0(_01687_), + .A1(_01684_), + .S(_01401_), + .X(_01688_) + ); + sky130_fd_sc_hd__mux2_2 _17840_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][20] ), + .S(_01385_), + .X(_01689_) + ); + sky130_fd_sc_hd__mux2_2 _17841_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][20] ), + .S(_01385_), + .X(_01690_) + ); + sky130_fd_sc_hd__mux2_2 _17842_ ( + .A0(_01690_), + .A1(_01689_), + .S(_01393_), + .X(_01691_) + ); + sky130_fd_sc_hd__mux2_2 _17843_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][20] ), + .S(_01385_), + .X(_01692_) + ); + sky130_fd_sc_hd__mux2_2 _17844_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][20] ), + .S(_01385_), + .X(_01693_) + ); + sky130_fd_sc_hd__mux2_2 _17845_ ( + .A0(_01693_), + .A1(_01692_), + .S(_01393_), + .X(_01694_) + ); + sky130_fd_sc_hd__mux2_2 _17846_ ( + .A0(_01694_), + .A1(_01691_), + .S(_01401_), + .X(_01695_) + ); + sky130_fd_sc_hd__mux2_2 _17847_ ( + .A0(_01695_), + .A1(_01688_), + .S(_01377_), + .X(_00012_) + ); + sky130_fd_sc_hd__mux2_2 _17848_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][21] ), + .S(_01385_), + .X(_01696_) + ); + sky130_fd_sc_hd__mux2_2 _17849_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][21] ), + .S(_01385_), + .X(_01697_) + ); + sky130_fd_sc_hd__mux2_2 _17850_ ( + .A0(_01697_), + .A1(_01696_), + .S(_01393_), + .X(_01698_) + ); + sky130_fd_sc_hd__mux2_2 _17851_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][21] ), + .S(_01385_), + .X(_01699_) + ); + sky130_fd_sc_hd__mux2_2 _17852_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][21] ), + .S(_01385_), + .X(_01700_) + ); + sky130_fd_sc_hd__mux2_2 _17853_ ( + .A0(_01700_), + .A1(_01699_), + .S(_01393_), + .X(_01701_) + ); + sky130_fd_sc_hd__mux2_2 _17854_ ( + .A0(_01701_), + .A1(_01698_), + .S(_01401_), + .X(_01702_) + ); + sky130_fd_sc_hd__mux2_2 _17855_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][21] ), + .S(_01385_), + .X(_01703_) + ); + sky130_fd_sc_hd__mux2_2 _17856_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][21] ), + .S(_01385_), + .X(_01704_) + ); + sky130_fd_sc_hd__mux2_2 _17857_ ( + .A0(_01704_), + .A1(_01703_), + .S(_01393_), + .X(_01705_) + ); + sky130_fd_sc_hd__mux2_2 _17858_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][21] ), + .S(_01385_), + .X(_01706_) + ); + sky130_fd_sc_hd__mux2_2 _17859_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][21] ), + .S(_01385_), + .X(_01707_) + ); + sky130_fd_sc_hd__mux2_2 _17860_ ( + .A0(_01707_), + .A1(_01706_), + .S(_01393_), + .X(_01708_) + ); + sky130_fd_sc_hd__mux2_2 _17861_ ( + .A0(_01708_), + .A1(_01705_), + .S(_01401_), + .X(_01709_) + ); + sky130_fd_sc_hd__mux2_2 _17862_ ( + .A0(_01709_), + .A1(_01702_), + .S(_01377_), + .X(_00013_) + ); + sky130_fd_sc_hd__mux2_2 _17863_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][22] ), + .S(_01385_), + .X(_01710_) + ); + sky130_fd_sc_hd__mux2_2 _17864_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][22] ), + .S(_01385_), + .X(_01711_) + ); + sky130_fd_sc_hd__mux2_2 _17865_ ( + .A0(_01711_), + .A1(_01710_), + .S(_01393_), + .X(_01712_) + ); + sky130_fd_sc_hd__mux2_2 _17866_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][22] ), + .S(_01385_), + .X(_01713_) + ); + sky130_fd_sc_hd__mux2_2 _17867_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][22] ), + .S(_01385_), + .X(_01714_) + ); + sky130_fd_sc_hd__mux2_2 _17868_ ( + .A0(_01714_), + .A1(_01713_), + .S(_01393_), + .X(_01715_) + ); + sky130_fd_sc_hd__mux2_2 _17869_ ( + .A0(_01715_), + .A1(_01712_), + .S(_01401_), + .X(_01716_) + ); + sky130_fd_sc_hd__mux2_2 _17870_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][22] ), + .S(_01385_), + .X(_01717_) + ); + sky130_fd_sc_hd__mux2_2 _17871_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][22] ), + .S(_01385_), + .X(_01718_) + ); + sky130_fd_sc_hd__mux2_2 _17872_ ( + .A0(_01718_), + .A1(_01717_), + .S(_01393_), + .X(_01719_) + ); + sky130_fd_sc_hd__mux2_2 _17873_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][22] ), + .S(_01385_), + .X(_01720_) + ); + sky130_fd_sc_hd__mux2_2 _17874_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][22] ), + .S(_01385_), + .X(_01721_) + ); + sky130_fd_sc_hd__mux2_2 _17875_ ( + .A0(_01721_), + .A1(_01720_), + .S(_01393_), + .X(_01722_) + ); + sky130_fd_sc_hd__mux2_2 _17876_ ( + .A0(_01722_), + .A1(_01719_), + .S(_01401_), + .X(_01723_) + ); + sky130_fd_sc_hd__mux2_2 _17877_ ( + .A0(_01723_), + .A1(_01716_), + .S(_01377_), + .X(_00014_) + ); + sky130_fd_sc_hd__mux2_2 _17878_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][23] ), + .S(_01385_), + .X(_01724_) + ); + sky130_fd_sc_hd__mux2_2 _17879_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][23] ), + .S(_01385_), + .X(_01725_) + ); + sky130_fd_sc_hd__mux2_2 _17880_ ( + .A0(_01725_), + .A1(_01724_), + .S(_01393_), + .X(_01726_) + ); + sky130_fd_sc_hd__mux2_2 _17881_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][23] ), + .S(_01385_), + .X(_01727_) + ); + sky130_fd_sc_hd__mux2_2 _17882_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][23] ), + .S(_01385_), + .X(_01728_) + ); + sky130_fd_sc_hd__mux2_2 _17883_ ( + .A0(_01728_), + .A1(_01727_), + .S(_01393_), + .X(_01729_) + ); + sky130_fd_sc_hd__mux2_2 _17884_ ( + .A0(_01729_), + .A1(_01726_), + .S(_01401_), + .X(_01730_) + ); + sky130_fd_sc_hd__mux2_2 _17885_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][23] ), + .S(_01385_), + .X(_01731_) + ); + sky130_fd_sc_hd__mux2_2 _17886_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][23] ), + .S(_01385_), + .X(_01732_) + ); + sky130_fd_sc_hd__mux2_2 _17887_ ( + .A0(_01732_), + .A1(_01731_), + .S(_01393_), + .X(_01733_) + ); + sky130_fd_sc_hd__mux2_2 _17888_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][23] ), + .S(_01385_), + .X(_01734_) + ); + sky130_fd_sc_hd__mux2_2 _17889_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][23] ), + .S(_01385_), + .X(_01735_) + ); + sky130_fd_sc_hd__mux2_2 _17890_ ( + .A0(_01735_), + .A1(_01734_), + .S(_01393_), + .X(_01736_) + ); + sky130_fd_sc_hd__mux2_2 _17891_ ( + .A0(_01736_), + .A1(_01733_), + .S(_01401_), + .X(_01737_) + ); + sky130_fd_sc_hd__mux2_2 _17892_ ( + .A0(_01737_), + .A1(_01730_), + .S(_01377_), + .X(_00015_) + ); + sky130_fd_sc_hd__mux2_2 _17893_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][24] ), + .S(_01385_), + .X(_01738_) + ); + sky130_fd_sc_hd__mux2_2 _17894_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][24] ), + .S(_01385_), + .X(_01739_) + ); + sky130_fd_sc_hd__mux2_2 _17895_ ( + .A0(_01739_), + .A1(_01738_), + .S(_01393_), + .X(_01740_) + ); + sky130_fd_sc_hd__mux2_2 _17896_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][24] ), + .S(_01385_), + .X(_01741_) + ); + sky130_fd_sc_hd__mux2_2 _17897_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][24] ), + .S(_01385_), + .X(_01742_) + ); + sky130_fd_sc_hd__mux2_2 _17898_ ( + .A0(_01742_), + .A1(_01741_), + .S(_01393_), + .X(_01743_) + ); + sky130_fd_sc_hd__mux2_2 _17899_ ( + .A0(_01743_), + .A1(_01740_), + .S(_01401_), + .X(_01744_) + ); + sky130_fd_sc_hd__mux2_2 _17900_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][24] ), + .S(_01385_), + .X(_01745_) + ); + sky130_fd_sc_hd__mux2_2 _17901_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][24] ), + .S(_01385_), + .X(_01746_) + ); + sky130_fd_sc_hd__mux2_2 _17902_ ( + .A0(_01746_), + .A1(_01745_), + .S(_01393_), + .X(_01747_) + ); + sky130_fd_sc_hd__mux2_2 _17903_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][24] ), + .S(_01385_), + .X(_01748_) + ); + sky130_fd_sc_hd__mux2_2 _17904_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][24] ), + .S(_01385_), + .X(_01749_) + ); + sky130_fd_sc_hd__mux2_2 _17905_ ( + .A0(_01749_), + .A1(_01748_), + .S(_01393_), + .X(_01750_) + ); + sky130_fd_sc_hd__mux2_2 _17906_ ( + .A0(_01750_), + .A1(_01747_), + .S(_01401_), + .X(_01751_) + ); + sky130_fd_sc_hd__mux2_2 _17907_ ( + .A0(_01751_), + .A1(_01744_), + .S(_01377_), + .X(_00016_) + ); + sky130_fd_sc_hd__mux2_2 _17908_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][25] ), + .S(_01385_), + .X(_01752_) + ); + sky130_fd_sc_hd__mux2_2 _17909_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][25] ), + .S(_01385_), + .X(_01753_) + ); + sky130_fd_sc_hd__mux2_2 _17910_ ( + .A0(_01753_), + .A1(_01752_), + .S(_01393_), + .X(_01754_) + ); + sky130_fd_sc_hd__mux2_2 _17911_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][25] ), + .S(_01385_), + .X(_01755_) + ); + sky130_fd_sc_hd__mux2_2 _17912_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][25] ), + .S(_01385_), + .X(_01756_) + ); + sky130_fd_sc_hd__mux2_2 _17913_ ( + .A0(_01756_), + .A1(_01755_), + .S(_01393_), + .X(_01757_) + ); + sky130_fd_sc_hd__mux2_2 _17914_ ( + .A0(_01757_), + .A1(_01754_), + .S(_01401_), + .X(_01758_) + ); + sky130_fd_sc_hd__mux2_2 _17915_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][25] ), + .S(_01385_), + .X(_01759_) + ); + sky130_fd_sc_hd__mux2_2 _17916_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][25] ), + .S(_01385_), + .X(_01760_) + ); + sky130_fd_sc_hd__mux2_2 _17917_ ( + .A0(_01760_), + .A1(_01759_), + .S(_01393_), + .X(_01761_) + ); + sky130_fd_sc_hd__mux2_2 _17918_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][25] ), + .S(_01385_), + .X(_01762_) + ); + sky130_fd_sc_hd__mux2_2 _17919_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][25] ), + .S(_01385_), + .X(_01763_) + ); + sky130_fd_sc_hd__mux2_2 _17920_ ( + .A0(_01763_), + .A1(_01762_), + .S(_01393_), + .X(_01764_) + ); + sky130_fd_sc_hd__mux2_2 _17921_ ( + .A0(_01764_), + .A1(_01761_), + .S(_01401_), + .X(_01765_) + ); + sky130_fd_sc_hd__mux2_2 _17922_ ( + .A0(_01765_), + .A1(_01758_), + .S(_01377_), + .X(_00017_) + ); + sky130_fd_sc_hd__mux2_2 _17923_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][26] ), + .S(_01385_), + .X(_01766_) + ); + sky130_fd_sc_hd__mux2_2 _17924_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][26] ), + .S(_01385_), + .X(_01767_) + ); + sky130_fd_sc_hd__mux2_2 _17925_ ( + .A0(_01767_), + .A1(_01766_), + .S(_01393_), + .X(_01768_) + ); + sky130_fd_sc_hd__mux2_2 _17926_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][26] ), + .S(_01385_), + .X(_01769_) + ); + sky130_fd_sc_hd__mux2_2 _17927_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][26] ), + .S(_01385_), + .X(_01770_) + ); + sky130_fd_sc_hd__mux2_2 _17928_ ( + .A0(_01770_), + .A1(_01769_), + .S(_01393_), + .X(_01771_) + ); + sky130_fd_sc_hd__mux2_2 _17929_ ( + .A0(_01771_), + .A1(_01768_), + .S(_01401_), + .X(_01772_) + ); + sky130_fd_sc_hd__mux2_2 _17930_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][26] ), + .S(_01385_), + .X(_01773_) + ); + sky130_fd_sc_hd__mux2_2 _17931_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][26] ), + .S(_01385_), + .X(_01774_) + ); + sky130_fd_sc_hd__mux2_2 _17932_ ( + .A0(_01774_), + .A1(_01773_), + .S(_01393_), + .X(_01775_) + ); + sky130_fd_sc_hd__mux2_2 _17933_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][26] ), + .S(_01385_), + .X(_01776_) + ); + sky130_fd_sc_hd__mux2_2 _17934_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][26] ), + .S(_01385_), + .X(_01777_) + ); + sky130_fd_sc_hd__mux2_2 _17935_ ( + .A0(_01777_), + .A1(_01776_), + .S(_01393_), + .X(_01778_) + ); + sky130_fd_sc_hd__mux2_2 _17936_ ( + .A0(_01778_), + .A1(_01775_), + .S(_01401_), + .X(_01779_) + ); + sky130_fd_sc_hd__mux2_2 _17937_ ( + .A0(_01779_), + .A1(_01772_), + .S(_01377_), + .X(_00018_) + ); + sky130_fd_sc_hd__mux2_2 _17938_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][27] ), + .S(_01385_), + .X(_01780_) + ); + sky130_fd_sc_hd__mux2_2 _17939_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][27] ), + .S(_01385_), + .X(_01781_) + ); + sky130_fd_sc_hd__mux2_2 _17940_ ( + .A0(_01781_), + .A1(_01780_), + .S(_01393_), + .X(_01782_) + ); + sky130_fd_sc_hd__mux2_2 _17941_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][27] ), + .S(_01385_), + .X(_01783_) + ); + sky130_fd_sc_hd__mux2_2 _17942_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][27] ), + .S(_01385_), + .X(_01784_) + ); + sky130_fd_sc_hd__mux2_2 _17943_ ( + .A0(_01784_), + .A1(_01783_), + .S(_01393_), + .X(_01785_) + ); + sky130_fd_sc_hd__mux2_2 _17944_ ( + .A0(_01785_), + .A1(_01782_), + .S(_01401_), + .X(_01786_) + ); + sky130_fd_sc_hd__mux2_2 _17945_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][27] ), + .S(_01385_), + .X(_01787_) + ); + sky130_fd_sc_hd__mux2_2 _17946_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][27] ), + .S(_01385_), + .X(_01788_) + ); + sky130_fd_sc_hd__mux2_2 _17947_ ( + .A0(_01788_), + .A1(_01787_), + .S(_01393_), + .X(_01789_) + ); + sky130_fd_sc_hd__mux2_2 _17948_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][27] ), + .S(_01385_), + .X(_01790_) + ); + sky130_fd_sc_hd__mux2_2 _17949_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][27] ), + .S(_01385_), + .X(_01791_) + ); + sky130_fd_sc_hd__mux2_2 _17950_ ( + .A0(_01791_), + .A1(_01790_), + .S(_01393_), + .X(_01792_) + ); + sky130_fd_sc_hd__mux2_2 _17951_ ( + .A0(_01792_), + .A1(_01789_), + .S(_01401_), + .X(_01793_) + ); + sky130_fd_sc_hd__mux2_2 _17952_ ( + .A0(_01793_), + .A1(_01786_), + .S(_01377_), + .X(_00019_) + ); + sky130_fd_sc_hd__mux2_2 _17953_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][28] ), + .S(_01385_), + .X(_01794_) + ); + sky130_fd_sc_hd__mux2_2 _17954_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][28] ), + .S(_01385_), + .X(_01795_) + ); + sky130_fd_sc_hd__mux2_2 _17955_ ( + .A0(_01795_), + .A1(_01794_), + .S(_01393_), + .X(_01796_) + ); + sky130_fd_sc_hd__mux2_2 _17956_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][28] ), + .S(_01385_), + .X(_01797_) + ); + sky130_fd_sc_hd__mux2_2 _17957_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][28] ), + .S(_01385_), + .X(_01798_) + ); + sky130_fd_sc_hd__mux2_2 _17958_ ( + .A0(_01798_), + .A1(_01797_), + .S(_01393_), + .X(_01799_) + ); + sky130_fd_sc_hd__mux2_2 _17959_ ( + .A0(_01799_), + .A1(_01796_), + .S(_01401_), + .X(_01800_) + ); + sky130_fd_sc_hd__mux2_2 _17960_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][28] ), + .S(_01385_), + .X(_01801_) + ); + sky130_fd_sc_hd__mux2_2 _17961_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][28] ), + .S(_01385_), + .X(_01802_) + ); + sky130_fd_sc_hd__mux2_2 _17962_ ( + .A0(_01802_), + .A1(_01801_), + .S(_01393_), + .X(_01803_) + ); + sky130_fd_sc_hd__mux2_2 _17963_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][28] ), + .S(_01385_), + .X(_01804_) + ); + sky130_fd_sc_hd__mux2_2 _17964_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][28] ), + .S(_01385_), + .X(_01805_) + ); + sky130_fd_sc_hd__mux2_2 _17965_ ( + .A0(_01805_), + .A1(_01804_), + .S(_01393_), + .X(_01806_) + ); + sky130_fd_sc_hd__mux2_2 _17966_ ( + .A0(_01806_), + .A1(_01803_), + .S(_01401_), + .X(_01807_) + ); + sky130_fd_sc_hd__mux2_2 _17967_ ( + .A0(_01807_), + .A1(_01800_), + .S(_01377_), + .X(_00020_) + ); + sky130_fd_sc_hd__mux2_2 _17968_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][29] ), + .S(_01385_), + .X(_01808_) + ); + sky130_fd_sc_hd__mux2_2 _17969_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][29] ), + .S(_01385_), + .X(_01809_) + ); + sky130_fd_sc_hd__mux2_2 _17970_ ( + .A0(_01809_), + .A1(_01808_), + .S(_01393_), + .X(_01810_) + ); + sky130_fd_sc_hd__mux2_2 _17971_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][29] ), + .S(_01385_), + .X(_01811_) + ); + sky130_fd_sc_hd__mux2_2 _17972_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][29] ), + .S(_01385_), + .X(_01812_) + ); + sky130_fd_sc_hd__mux2_2 _17973_ ( + .A0(_01812_), + .A1(_01811_), + .S(_01393_), + .X(_01813_) + ); + sky130_fd_sc_hd__mux2_2 _17974_ ( + .A0(_01813_), + .A1(_01810_), + .S(_01401_), + .X(_01814_) + ); + sky130_fd_sc_hd__mux2_2 _17975_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][29] ), + .S(_01385_), + .X(_01815_) + ); + sky130_fd_sc_hd__mux2_2 _17976_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][29] ), + .S(_01385_), + .X(_01816_) + ); + sky130_fd_sc_hd__mux2_2 _17977_ ( + .A0(_01816_), + .A1(_01815_), + .S(_01393_), + .X(_01817_) + ); + sky130_fd_sc_hd__mux2_2 _17978_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][29] ), + .S(_01385_), + .X(_01818_) + ); + sky130_fd_sc_hd__mux2_2 _17979_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][29] ), + .S(_01385_), + .X(_01819_) + ); + sky130_fd_sc_hd__mux2_2 _17980_ ( + .A0(_01819_), + .A1(_01818_), + .S(_01393_), + .X(_01820_) + ); + sky130_fd_sc_hd__mux2_2 _17981_ ( + .A0(_01820_), + .A1(_01817_), + .S(_01401_), + .X(_01821_) + ); + sky130_fd_sc_hd__mux2_2 _17982_ ( + .A0(_01821_), + .A1(_01814_), + .S(_01377_), + .X(_00021_) + ); + sky130_fd_sc_hd__mux2_2 _17983_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][30] ), + .S(_01385_), + .X(_01822_) + ); + sky130_fd_sc_hd__mux2_2 _17984_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][30] ), + .S(_01385_), + .X(_01823_) + ); + sky130_fd_sc_hd__mux2_2 _17985_ ( + .A0(_01823_), + .A1(_01822_), + .S(_01393_), + .X(_01824_) + ); + sky130_fd_sc_hd__mux2_2 _17986_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][30] ), + .S(_01385_), + .X(_01825_) + ); + sky130_fd_sc_hd__mux2_2 _17987_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][30] ), + .S(_01385_), + .X(_01826_) + ); + sky130_fd_sc_hd__mux2_2 _17988_ ( + .A0(_01826_), + .A1(_01825_), + .S(_01393_), + .X(_01827_) + ); + sky130_fd_sc_hd__mux2_2 _17989_ ( + .A0(_01827_), + .A1(_01824_), + .S(_01401_), + .X(_01828_) + ); + sky130_fd_sc_hd__mux2_2 _17990_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][30] ), + .S(_01385_), + .X(_01829_) + ); + sky130_fd_sc_hd__mux2_2 _17991_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][30] ), + .S(_01385_), + .X(_01830_) + ); + sky130_fd_sc_hd__mux2_2 _17992_ ( + .A0(_01830_), + .A1(_01829_), + .S(_01393_), + .X(_01831_) + ); + sky130_fd_sc_hd__mux2_2 _17993_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][30] ), + .S(_01385_), + .X(_01832_) + ); + sky130_fd_sc_hd__mux2_2 _17994_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][30] ), + .S(_01385_), + .X(_01833_) + ); + sky130_fd_sc_hd__mux2_2 _17995_ ( + .A0(_01833_), + .A1(_01832_), + .S(_01393_), + .X(_01834_) + ); + sky130_fd_sc_hd__mux2_2 _17996_ ( + .A0(_01834_), + .A1(_01831_), + .S(_01401_), + .X(_01835_) + ); + sky130_fd_sc_hd__mux2_2 _17997_ ( + .A0(_01835_), + .A1(_01828_), + .S(_01377_), + .X(_00023_) + ); + sky130_fd_sc_hd__mux2_2 _17998_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][31] ), + .S(_01385_), + .X(_01836_) + ); + sky130_fd_sc_hd__mux2_2 _17999_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][31] ), + .S(_01385_), + .X(_01837_) + ); + sky130_fd_sc_hd__mux2_2 _18000_ ( + .A0(_01837_), + .A1(_01836_), + .S(_01393_), + .X(_01838_) + ); + sky130_fd_sc_hd__mux2_2 _18001_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][31] ), + .S(_01385_), + .X(_01839_) + ); + sky130_fd_sc_hd__mux2_2 _18002_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][31] ), + .S(_01385_), + .X(_01840_) + ); + sky130_fd_sc_hd__mux2_2 _18003_ ( + .A0(_01840_), + .A1(_01839_), + .S(_01393_), + .X(_01841_) + ); + sky130_fd_sc_hd__mux2_2 _18004_ ( + .A0(_01841_), + .A1(_01838_), + .S(_01401_), + .X(_01842_) + ); + sky130_fd_sc_hd__mux2_2 _18005_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][31] ), + .S(_01385_), + .X(_01843_) + ); + sky130_fd_sc_hd__mux2_2 _18006_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][31] ), + .S(_01385_), + .X(_01844_) + ); + sky130_fd_sc_hd__mux2_2 _18007_ ( + .A0(_01844_), + .A1(_01843_), + .S(_01393_), + .X(_01845_) + ); + sky130_fd_sc_hd__mux2_2 _18008_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][31] ), + .S(_01385_), + .X(_01846_) + ); + sky130_fd_sc_hd__mux2_2 _18009_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][31] ), + .S(_01385_), + .X(_01847_) + ); + sky130_fd_sc_hd__mux2_2 _18010_ ( + .A0(_01847_), + .A1(_01846_), + .S(_01393_), + .X(_01848_) + ); + sky130_fd_sc_hd__mux2_2 _18011_ ( + .A0(_01848_), + .A1(_01845_), + .S(_01401_), + .X(_01849_) + ); + sky130_fd_sc_hd__mux2_2 _18012_ ( + .A0(_01849_), + .A1(_01842_), + .S(_01377_), + .X(_00024_) + ); + sky130_fd_sc_hd__mux2_2 _18013_ ( + .A0(_01851_), + .A1(_01850_), + .S(_05087_), + .X(_01852_) + ); + sky130_fd_sc_hd__mux2_2 _18014_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][0] ), + .S(_01852_), + .X(_01853_) + ); + sky130_fd_sc_hd__mux2_2 _18015_ ( + .A0(_01855_), + .A1(_01854_), + .S(_05087_), + .X(_01856_) + ); + sky130_fd_sc_hd__mux2_2 _18016_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][0] ), + .S(_01852_), + .X(_01857_) + ); + sky130_fd_sc_hd__mux2_2 _18017_ ( + .A0(_01857_), + .A1(_01853_), + .S(_01856_), + .X(_01858_) + ); + sky130_fd_sc_hd__mux2_2 _18018_ ( + .A0(_01860_), + .A1(_01859_), + .S(_05087_), + .X(_01861_) + ); + sky130_fd_sc_hd__mux2_2 _18019_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][0] ), + .S(_01852_), + .X(_01862_) + ); + sky130_fd_sc_hd__mux2_2 _18020_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][0] ), + .S(_01852_), + .X(_01863_) + ); + sky130_fd_sc_hd__mux2_2 _18021_ ( + .A0(_01863_), + .A1(_01862_), + .S(_01856_), + .X(_01864_) + ); + sky130_fd_sc_hd__mux2_2 _18022_ ( + .A0(_01864_), + .A1(_01858_), + .S(_01861_), + .X(_01865_) + ); + sky130_fd_sc_hd__mux2_2 _18023_ ( + .A0(_01867_), + .A1(_01866_), + .S(_05087_), + .X(_01868_) + ); + sky130_fd_sc_hd__mux2_2 _18024_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][0] ), + .S(_01852_), + .X(_01869_) + ); + sky130_fd_sc_hd__mux2_2 _18025_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][0] ), + .S(_01852_), + .X(_01870_) + ); + sky130_fd_sc_hd__mux2_2 _18026_ ( + .A0(_01870_), + .A1(_01869_), + .S(_01856_), + .X(_01871_) + ); + sky130_fd_sc_hd__mux2_2 _18027_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][0] ), + .S(_01852_), + .X(_01872_) + ); + sky130_fd_sc_hd__mux2_2 _18028_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][0] ), + .S(_01852_), + .X(_01873_) + ); + sky130_fd_sc_hd__mux2_2 _18029_ ( + .A0(_01873_), + .A1(_01872_), + .S(_01856_), + .X(_01874_) + ); + sky130_fd_sc_hd__mux2_2 _18030_ ( + .A0(_01874_), + .A1(_01871_), + .S(_01861_), + .X(_01875_) + ); + sky130_fd_sc_hd__mux2_2 _18031_ ( + .A0(_01875_), + .A1(_01865_), + .S(_01868_), + .X(_01876_) + ); + sky130_fd_sc_hd__mux2_2 _18032_ ( + .A0(_01878_), + .A1(_01877_), + .S(_05087_), + .X(_01879_) + ); + sky130_fd_sc_hd__mux2_2 _18033_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][0] ), + .S(_01852_), + .X(_01880_) + ); + sky130_fd_sc_hd__mux2_2 _18034_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][0] ), + .S(_01852_), + .X(_01881_) + ); + sky130_fd_sc_hd__mux2_2 _18035_ ( + .A0(_01881_), + .A1(_01880_), + .S(_01856_), + .X(_01882_) + ); + sky130_fd_sc_hd__mux2_2 _18036_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][0] ), + .S(_01852_), + .X(_01883_) + ); + sky130_fd_sc_hd__mux2_2 _18037_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][0] ), + .S(_01852_), + .X(_01884_) + ); + sky130_fd_sc_hd__mux2_2 _18038_ ( + .A0(_01884_), + .A1(_01883_), + .S(_01856_), + .X(_01885_) + ); + sky130_fd_sc_hd__mux2_2 _18039_ ( + .A0(_01885_), + .A1(_01882_), + .S(_01861_), + .X(_01886_) + ); + sky130_fd_sc_hd__mux2_2 _18040_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][0] ), + .S(_01852_), + .X(_01887_) + ); + sky130_fd_sc_hd__mux2_2 _18041_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][0] ), + .S(_01852_), + .X(_01888_) + ); + sky130_fd_sc_hd__mux2_2 _18042_ ( + .A0(_01888_), + .A1(_01887_), + .S(_01856_), + .X(_01889_) + ); + sky130_fd_sc_hd__mux2_2 _18043_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][0] ), + .S(_01852_), + .X(_01890_) + ); + sky130_fd_sc_hd__mux2_2 _18044_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][0] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][0] ), + .S(_01852_), + .X(_01891_) + ); + sky130_fd_sc_hd__mux2_2 _18045_ ( + .A0(_01891_), + .A1(_01890_), + .S(_01856_), + .X(_01892_) + ); + sky130_fd_sc_hd__mux2_2 _18046_ ( + .A0(_01892_), + .A1(_01889_), + .S(_01861_), + .X(_01893_) + ); + sky130_fd_sc_hd__mux2_2 _18047_ ( + .A0(_01893_), + .A1(_01886_), + .S(_01868_), + .X(_01894_) + ); + sky130_fd_sc_hd__mux2_2 _18048_ ( + .A0(_01894_), + .A1(_01876_), + .S(_01879_), + .X(_00059_) + ); + sky130_fd_sc_hd__mux2_2 _18049_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][1] ), + .S(_01852_), + .X(_01895_) + ); + sky130_fd_sc_hd__mux2_2 _18050_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][1] ), + .S(_01852_), + .X(_01896_) + ); + sky130_fd_sc_hd__mux2_2 _18051_ ( + .A0(_01896_), + .A1(_01895_), + .S(_01856_), + .X(_01897_) + ); + sky130_fd_sc_hd__mux2_2 _18052_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][1] ), + .S(_01852_), + .X(_01898_) + ); + sky130_fd_sc_hd__mux2_2 _18053_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][1] ), + .S(_01852_), + .X(_01899_) + ); + sky130_fd_sc_hd__mux2_2 _18054_ ( + .A0(_01899_), + .A1(_01898_), + .S(_01856_), + .X(_01900_) + ); + sky130_fd_sc_hd__mux2_2 _18055_ ( + .A0(_01900_), + .A1(_01897_), + .S(_01861_), + .X(_01901_) + ); + sky130_fd_sc_hd__mux2_2 _18056_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][1] ), + .S(_01852_), + .X(_01902_) + ); + sky130_fd_sc_hd__mux2_2 _18057_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][1] ), + .S(_01852_), + .X(_01903_) + ); + sky130_fd_sc_hd__mux2_2 _18058_ ( + .A0(_01903_), + .A1(_01902_), + .S(_01856_), + .X(_01904_) + ); + sky130_fd_sc_hd__mux2_2 _18059_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][1] ), + .S(_01852_), + .X(_01905_) + ); + sky130_fd_sc_hd__mux2_2 _18060_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][1] ), + .S(_01852_), + .X(_01906_) + ); + sky130_fd_sc_hd__mux2_2 _18061_ ( + .A0(_01906_), + .A1(_01905_), + .S(_01856_), + .X(_01907_) + ); + sky130_fd_sc_hd__mux2_2 _18062_ ( + .A0(_01907_), + .A1(_01904_), + .S(_01861_), + .X(_01908_) + ); + sky130_fd_sc_hd__mux2_2 _18063_ ( + .A0(_01908_), + .A1(_01901_), + .S(_01868_), + .X(_01909_) + ); + sky130_fd_sc_hd__mux2_2 _18064_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][1] ), + .S(_01852_), + .X(_01910_) + ); + sky130_fd_sc_hd__mux2_2 _18065_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][1] ), + .S(_01852_), + .X(_01911_) + ); + sky130_fd_sc_hd__mux2_2 _18066_ ( + .A0(_01911_), + .A1(_01910_), + .S(_01856_), + .X(_01912_) + ); + sky130_fd_sc_hd__mux2_2 _18067_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][1] ), + .S(_01852_), + .X(_01913_) + ); + sky130_fd_sc_hd__mux2_2 _18068_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][1] ), + .S(_01852_), + .X(_01914_) + ); + sky130_fd_sc_hd__mux2_2 _18069_ ( + .A0(_01914_), + .A1(_01913_), + .S(_01856_), + .X(_01915_) + ); + sky130_fd_sc_hd__mux2_2 _18070_ ( + .A0(_01915_), + .A1(_01912_), + .S(_01861_), + .X(_01916_) + ); + sky130_fd_sc_hd__mux2_2 _18071_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][1] ), + .S(_01852_), + .X(_01917_) + ); + sky130_fd_sc_hd__mux2_2 _18072_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][1] ), + .S(_01852_), + .X(_01918_) + ); + sky130_fd_sc_hd__mux2_2 _18073_ ( + .A0(_01918_), + .A1(_01917_), + .S(_01856_), + .X(_01919_) + ); + sky130_fd_sc_hd__mux2_2 _18074_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][1] ), + .S(_01852_), + .X(_01920_) + ); + sky130_fd_sc_hd__mux2_2 _18075_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][1] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][1] ), + .S(_01852_), + .X(_01921_) + ); + sky130_fd_sc_hd__mux2_2 _18076_ ( + .A0(_01921_), + .A1(_01920_), + .S(_01856_), + .X(_01922_) + ); + sky130_fd_sc_hd__mux2_2 _18077_ ( + .A0(_01922_), + .A1(_01919_), + .S(_01861_), + .X(_01923_) + ); + sky130_fd_sc_hd__mux2_2 _18078_ ( + .A0(_01923_), + .A1(_01916_), + .S(_01868_), + .X(_01924_) + ); + sky130_fd_sc_hd__mux2_2 _18079_ ( + .A0(_01924_), + .A1(_01909_), + .S(_01879_), + .X(_00070_) + ); + sky130_fd_sc_hd__mux2_2 _18080_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][2] ), + .S(_01852_), + .X(_01925_) + ); + sky130_fd_sc_hd__mux2_2 _18081_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][2] ), + .S(_01852_), + .X(_01926_) + ); + sky130_fd_sc_hd__mux2_2 _18082_ ( + .A0(_01926_), + .A1(_01925_), + .S(_01856_), + .X(_01927_) + ); + sky130_fd_sc_hd__mux2_2 _18083_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][2] ), + .S(_01852_), + .X(_01928_) + ); + sky130_fd_sc_hd__mux2_2 _18084_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][2] ), + .S(_01852_), + .X(_01929_) + ); + sky130_fd_sc_hd__mux2_2 _18085_ ( + .A0(_01929_), + .A1(_01928_), + .S(_01856_), + .X(_01930_) + ); + sky130_fd_sc_hd__mux2_2 _18086_ ( + .A0(_01930_), + .A1(_01927_), + .S(_01861_), + .X(_01931_) + ); + sky130_fd_sc_hd__mux2_2 _18087_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][2] ), + .S(_01852_), + .X(_01932_) + ); + sky130_fd_sc_hd__mux2_2 _18088_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][2] ), + .S(_01852_), + .X(_01933_) + ); + sky130_fd_sc_hd__mux2_2 _18089_ ( + .A0(_01933_), + .A1(_01932_), + .S(_01856_), + .X(_01934_) + ); + sky130_fd_sc_hd__mux2_2 _18090_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][2] ), + .S(_01852_), + .X(_01935_) + ); + sky130_fd_sc_hd__mux2_2 _18091_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][2] ), + .S(_01852_), + .X(_01936_) + ); + sky130_fd_sc_hd__mux2_2 _18092_ ( + .A0(_01936_), + .A1(_01935_), + .S(_01856_), + .X(_01937_) + ); + sky130_fd_sc_hd__mux2_2 _18093_ ( + .A0(_01937_), + .A1(_01934_), + .S(_01861_), + .X(_01938_) + ); + sky130_fd_sc_hd__mux2_2 _18094_ ( + .A0(_01938_), + .A1(_01931_), + .S(_01868_), + .X(_01939_) + ); + sky130_fd_sc_hd__mux2_2 _18095_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][2] ), + .S(_01852_), + .X(_01940_) + ); + sky130_fd_sc_hd__mux2_2 _18096_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][2] ), + .S(_01852_), + .X(_01941_) + ); + sky130_fd_sc_hd__mux2_2 _18097_ ( + .A0(_01941_), + .A1(_01940_), + .S(_01856_), + .X(_01942_) + ); + sky130_fd_sc_hd__mux2_2 _18098_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][2] ), + .S(_01852_), + .X(_01943_) + ); + sky130_fd_sc_hd__mux2_2 _18099_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][2] ), + .S(_01852_), + .X(_01944_) + ); + sky130_fd_sc_hd__mux2_2 _18100_ ( + .A0(_01944_), + .A1(_01943_), + .S(_01856_), + .X(_01945_) + ); + sky130_fd_sc_hd__mux2_2 _18101_ ( + .A0(_01945_), + .A1(_01942_), + .S(_01861_), + .X(_01946_) + ); + sky130_fd_sc_hd__mux2_2 _18102_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][2] ), + .S(_01852_), + .X(_01947_) + ); + sky130_fd_sc_hd__mux2_2 _18103_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][2] ), + .S(_01852_), + .X(_01948_) + ); + sky130_fd_sc_hd__mux2_2 _18104_ ( + .A0(_01948_), + .A1(_01947_), + .S(_01856_), + .X(_01949_) + ); + sky130_fd_sc_hd__mux2_2 _18105_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][2] ), + .S(_01852_), + .X(_01950_) + ); + sky130_fd_sc_hd__mux2_2 _18106_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][2] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][2] ), + .S(_01852_), + .X(_01951_) + ); + sky130_fd_sc_hd__mux2_2 _18107_ ( + .A0(_01951_), + .A1(_01950_), + .S(_01856_), + .X(_01952_) + ); + sky130_fd_sc_hd__mux2_2 _18108_ ( + .A0(_01952_), + .A1(_01949_), + .S(_01861_), + .X(_01953_) + ); + sky130_fd_sc_hd__mux2_2 _18109_ ( + .A0(_01953_), + .A1(_01946_), + .S(_01868_), + .X(_01954_) + ); + sky130_fd_sc_hd__mux2_2 _18110_ ( + .A0(_01954_), + .A1(_01939_), + .S(_01879_), + .X(_00081_) + ); + sky130_fd_sc_hd__mux2_2 _18111_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][3] ), + .S(_01852_), + .X(_01955_) + ); + sky130_fd_sc_hd__mux2_2 _18112_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][3] ), + .S(_01852_), + .X(_01956_) + ); + sky130_fd_sc_hd__mux2_2 _18113_ ( + .A0(_01956_), + .A1(_01955_), + .S(_01856_), + .X(_01957_) + ); + sky130_fd_sc_hd__mux2_2 _18114_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][3] ), + .S(_01852_), + .X(_01958_) + ); + sky130_fd_sc_hd__mux2_2 _18115_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][3] ), + .S(_01852_), + .X(_01959_) + ); + sky130_fd_sc_hd__mux2_2 _18116_ ( + .A0(_01959_), + .A1(_01958_), + .S(_01856_), + .X(_01960_) + ); + sky130_fd_sc_hd__mux2_2 _18117_ ( + .A0(_01960_), + .A1(_01957_), + .S(_01861_), + .X(_01961_) + ); + sky130_fd_sc_hd__mux2_2 _18118_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][3] ), + .S(_01852_), + .X(_01962_) + ); + sky130_fd_sc_hd__mux2_2 _18119_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][3] ), + .S(_01852_), + .X(_01963_) + ); + sky130_fd_sc_hd__mux2_2 _18120_ ( + .A0(_01963_), + .A1(_01962_), + .S(_01856_), + .X(_01964_) + ); + sky130_fd_sc_hd__mux2_2 _18121_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][3] ), + .S(_01852_), + .X(_01965_) + ); + sky130_fd_sc_hd__mux2_2 _18122_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][3] ), + .S(_01852_), + .X(_01966_) + ); + sky130_fd_sc_hd__mux2_2 _18123_ ( + .A0(_01966_), + .A1(_01965_), + .S(_01856_), + .X(_01967_) + ); + sky130_fd_sc_hd__mux2_2 _18124_ ( + .A0(_01967_), + .A1(_01964_), + .S(_01861_), + .X(_01968_) + ); + sky130_fd_sc_hd__mux2_2 _18125_ ( + .A0(_01968_), + .A1(_01961_), + .S(_01868_), + .X(_01969_) + ); + sky130_fd_sc_hd__mux2_2 _18126_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][3] ), + .S(_01852_), + .X(_01970_) + ); + sky130_fd_sc_hd__mux2_2 _18127_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][3] ), + .S(_01852_), + .X(_01971_) + ); + sky130_fd_sc_hd__mux2_2 _18128_ ( + .A0(_01971_), + .A1(_01970_), + .S(_01856_), + .X(_01972_) + ); + sky130_fd_sc_hd__mux2_2 _18129_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][3] ), + .S(_01852_), + .X(_01973_) + ); + sky130_fd_sc_hd__mux2_2 _18130_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][3] ), + .S(_01852_), + .X(_01974_) + ); + sky130_fd_sc_hd__mux2_2 _18131_ ( + .A0(_01974_), + .A1(_01973_), + .S(_01856_), + .X(_01975_) + ); + sky130_fd_sc_hd__mux2_2 _18132_ ( + .A0(_01975_), + .A1(_01972_), + .S(_01861_), + .X(_01976_) + ); + sky130_fd_sc_hd__mux2_2 _18133_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][3] ), + .S(_01852_), + .X(_01977_) + ); + sky130_fd_sc_hd__mux2_2 _18134_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][3] ), + .S(_01852_), + .X(_01978_) + ); + sky130_fd_sc_hd__mux2_2 _18135_ ( + .A0(_01978_), + .A1(_01977_), + .S(_01856_), + .X(_01979_) + ); + sky130_fd_sc_hd__mux2_2 _18136_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][3] ), + .S(_01852_), + .X(_01980_) + ); + sky130_fd_sc_hd__mux2_2 _18137_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][3] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][3] ), + .S(_01852_), + .X(_01981_) + ); + sky130_fd_sc_hd__mux2_2 _18138_ ( + .A0(_01981_), + .A1(_01980_), + .S(_01856_), + .X(_01982_) + ); + sky130_fd_sc_hd__mux2_2 _18139_ ( + .A0(_01982_), + .A1(_01979_), + .S(_01861_), + .X(_01983_) + ); + sky130_fd_sc_hd__mux2_2 _18140_ ( + .A0(_01983_), + .A1(_01976_), + .S(_01868_), + .X(_01984_) + ); + sky130_fd_sc_hd__mux2_2 _18141_ ( + .A0(_01984_), + .A1(_01969_), + .S(_01879_), + .X(_00084_) + ); + sky130_fd_sc_hd__mux2_2 _18142_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][4] ), + .S(_01852_), + .X(_01985_) + ); + sky130_fd_sc_hd__mux2_2 _18143_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][4] ), + .S(_01852_), + .X(_01986_) + ); + sky130_fd_sc_hd__mux2_2 _18144_ ( + .A0(_01986_), + .A1(_01985_), + .S(_01856_), + .X(_01987_) + ); + sky130_fd_sc_hd__mux2_2 _18145_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][4] ), + .S(_01852_), + .X(_01988_) + ); + sky130_fd_sc_hd__mux2_2 _18146_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][4] ), + .S(_01852_), + .X(_01989_) + ); + sky130_fd_sc_hd__mux2_2 _18147_ ( + .A0(_01989_), + .A1(_01988_), + .S(_01856_), + .X(_01990_) + ); + sky130_fd_sc_hd__mux2_2 _18148_ ( + .A0(_01990_), + .A1(_01987_), + .S(_01861_), + .X(_01991_) + ); + sky130_fd_sc_hd__mux2_2 _18149_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][4] ), + .S(_01852_), + .X(_01992_) + ); + sky130_fd_sc_hd__mux2_2 _18150_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][4] ), + .S(_01852_), + .X(_01993_) + ); + sky130_fd_sc_hd__mux2_2 _18151_ ( + .A0(_01993_), + .A1(_01992_), + .S(_01856_), + .X(_01994_) + ); + sky130_fd_sc_hd__mux2_2 _18152_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][4] ), + .S(_01852_), + .X(_01995_) + ); + sky130_fd_sc_hd__mux2_2 _18153_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][4] ), + .S(_01852_), + .X(_01996_) + ); + sky130_fd_sc_hd__mux2_2 _18154_ ( + .A0(_01996_), + .A1(_01995_), + .S(_01856_), + .X(_01997_) + ); + sky130_fd_sc_hd__mux2_2 _18155_ ( + .A0(_01997_), + .A1(_01994_), + .S(_01861_), + .X(_01998_) + ); + sky130_fd_sc_hd__mux2_2 _18156_ ( + .A0(_01998_), + .A1(_01991_), + .S(_01868_), + .X(_01999_) + ); + sky130_fd_sc_hd__mux2_2 _18157_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][4] ), + .S(_01852_), + .X(_02000_) + ); + sky130_fd_sc_hd__mux2_2 _18158_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][4] ), + .S(_01852_), + .X(_02001_) + ); + sky130_fd_sc_hd__mux2_2 _18159_ ( + .A0(_02001_), + .A1(_02000_), + .S(_01856_), + .X(_02002_) + ); + sky130_fd_sc_hd__mux2_2 _18160_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][4] ), + .S(_01852_), + .X(_02003_) + ); + sky130_fd_sc_hd__mux2_2 _18161_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][4] ), + .S(_01852_), + .X(_02004_) + ); + sky130_fd_sc_hd__mux2_2 _18162_ ( + .A0(_02004_), + .A1(_02003_), + .S(_01856_), + .X(_02005_) + ); + sky130_fd_sc_hd__mux2_2 _18163_ ( + .A0(_02005_), + .A1(_02002_), + .S(_01861_), + .X(_02006_) + ); + sky130_fd_sc_hd__mux2_2 _18164_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][4] ), + .S(_01852_), + .X(_02007_) + ); + sky130_fd_sc_hd__mux2_2 _18165_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][4] ), + .S(_01852_), + .X(_02008_) + ); + sky130_fd_sc_hd__mux2_2 _18166_ ( + .A0(_02008_), + .A1(_02007_), + .S(_01856_), + .X(_02009_) + ); + sky130_fd_sc_hd__mux2_2 _18167_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][4] ), + .S(_01852_), + .X(_02010_) + ); + sky130_fd_sc_hd__mux2_2 _18168_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][4] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][4] ), + .S(_01852_), + .X(_02011_) + ); + sky130_fd_sc_hd__mux2_2 _18169_ ( + .A0(_02011_), + .A1(_02010_), + .S(_01856_), + .X(_02012_) + ); + sky130_fd_sc_hd__mux2_2 _18170_ ( + .A0(_02012_), + .A1(_02009_), + .S(_01861_), + .X(_02013_) + ); + sky130_fd_sc_hd__mux2_2 _18171_ ( + .A0(_02013_), + .A1(_02006_), + .S(_01868_), + .X(_02014_) + ); + sky130_fd_sc_hd__mux2_2 _18172_ ( + .A0(_02014_), + .A1(_01999_), + .S(_01879_), + .X(_00085_) + ); + sky130_fd_sc_hd__mux2_2 _18173_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][5] ), + .S(_01852_), + .X(_02015_) + ); + sky130_fd_sc_hd__mux2_2 _18174_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][5] ), + .S(_01852_), + .X(_02016_) + ); + sky130_fd_sc_hd__mux2_2 _18175_ ( + .A0(_02016_), + .A1(_02015_), + .S(_01856_), + .X(_02017_) + ); + sky130_fd_sc_hd__mux2_2 _18176_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][5] ), + .S(_01852_), + .X(_02018_) + ); + sky130_fd_sc_hd__mux2_2 _18177_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][5] ), + .S(_01852_), + .X(_02019_) + ); + sky130_fd_sc_hd__mux2_2 _18178_ ( + .A0(_02019_), + .A1(_02018_), + .S(_01856_), + .X(_02020_) + ); + sky130_fd_sc_hd__mux2_2 _18179_ ( + .A0(_02020_), + .A1(_02017_), + .S(_01861_), + .X(_02021_) + ); + sky130_fd_sc_hd__mux2_2 _18180_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][5] ), + .S(_01852_), + .X(_02022_) + ); + sky130_fd_sc_hd__mux2_2 _18181_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][5] ), + .S(_01852_), + .X(_02023_) + ); + sky130_fd_sc_hd__mux2_2 _18182_ ( + .A0(_02023_), + .A1(_02022_), + .S(_01856_), + .X(_02024_) + ); + sky130_fd_sc_hd__mux2_2 _18183_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][5] ), + .S(_01852_), + .X(_02025_) + ); + sky130_fd_sc_hd__mux2_2 _18184_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][5] ), + .S(_01852_), + .X(_02026_) + ); + sky130_fd_sc_hd__mux2_2 _18185_ ( + .A0(_02026_), + .A1(_02025_), + .S(_01856_), + .X(_02027_) + ); + sky130_fd_sc_hd__mux2_2 _18186_ ( + .A0(_02027_), + .A1(_02024_), + .S(_01861_), + .X(_02028_) + ); + sky130_fd_sc_hd__mux2_2 _18187_ ( + .A0(_02028_), + .A1(_02021_), + .S(_01868_), + .X(_02029_) + ); + sky130_fd_sc_hd__mux2_2 _18188_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][5] ), + .S(_01852_), + .X(_02030_) + ); + sky130_fd_sc_hd__mux2_2 _18189_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][5] ), + .S(_01852_), + .X(_02031_) + ); + sky130_fd_sc_hd__mux2_2 _18190_ ( + .A0(_02031_), + .A1(_02030_), + .S(_01856_), + .X(_02032_) + ); + sky130_fd_sc_hd__mux2_2 _18191_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][5] ), + .S(_01852_), + .X(_02033_) + ); + sky130_fd_sc_hd__mux2_2 _18192_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][5] ), + .S(_01852_), + .X(_02034_) + ); + sky130_fd_sc_hd__mux2_2 _18193_ ( + .A0(_02034_), + .A1(_02033_), + .S(_01856_), + .X(_02035_) + ); + sky130_fd_sc_hd__mux2_2 _18194_ ( + .A0(_02035_), + .A1(_02032_), + .S(_01861_), + .X(_02036_) + ); + sky130_fd_sc_hd__mux2_2 _18195_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][5] ), + .S(_01852_), + .X(_02037_) + ); + sky130_fd_sc_hd__mux2_2 _18196_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][5] ), + .S(_01852_), + .X(_02038_) + ); + sky130_fd_sc_hd__mux2_2 _18197_ ( + .A0(_02038_), + .A1(_02037_), + .S(_01856_), + .X(_02039_) + ); + sky130_fd_sc_hd__mux2_2 _18198_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][5] ), + .S(_01852_), + .X(_02040_) + ); + sky130_fd_sc_hd__mux2_2 _18199_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][5] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][5] ), + .S(_01852_), + .X(_02041_) + ); + sky130_fd_sc_hd__mux2_2 _18200_ ( + .A0(_02041_), + .A1(_02040_), + .S(_01856_), + .X(_02042_) + ); + sky130_fd_sc_hd__mux2_2 _18201_ ( + .A0(_02042_), + .A1(_02039_), + .S(_01861_), + .X(_02043_) + ); + sky130_fd_sc_hd__mux2_2 _18202_ ( + .A0(_02043_), + .A1(_02036_), + .S(_01868_), + .X(_02044_) + ); + sky130_fd_sc_hd__mux2_2 _18203_ ( + .A0(_02044_), + .A1(_02029_), + .S(_01879_), + .X(_00086_) + ); + sky130_fd_sc_hd__mux2_2 _18204_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][6] ), + .S(_01852_), + .X(_02045_) + ); + sky130_fd_sc_hd__mux2_2 _18205_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][6] ), + .S(_01852_), + .X(_02046_) + ); + sky130_fd_sc_hd__mux2_2 _18206_ ( + .A0(_02046_), + .A1(_02045_), + .S(_01856_), + .X(_02047_) + ); + sky130_fd_sc_hd__mux2_2 _18207_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][6] ), + .S(_01852_), + .X(_02048_) + ); + sky130_fd_sc_hd__mux2_2 _18208_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][6] ), + .S(_01852_), + .X(_02049_) + ); + sky130_fd_sc_hd__mux2_2 _18209_ ( + .A0(_02049_), + .A1(_02048_), + .S(_01856_), + .X(_02050_) + ); + sky130_fd_sc_hd__mux2_2 _18210_ ( + .A0(_02050_), + .A1(_02047_), + .S(_01861_), + .X(_02051_) + ); + sky130_fd_sc_hd__mux2_2 _18211_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][6] ), + .S(_01852_), + .X(_02052_) + ); + sky130_fd_sc_hd__mux2_2 _18212_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][6] ), + .S(_01852_), + .X(_02053_) + ); + sky130_fd_sc_hd__mux2_2 _18213_ ( + .A0(_02053_), + .A1(_02052_), + .S(_01856_), + .X(_02054_) + ); + sky130_fd_sc_hd__mux2_2 _18214_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][6] ), + .S(_01852_), + .X(_02055_) + ); + sky130_fd_sc_hd__mux2_2 _18215_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][6] ), + .S(_01852_), + .X(_02056_) + ); + sky130_fd_sc_hd__mux2_2 _18216_ ( + .A0(_02056_), + .A1(_02055_), + .S(_01856_), + .X(_02057_) + ); + sky130_fd_sc_hd__mux2_2 _18217_ ( + .A0(_02057_), + .A1(_02054_), + .S(_01861_), + .X(_02058_) + ); + sky130_fd_sc_hd__mux2_2 _18218_ ( + .A0(_02058_), + .A1(_02051_), + .S(_01868_), + .X(_02059_) + ); + sky130_fd_sc_hd__mux2_2 _18219_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][6] ), + .S(_01852_), + .X(_02060_) + ); + sky130_fd_sc_hd__mux2_2 _18220_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][6] ), + .S(_01852_), + .X(_02061_) + ); + sky130_fd_sc_hd__mux2_2 _18221_ ( + .A0(_02061_), + .A1(_02060_), + .S(_01856_), + .X(_02062_) + ); + sky130_fd_sc_hd__mux2_2 _18222_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][6] ), + .S(_01852_), + .X(_02063_) + ); + sky130_fd_sc_hd__mux2_2 _18223_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][6] ), + .S(_01852_), + .X(_02064_) + ); + sky130_fd_sc_hd__mux2_2 _18224_ ( + .A0(_02064_), + .A1(_02063_), + .S(_01856_), + .X(_02065_) + ); + sky130_fd_sc_hd__mux2_2 _18225_ ( + .A0(_02065_), + .A1(_02062_), + .S(_01861_), + .X(_02066_) + ); + sky130_fd_sc_hd__mux2_2 _18226_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][6] ), + .S(_01852_), + .X(_02067_) + ); + sky130_fd_sc_hd__mux2_2 _18227_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][6] ), + .S(_01852_), + .X(_02068_) + ); + sky130_fd_sc_hd__mux2_2 _18228_ ( + .A0(_02068_), + .A1(_02067_), + .S(_01856_), + .X(_02069_) + ); + sky130_fd_sc_hd__mux2_2 _18229_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][6] ), + .S(_01852_), + .X(_02070_) + ); + sky130_fd_sc_hd__mux2_2 _18230_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][6] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][6] ), + .S(_01852_), + .X(_02071_) + ); + sky130_fd_sc_hd__mux2_2 _18231_ ( + .A0(_02071_), + .A1(_02070_), + .S(_01856_), + .X(_02072_) + ); + sky130_fd_sc_hd__mux2_2 _18232_ ( + .A0(_02072_), + .A1(_02069_), + .S(_01861_), + .X(_02073_) + ); + sky130_fd_sc_hd__mux2_2 _18233_ ( + .A0(_02073_), + .A1(_02066_), + .S(_01868_), + .X(_02074_) + ); + sky130_fd_sc_hd__mux2_2 _18234_ ( + .A0(_02074_), + .A1(_02059_), + .S(_01879_), + .X(_00087_) + ); + sky130_fd_sc_hd__mux2_2 _18235_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][7] ), + .S(_01852_), + .X(_02075_) + ); + sky130_fd_sc_hd__mux2_2 _18236_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][7] ), + .S(_01852_), + .X(_02076_) + ); + sky130_fd_sc_hd__mux2_2 _18237_ ( + .A0(_02076_), + .A1(_02075_), + .S(_01856_), + .X(_02077_) + ); + sky130_fd_sc_hd__mux2_2 _18238_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][7] ), + .S(_01852_), + .X(_02078_) + ); + sky130_fd_sc_hd__mux2_2 _18239_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][7] ), + .S(_01852_), + .X(_02079_) + ); + sky130_fd_sc_hd__mux2_2 _18240_ ( + .A0(_02079_), + .A1(_02078_), + .S(_01856_), + .X(_02080_) + ); + sky130_fd_sc_hd__mux2_2 _18241_ ( + .A0(_02080_), + .A1(_02077_), + .S(_01861_), + .X(_02081_) + ); + sky130_fd_sc_hd__mux2_2 _18242_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][7] ), + .S(_01852_), + .X(_02082_) + ); + sky130_fd_sc_hd__mux2_2 _18243_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][7] ), + .S(_01852_), + .X(_02083_) + ); + sky130_fd_sc_hd__mux2_2 _18244_ ( + .A0(_02083_), + .A1(_02082_), + .S(_01856_), + .X(_02084_) + ); + sky130_fd_sc_hd__mux2_2 _18245_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][7] ), + .S(_01852_), + .X(_02085_) + ); + sky130_fd_sc_hd__mux2_2 _18246_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][7] ), + .S(_01852_), + .X(_02086_) + ); + sky130_fd_sc_hd__mux2_2 _18247_ ( + .A0(_02086_), + .A1(_02085_), + .S(_01856_), + .X(_02087_) + ); + sky130_fd_sc_hd__mux2_2 _18248_ ( + .A0(_02087_), + .A1(_02084_), + .S(_01861_), + .X(_02088_) + ); + sky130_fd_sc_hd__mux2_2 _18249_ ( + .A0(_02088_), + .A1(_02081_), + .S(_01868_), + .X(_02089_) + ); + sky130_fd_sc_hd__mux2_2 _18250_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][7] ), + .S(_01852_), + .X(_02090_) + ); + sky130_fd_sc_hd__mux2_2 _18251_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][7] ), + .S(_01852_), + .X(_02091_) + ); + sky130_fd_sc_hd__mux2_2 _18252_ ( + .A0(_02091_), + .A1(_02090_), + .S(_01856_), + .X(_02092_) + ); + sky130_fd_sc_hd__mux2_2 _18253_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][7] ), + .S(_01852_), + .X(_02093_) + ); + sky130_fd_sc_hd__mux2_2 _18254_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][7] ), + .S(_01852_), + .X(_02094_) + ); + sky130_fd_sc_hd__mux2_2 _18255_ ( + .A0(_02094_), + .A1(_02093_), + .S(_01856_), + .X(_02095_) + ); + sky130_fd_sc_hd__mux2_2 _18256_ ( + .A0(_02095_), + .A1(_02092_), + .S(_01861_), + .X(_02096_) + ); + sky130_fd_sc_hd__mux2_2 _18257_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][7] ), + .S(_01852_), + .X(_02097_) + ); + sky130_fd_sc_hd__mux2_2 _18258_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][7] ), + .S(_01852_), + .X(_02098_) + ); + sky130_fd_sc_hd__mux2_2 _18259_ ( + .A0(_02098_), + .A1(_02097_), + .S(_01856_), + .X(_02099_) + ); + sky130_fd_sc_hd__mux2_2 _18260_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][7] ), + .S(_01852_), + .X(_02100_) + ); + sky130_fd_sc_hd__mux2_2 _18261_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][7] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][7] ), + .S(_01852_), + .X(_02101_) + ); + sky130_fd_sc_hd__mux2_2 _18262_ ( + .A0(_02101_), + .A1(_02100_), + .S(_01856_), + .X(_02102_) + ); + sky130_fd_sc_hd__mux2_2 _18263_ ( + .A0(_02102_), + .A1(_02099_), + .S(_01861_), + .X(_02103_) + ); + sky130_fd_sc_hd__mux2_2 _18264_ ( + .A0(_02103_), + .A1(_02096_), + .S(_01868_), + .X(_02104_) + ); + sky130_fd_sc_hd__mux2_2 _18265_ ( + .A0(_02104_), + .A1(_02089_), + .S(_01879_), + .X(_00088_) + ); + sky130_fd_sc_hd__mux2_2 _18266_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][8] ), + .S(_01852_), + .X(_02105_) + ); + sky130_fd_sc_hd__mux2_2 _18267_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][8] ), + .S(_01852_), + .X(_02106_) + ); + sky130_fd_sc_hd__mux2_2 _18268_ ( + .A0(_02106_), + .A1(_02105_), + .S(_01856_), + .X(_02107_) + ); + sky130_fd_sc_hd__mux2_2 _18269_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][8] ), + .S(_01852_), + .X(_02108_) + ); + sky130_fd_sc_hd__mux2_2 _18270_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][8] ), + .S(_01852_), + .X(_02109_) + ); + sky130_fd_sc_hd__mux2_2 _18271_ ( + .A0(_02109_), + .A1(_02108_), + .S(_01856_), + .X(_02110_) + ); + sky130_fd_sc_hd__mux2_2 _18272_ ( + .A0(_02110_), + .A1(_02107_), + .S(_01861_), + .X(_02111_) + ); + sky130_fd_sc_hd__mux2_2 _18273_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][8] ), + .S(_01852_), + .X(_02112_) + ); + sky130_fd_sc_hd__mux2_2 _18274_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][8] ), + .S(_01852_), + .X(_02113_) + ); + sky130_fd_sc_hd__mux2_2 _18275_ ( + .A0(_02113_), + .A1(_02112_), + .S(_01856_), + .X(_02114_) + ); + sky130_fd_sc_hd__mux2_2 _18276_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][8] ), + .S(_01852_), + .X(_02115_) + ); + sky130_fd_sc_hd__mux2_2 _18277_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][8] ), + .S(_01852_), + .X(_02116_) + ); + sky130_fd_sc_hd__mux2_2 _18278_ ( + .A0(_02116_), + .A1(_02115_), + .S(_01856_), + .X(_02117_) + ); + sky130_fd_sc_hd__mux2_2 _18279_ ( + .A0(_02117_), + .A1(_02114_), + .S(_01861_), + .X(_02118_) + ); + sky130_fd_sc_hd__mux2_2 _18280_ ( + .A0(_02118_), + .A1(_02111_), + .S(_01868_), + .X(_02119_) + ); + sky130_fd_sc_hd__mux2_2 _18281_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][8] ), + .S(_01852_), + .X(_02120_) + ); + sky130_fd_sc_hd__mux2_2 _18282_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][8] ), + .S(_01852_), + .X(_02121_) + ); + sky130_fd_sc_hd__mux2_2 _18283_ ( + .A0(_02121_), + .A1(_02120_), + .S(_01856_), + .X(_02122_) + ); + sky130_fd_sc_hd__mux2_2 _18284_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][8] ), + .S(_01852_), + .X(_02123_) + ); + sky130_fd_sc_hd__mux2_2 _18285_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][8] ), + .S(_01852_), + .X(_02124_) + ); + sky130_fd_sc_hd__mux2_2 _18286_ ( + .A0(_02124_), + .A1(_02123_), + .S(_01856_), + .X(_02125_) + ); + sky130_fd_sc_hd__mux2_2 _18287_ ( + .A0(_02125_), + .A1(_02122_), + .S(_01861_), + .X(_02126_) + ); + sky130_fd_sc_hd__mux2_2 _18288_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][8] ), + .S(_01852_), + .X(_02127_) + ); + sky130_fd_sc_hd__mux2_2 _18289_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][8] ), + .S(_01852_), + .X(_02128_) + ); + sky130_fd_sc_hd__mux2_2 _18290_ ( + .A0(_02128_), + .A1(_02127_), + .S(_01856_), + .X(_02129_) + ); + sky130_fd_sc_hd__mux2_2 _18291_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][8] ), + .S(_01852_), + .X(_02130_) + ); + sky130_fd_sc_hd__mux2_2 _18292_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][8] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][8] ), + .S(_01852_), + .X(_02131_) + ); + sky130_fd_sc_hd__mux2_2 _18293_ ( + .A0(_02131_), + .A1(_02130_), + .S(_01856_), + .X(_02132_) + ); + sky130_fd_sc_hd__mux2_2 _18294_ ( + .A0(_02132_), + .A1(_02129_), + .S(_01861_), + .X(_02133_) + ); + sky130_fd_sc_hd__mux2_2 _18295_ ( + .A0(_02133_), + .A1(_02126_), + .S(_01868_), + .X(_02134_) + ); + sky130_fd_sc_hd__mux2_2 _18296_ ( + .A0(_02134_), + .A1(_02119_), + .S(_01879_), + .X(_00089_) + ); + sky130_fd_sc_hd__mux2_2 _18297_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][9] ), + .S(_01852_), + .X(_02135_) + ); + sky130_fd_sc_hd__mux2_2 _18298_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][9] ), + .S(_01852_), + .X(_02136_) + ); + sky130_fd_sc_hd__mux2_2 _18299_ ( + .A0(_02136_), + .A1(_02135_), + .S(_01856_), + .X(_02137_) + ); + sky130_fd_sc_hd__mux2_2 _18300_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][9] ), + .S(_01852_), + .X(_02138_) + ); + sky130_fd_sc_hd__mux2_2 _18301_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][9] ), + .S(_01852_), + .X(_02139_) + ); + sky130_fd_sc_hd__mux2_2 _18302_ ( + .A0(_02139_), + .A1(_02138_), + .S(_01856_), + .X(_02140_) + ); + sky130_fd_sc_hd__mux2_2 _18303_ ( + .A0(_02140_), + .A1(_02137_), + .S(_01861_), + .X(_02141_) + ); + sky130_fd_sc_hd__mux2_2 _18304_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][9] ), + .S(_01852_), + .X(_02142_) + ); + sky130_fd_sc_hd__mux2_2 _18305_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][9] ), + .S(_01852_), + .X(_02143_) + ); + sky130_fd_sc_hd__mux2_2 _18306_ ( + .A0(_02143_), + .A1(_02142_), + .S(_01856_), + .X(_02144_) + ); + sky130_fd_sc_hd__mux2_2 _18307_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][9] ), + .S(_01852_), + .X(_02145_) + ); + sky130_fd_sc_hd__mux2_2 _18308_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][9] ), + .S(_01852_), + .X(_02146_) + ); + sky130_fd_sc_hd__mux2_2 _18309_ ( + .A0(_02146_), + .A1(_02145_), + .S(_01856_), + .X(_02147_) + ); + sky130_fd_sc_hd__mux2_2 _18310_ ( + .A0(_02147_), + .A1(_02144_), + .S(_01861_), + .X(_02148_) + ); + sky130_fd_sc_hd__mux2_2 _18311_ ( + .A0(_02148_), + .A1(_02141_), + .S(_01868_), + .X(_02149_) + ); + sky130_fd_sc_hd__mux2_2 _18312_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][9] ), + .S(_01852_), + .X(_02150_) + ); + sky130_fd_sc_hd__mux2_2 _18313_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][9] ), + .S(_01852_), + .X(_02151_) + ); + sky130_fd_sc_hd__mux2_2 _18314_ ( + .A0(_02151_), + .A1(_02150_), + .S(_01856_), + .X(_02152_) + ); + sky130_fd_sc_hd__mux2_2 _18315_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][9] ), + .S(_01852_), + .X(_02153_) + ); + sky130_fd_sc_hd__mux2_2 _18316_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][9] ), + .S(_01852_), + .X(_02154_) + ); + sky130_fd_sc_hd__mux2_2 _18317_ ( + .A0(_02154_), + .A1(_02153_), + .S(_01856_), + .X(_02155_) + ); + sky130_fd_sc_hd__mux2_2 _18318_ ( + .A0(_02155_), + .A1(_02152_), + .S(_01861_), + .X(_02156_) + ); + sky130_fd_sc_hd__mux2_2 _18319_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][9] ), + .S(_01852_), + .X(_02157_) + ); + sky130_fd_sc_hd__mux2_2 _18320_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][9] ), + .S(_01852_), + .X(_02158_) + ); + sky130_fd_sc_hd__mux2_2 _18321_ ( + .A0(_02158_), + .A1(_02157_), + .S(_01856_), + .X(_02159_) + ); + sky130_fd_sc_hd__mux2_2 _18322_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][9] ), + .S(_01852_), + .X(_02160_) + ); + sky130_fd_sc_hd__mux2_2 _18323_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][9] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][9] ), + .S(_01852_), + .X(_02161_) + ); + sky130_fd_sc_hd__mux2_2 _18324_ ( + .A0(_02161_), + .A1(_02160_), + .S(_01856_), + .X(_02162_) + ); + sky130_fd_sc_hd__mux2_2 _18325_ ( + .A0(_02162_), + .A1(_02159_), + .S(_01861_), + .X(_02163_) + ); + sky130_fd_sc_hd__mux2_2 _18326_ ( + .A0(_02163_), + .A1(_02156_), + .S(_01868_), + .X(_02164_) + ); + sky130_fd_sc_hd__mux2_2 _18327_ ( + .A0(_02164_), + .A1(_02149_), + .S(_01879_), + .X(_00090_) + ); + sky130_fd_sc_hd__mux2_2 _18328_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][10] ), + .S(_01852_), + .X(_02165_) + ); + sky130_fd_sc_hd__mux2_2 _18329_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][10] ), + .S(_01852_), + .X(_02166_) + ); + sky130_fd_sc_hd__mux2_2 _18330_ ( + .A0(_02166_), + .A1(_02165_), + .S(_01856_), + .X(_02167_) + ); + sky130_fd_sc_hd__mux2_2 _18331_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][10] ), + .S(_01852_), + .X(_02168_) + ); + sky130_fd_sc_hd__mux2_2 _18332_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][10] ), + .S(_01852_), + .X(_02169_) + ); + sky130_fd_sc_hd__mux2_2 _18333_ ( + .A0(_02169_), + .A1(_02168_), + .S(_01856_), + .X(_02170_) + ); + sky130_fd_sc_hd__mux2_2 _18334_ ( + .A0(_02170_), + .A1(_02167_), + .S(_01861_), + .X(_02171_) + ); + sky130_fd_sc_hd__mux2_2 _18335_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][10] ), + .S(_01852_), + .X(_02172_) + ); + sky130_fd_sc_hd__mux2_2 _18336_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][10] ), + .S(_01852_), + .X(_02173_) + ); + sky130_fd_sc_hd__mux2_2 _18337_ ( + .A0(_02173_), + .A1(_02172_), + .S(_01856_), + .X(_02174_) + ); + sky130_fd_sc_hd__mux2_2 _18338_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][10] ), + .S(_01852_), + .X(_02175_) + ); + sky130_fd_sc_hd__mux2_2 _18339_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][10] ), + .S(_01852_), + .X(_02176_) + ); + sky130_fd_sc_hd__mux2_2 _18340_ ( + .A0(_02176_), + .A1(_02175_), + .S(_01856_), + .X(_02177_) + ); + sky130_fd_sc_hd__mux2_2 _18341_ ( + .A0(_02177_), + .A1(_02174_), + .S(_01861_), + .X(_02178_) + ); + sky130_fd_sc_hd__mux2_2 _18342_ ( + .A0(_02178_), + .A1(_02171_), + .S(_01868_), + .X(_02179_) + ); + sky130_fd_sc_hd__mux2_2 _18343_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][10] ), + .S(_01852_), + .X(_02180_) + ); + sky130_fd_sc_hd__mux2_2 _18344_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][10] ), + .S(_01852_), + .X(_02181_) + ); + sky130_fd_sc_hd__mux2_2 _18345_ ( + .A0(_02181_), + .A1(_02180_), + .S(_01856_), + .X(_02182_) + ); + sky130_fd_sc_hd__mux2_2 _18346_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][10] ), + .S(_01852_), + .X(_02183_) + ); + sky130_fd_sc_hd__mux2_2 _18347_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][10] ), + .S(_01852_), + .X(_02184_) + ); + sky130_fd_sc_hd__mux2_2 _18348_ ( + .A0(_02184_), + .A1(_02183_), + .S(_01856_), + .X(_02185_) + ); + sky130_fd_sc_hd__mux2_2 _18349_ ( + .A0(_02185_), + .A1(_02182_), + .S(_01861_), + .X(_02186_) + ); + sky130_fd_sc_hd__mux2_2 _18350_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][10] ), + .S(_01852_), + .X(_02187_) + ); + sky130_fd_sc_hd__mux2_2 _18351_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][10] ), + .S(_01852_), + .X(_02188_) + ); + sky130_fd_sc_hd__mux2_2 _18352_ ( + .A0(_02188_), + .A1(_02187_), + .S(_01856_), + .X(_02189_) + ); + sky130_fd_sc_hd__mux2_2 _18353_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][10] ), + .S(_01852_), + .X(_02190_) + ); + sky130_fd_sc_hd__mux2_2 _18354_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][10] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][10] ), + .S(_01852_), + .X(_02191_) + ); + sky130_fd_sc_hd__mux2_2 _18355_ ( + .A0(_02191_), + .A1(_02190_), + .S(_01856_), + .X(_02192_) + ); + sky130_fd_sc_hd__mux2_2 _18356_ ( + .A0(_02192_), + .A1(_02189_), + .S(_01861_), + .X(_02193_) + ); + sky130_fd_sc_hd__mux2_2 _18357_ ( + .A0(_02193_), + .A1(_02186_), + .S(_01868_), + .X(_02194_) + ); + sky130_fd_sc_hd__mux2_2 _18358_ ( + .A0(_02194_), + .A1(_02179_), + .S(_01879_), + .X(_00060_) + ); + sky130_fd_sc_hd__mux2_2 _18359_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][11] ), + .S(_01852_), + .X(_02195_) + ); + sky130_fd_sc_hd__mux2_2 _18360_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][11] ), + .S(_01852_), + .X(_02196_) + ); + sky130_fd_sc_hd__mux2_2 _18361_ ( + .A0(_02196_), + .A1(_02195_), + .S(_01856_), + .X(_02197_) + ); + sky130_fd_sc_hd__mux2_2 _18362_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][11] ), + .S(_01852_), + .X(_02198_) + ); + sky130_fd_sc_hd__mux2_2 _18363_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][11] ), + .S(_01852_), + .X(_02199_) + ); + sky130_fd_sc_hd__mux2_2 _18364_ ( + .A0(_02199_), + .A1(_02198_), + .S(_01856_), + .X(_02200_) + ); + sky130_fd_sc_hd__mux2_2 _18365_ ( + .A0(_02200_), + .A1(_02197_), + .S(_01861_), + .X(_02201_) + ); + sky130_fd_sc_hd__mux2_2 _18366_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][11] ), + .S(_01852_), + .X(_02202_) + ); + sky130_fd_sc_hd__mux2_2 _18367_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][11] ), + .S(_01852_), + .X(_02203_) + ); + sky130_fd_sc_hd__mux2_2 _18368_ ( + .A0(_02203_), + .A1(_02202_), + .S(_01856_), + .X(_02204_) + ); + sky130_fd_sc_hd__mux2_2 _18369_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][11] ), + .S(_01852_), + .X(_02205_) + ); + sky130_fd_sc_hd__mux2_2 _18370_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][11] ), + .S(_01852_), + .X(_02206_) + ); + sky130_fd_sc_hd__mux2_2 _18371_ ( + .A0(_02206_), + .A1(_02205_), + .S(_01856_), + .X(_02207_) + ); + sky130_fd_sc_hd__mux2_2 _18372_ ( + .A0(_02207_), + .A1(_02204_), + .S(_01861_), + .X(_02208_) + ); + sky130_fd_sc_hd__mux2_2 _18373_ ( + .A0(_02208_), + .A1(_02201_), + .S(_01868_), + .X(_02209_) + ); + sky130_fd_sc_hd__mux2_2 _18374_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][11] ), + .S(_01852_), + .X(_02210_) + ); + sky130_fd_sc_hd__mux2_2 _18375_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][11] ), + .S(_01852_), + .X(_02211_) + ); + sky130_fd_sc_hd__mux2_2 _18376_ ( + .A0(_02211_), + .A1(_02210_), + .S(_01856_), + .X(_02212_) + ); + sky130_fd_sc_hd__mux2_2 _18377_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][11] ), + .S(_01852_), + .X(_02213_) + ); + sky130_fd_sc_hd__mux2_2 _18378_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][11] ), + .S(_01852_), + .X(_02214_) + ); + sky130_fd_sc_hd__mux2_2 _18379_ ( + .A0(_02214_), + .A1(_02213_), + .S(_01856_), + .X(_02215_) + ); + sky130_fd_sc_hd__mux2_2 _18380_ ( + .A0(_02215_), + .A1(_02212_), + .S(_01861_), + .X(_02216_) + ); + sky130_fd_sc_hd__mux2_2 _18381_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][11] ), + .S(_01852_), + .X(_02217_) + ); + sky130_fd_sc_hd__mux2_2 _18382_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][11] ), + .S(_01852_), + .X(_02218_) + ); + sky130_fd_sc_hd__mux2_2 _18383_ ( + .A0(_02218_), + .A1(_02217_), + .S(_01856_), + .X(_02219_) + ); + sky130_fd_sc_hd__mux2_2 _18384_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][11] ), + .S(_01852_), + .X(_02220_) + ); + sky130_fd_sc_hd__mux2_2 _18385_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][11] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][11] ), + .S(_01852_), + .X(_02221_) + ); + sky130_fd_sc_hd__mux2_2 _18386_ ( + .A0(_02221_), + .A1(_02220_), + .S(_01856_), + .X(_02222_) + ); + sky130_fd_sc_hd__mux2_2 _18387_ ( + .A0(_02222_), + .A1(_02219_), + .S(_01861_), + .X(_02223_) + ); + sky130_fd_sc_hd__mux2_2 _18388_ ( + .A0(_02223_), + .A1(_02216_), + .S(_01868_), + .X(_02224_) + ); + sky130_fd_sc_hd__mux2_2 _18389_ ( + .A0(_02224_), + .A1(_02209_), + .S(_01879_), + .X(_00061_) + ); + sky130_fd_sc_hd__mux2_2 _18390_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][12] ), + .S(_01852_), + .X(_02225_) + ); + sky130_fd_sc_hd__mux2_2 _18391_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][12] ), + .S(_01852_), + .X(_02226_) + ); + sky130_fd_sc_hd__mux2_2 _18392_ ( + .A0(_02226_), + .A1(_02225_), + .S(_01856_), + .X(_02227_) + ); + sky130_fd_sc_hd__mux2_2 _18393_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][12] ), + .S(_01852_), + .X(_02228_) + ); + sky130_fd_sc_hd__mux2_2 _18394_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][12] ), + .S(_01852_), + .X(_02229_) + ); + sky130_fd_sc_hd__mux2_2 _18395_ ( + .A0(_02229_), + .A1(_02228_), + .S(_01856_), + .X(_02230_) + ); + sky130_fd_sc_hd__mux2_2 _18396_ ( + .A0(_02230_), + .A1(_02227_), + .S(_01861_), + .X(_02231_) + ); + sky130_fd_sc_hd__mux2_2 _18397_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][12] ), + .S(_01852_), + .X(_02232_) + ); + sky130_fd_sc_hd__mux2_2 _18398_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][12] ), + .S(_01852_), + .X(_02233_) + ); + sky130_fd_sc_hd__mux2_2 _18399_ ( + .A0(_02233_), + .A1(_02232_), + .S(_01856_), + .X(_02234_) + ); + sky130_fd_sc_hd__mux2_2 _18400_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][12] ), + .S(_01852_), + .X(_02235_) + ); + sky130_fd_sc_hd__mux2_2 _18401_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][12] ), + .S(_01852_), + .X(_02236_) + ); + sky130_fd_sc_hd__mux2_2 _18402_ ( + .A0(_02236_), + .A1(_02235_), + .S(_01856_), + .X(_02237_) + ); + sky130_fd_sc_hd__mux2_2 _18403_ ( + .A0(_02237_), + .A1(_02234_), + .S(_01861_), + .X(_02238_) + ); + sky130_fd_sc_hd__mux2_2 _18404_ ( + .A0(_02238_), + .A1(_02231_), + .S(_01868_), + .X(_02239_) + ); + sky130_fd_sc_hd__mux2_2 _18405_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][12] ), + .S(_01852_), + .X(_02240_) + ); + sky130_fd_sc_hd__mux2_2 _18406_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][12] ), + .S(_01852_), + .X(_02241_) + ); + sky130_fd_sc_hd__mux2_2 _18407_ ( + .A0(_02241_), + .A1(_02240_), + .S(_01856_), + .X(_02242_) + ); + sky130_fd_sc_hd__mux2_2 _18408_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][12] ), + .S(_01852_), + .X(_02243_) + ); + sky130_fd_sc_hd__mux2_2 _18409_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][12] ), + .S(_01852_), + .X(_02244_) + ); + sky130_fd_sc_hd__mux2_2 _18410_ ( + .A0(_02244_), + .A1(_02243_), + .S(_01856_), + .X(_02245_) + ); + sky130_fd_sc_hd__mux2_2 _18411_ ( + .A0(_02245_), + .A1(_02242_), + .S(_01861_), + .X(_02246_) + ); + sky130_fd_sc_hd__mux2_2 _18412_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][12] ), + .S(_01852_), + .X(_02247_) + ); + sky130_fd_sc_hd__mux2_2 _18413_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][12] ), + .S(_01852_), + .X(_02248_) + ); + sky130_fd_sc_hd__mux2_2 _18414_ ( + .A0(_02248_), + .A1(_02247_), + .S(_01856_), + .X(_02249_) + ); + sky130_fd_sc_hd__mux2_2 _18415_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][12] ), + .S(_01852_), + .X(_02250_) + ); + sky130_fd_sc_hd__mux2_2 _18416_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][12] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][12] ), + .S(_01852_), + .X(_02251_) + ); + sky130_fd_sc_hd__mux2_2 _18417_ ( + .A0(_02251_), + .A1(_02250_), + .S(_01856_), + .X(_02252_) + ); + sky130_fd_sc_hd__mux2_2 _18418_ ( + .A0(_02252_), + .A1(_02249_), + .S(_01861_), + .X(_02253_) + ); + sky130_fd_sc_hd__mux2_2 _18419_ ( + .A0(_02253_), + .A1(_02246_), + .S(_01868_), + .X(_02254_) + ); + sky130_fd_sc_hd__mux2_2 _18420_ ( + .A0(_02254_), + .A1(_02239_), + .S(_01879_), + .X(_00062_) + ); + sky130_fd_sc_hd__mux2_2 _18421_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][13] ), + .S(_01852_), + .X(_02255_) + ); + sky130_fd_sc_hd__mux2_2 _18422_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][13] ), + .S(_01852_), + .X(_02256_) + ); + sky130_fd_sc_hd__mux2_2 _18423_ ( + .A0(_02256_), + .A1(_02255_), + .S(_01856_), + .X(_02257_) + ); + sky130_fd_sc_hd__mux2_2 _18424_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][13] ), + .S(_01852_), + .X(_02258_) + ); + sky130_fd_sc_hd__mux2_2 _18425_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][13] ), + .S(_01852_), + .X(_02259_) + ); + sky130_fd_sc_hd__mux2_2 _18426_ ( + .A0(_02259_), + .A1(_02258_), + .S(_01856_), + .X(_02260_) + ); + sky130_fd_sc_hd__mux2_2 _18427_ ( + .A0(_02260_), + .A1(_02257_), + .S(_01861_), + .X(_02261_) + ); + sky130_fd_sc_hd__mux2_2 _18428_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][13] ), + .S(_01852_), + .X(_02262_) + ); + sky130_fd_sc_hd__mux2_2 _18429_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][13] ), + .S(_01852_), + .X(_02263_) + ); + sky130_fd_sc_hd__mux2_2 _18430_ ( + .A0(_02263_), + .A1(_02262_), + .S(_01856_), + .X(_02264_) + ); + sky130_fd_sc_hd__mux2_2 _18431_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][13] ), + .S(_01852_), + .X(_02265_) + ); + sky130_fd_sc_hd__mux2_2 _18432_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][13] ), + .S(_01852_), + .X(_02266_) + ); + sky130_fd_sc_hd__mux2_2 _18433_ ( + .A0(_02266_), + .A1(_02265_), + .S(_01856_), + .X(_02267_) + ); + sky130_fd_sc_hd__mux2_2 _18434_ ( + .A0(_02267_), + .A1(_02264_), + .S(_01861_), + .X(_02268_) + ); + sky130_fd_sc_hd__mux2_2 _18435_ ( + .A0(_02268_), + .A1(_02261_), + .S(_01868_), + .X(_02269_) + ); + sky130_fd_sc_hd__mux2_2 _18436_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][13] ), + .S(_01852_), + .X(_02270_) + ); + sky130_fd_sc_hd__mux2_2 _18437_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][13] ), + .S(_01852_), + .X(_02271_) + ); + sky130_fd_sc_hd__mux2_2 _18438_ ( + .A0(_02271_), + .A1(_02270_), + .S(_01856_), + .X(_02272_) + ); + sky130_fd_sc_hd__mux2_2 _18439_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][13] ), + .S(_01852_), + .X(_02273_) + ); + sky130_fd_sc_hd__mux2_2 _18440_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][13] ), + .S(_01852_), + .X(_02274_) + ); + sky130_fd_sc_hd__mux2_2 _18441_ ( + .A0(_02274_), + .A1(_02273_), + .S(_01856_), + .X(_02275_) + ); + sky130_fd_sc_hd__mux2_2 _18442_ ( + .A0(_02275_), + .A1(_02272_), + .S(_01861_), + .X(_02276_) + ); + sky130_fd_sc_hd__mux2_2 _18443_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][13] ), + .S(_01852_), + .X(_02277_) + ); + sky130_fd_sc_hd__mux2_2 _18444_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][13] ), + .S(_01852_), + .X(_02278_) + ); + sky130_fd_sc_hd__mux2_2 _18445_ ( + .A0(_02278_), + .A1(_02277_), + .S(_01856_), + .X(_02279_) + ); + sky130_fd_sc_hd__mux2_2 _18446_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][13] ), + .S(_01852_), + .X(_02280_) + ); + sky130_fd_sc_hd__mux2_2 _18447_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][13] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][13] ), + .S(_01852_), + .X(_02281_) + ); + sky130_fd_sc_hd__mux2_2 _18448_ ( + .A0(_02281_), + .A1(_02280_), + .S(_01856_), + .X(_02282_) + ); + sky130_fd_sc_hd__mux2_2 _18449_ ( + .A0(_02282_), + .A1(_02279_), + .S(_01861_), + .X(_02283_) + ); + sky130_fd_sc_hd__mux2_2 _18450_ ( + .A0(_02283_), + .A1(_02276_), + .S(_01868_), + .X(_02284_) + ); + sky130_fd_sc_hd__mux2_2 _18451_ ( + .A0(_02284_), + .A1(_02269_), + .S(_01879_), + .X(_00063_) + ); + sky130_fd_sc_hd__mux2_2 _18452_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][14] ), + .S(_01852_), + .X(_02285_) + ); + sky130_fd_sc_hd__mux2_2 _18453_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][14] ), + .S(_01852_), + .X(_02286_) + ); + sky130_fd_sc_hd__mux2_2 _18454_ ( + .A0(_02286_), + .A1(_02285_), + .S(_01856_), + .X(_02287_) + ); + sky130_fd_sc_hd__mux2_2 _18455_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][14] ), + .S(_01852_), + .X(_02288_) + ); + sky130_fd_sc_hd__mux2_2 _18456_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][14] ), + .S(_01852_), + .X(_02289_) + ); + sky130_fd_sc_hd__mux2_2 _18457_ ( + .A0(_02289_), + .A1(_02288_), + .S(_01856_), + .X(_02290_) + ); + sky130_fd_sc_hd__mux2_2 _18458_ ( + .A0(_02290_), + .A1(_02287_), + .S(_01861_), + .X(_02291_) + ); + sky130_fd_sc_hd__mux2_2 _18459_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][14] ), + .S(_01852_), + .X(_02292_) + ); + sky130_fd_sc_hd__mux2_2 _18460_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][14] ), + .S(_01852_), + .X(_02293_) + ); + sky130_fd_sc_hd__mux2_2 _18461_ ( + .A0(_02293_), + .A1(_02292_), + .S(_01856_), + .X(_02294_) + ); + sky130_fd_sc_hd__mux2_2 _18462_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][14] ), + .S(_01852_), + .X(_02295_) + ); + sky130_fd_sc_hd__mux2_2 _18463_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][14] ), + .S(_01852_), + .X(_02296_) + ); + sky130_fd_sc_hd__mux2_2 _18464_ ( + .A0(_02296_), + .A1(_02295_), + .S(_01856_), + .X(_02297_) + ); + sky130_fd_sc_hd__mux2_2 _18465_ ( + .A0(_02297_), + .A1(_02294_), + .S(_01861_), + .X(_02298_) + ); + sky130_fd_sc_hd__mux2_2 _18466_ ( + .A0(_02298_), + .A1(_02291_), + .S(_01868_), + .X(_02299_) + ); + sky130_fd_sc_hd__mux2_2 _18467_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][14] ), + .S(_01852_), + .X(_02300_) + ); + sky130_fd_sc_hd__mux2_2 _18468_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][14] ), + .S(_01852_), + .X(_02301_) + ); + sky130_fd_sc_hd__mux2_2 _18469_ ( + .A0(_02301_), + .A1(_02300_), + .S(_01856_), + .X(_02302_) + ); + sky130_fd_sc_hd__mux2_2 _18470_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][14] ), + .S(_01852_), + .X(_02303_) + ); + sky130_fd_sc_hd__mux2_2 _18471_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][14] ), + .S(_01852_), + .X(_02304_) + ); + sky130_fd_sc_hd__mux2_2 _18472_ ( + .A0(_02304_), + .A1(_02303_), + .S(_01856_), + .X(_02305_) + ); + sky130_fd_sc_hd__mux2_2 _18473_ ( + .A0(_02305_), + .A1(_02302_), + .S(_01861_), + .X(_02306_) + ); + sky130_fd_sc_hd__mux2_2 _18474_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][14] ), + .S(_01852_), + .X(_02307_) + ); + sky130_fd_sc_hd__mux2_2 _18475_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][14] ), + .S(_01852_), + .X(_02308_) + ); + sky130_fd_sc_hd__mux2_2 _18476_ ( + .A0(_02308_), + .A1(_02307_), + .S(_01856_), + .X(_02309_) + ); + sky130_fd_sc_hd__mux2_2 _18477_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][14] ), + .S(_01852_), + .X(_02310_) + ); + sky130_fd_sc_hd__mux2_2 _18478_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][14] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][14] ), + .S(_01852_), + .X(_02311_) + ); + sky130_fd_sc_hd__mux2_2 _18479_ ( + .A0(_02311_), + .A1(_02310_), + .S(_01856_), + .X(_02312_) + ); + sky130_fd_sc_hd__mux2_2 _18480_ ( + .A0(_02312_), + .A1(_02309_), + .S(_01861_), + .X(_02313_) + ); + sky130_fd_sc_hd__mux2_2 _18481_ ( + .A0(_02313_), + .A1(_02306_), + .S(_01868_), + .X(_02314_) + ); + sky130_fd_sc_hd__mux2_2 _18482_ ( + .A0(_02314_), + .A1(_02299_), + .S(_01879_), + .X(_00064_) + ); + sky130_fd_sc_hd__mux2_2 _18483_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][15] ), + .S(_01852_), + .X(_02315_) + ); + sky130_fd_sc_hd__mux2_2 _18484_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][15] ), + .S(_01852_), + .X(_02316_) + ); + sky130_fd_sc_hd__mux2_2 _18485_ ( + .A0(_02316_), + .A1(_02315_), + .S(_01856_), + .X(_02317_) + ); + sky130_fd_sc_hd__mux2_2 _18486_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][15] ), + .S(_01852_), + .X(_02318_) + ); + sky130_fd_sc_hd__mux2_2 _18487_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][15] ), + .S(_01852_), + .X(_02319_) + ); + sky130_fd_sc_hd__mux2_2 _18488_ ( + .A0(_02319_), + .A1(_02318_), + .S(_01856_), + .X(_02320_) + ); + sky130_fd_sc_hd__mux2_2 _18489_ ( + .A0(_02320_), + .A1(_02317_), + .S(_01861_), + .X(_02321_) + ); + sky130_fd_sc_hd__mux2_2 _18490_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][15] ), + .S(_01852_), + .X(_02322_) + ); + sky130_fd_sc_hd__mux2_2 _18491_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][15] ), + .S(_01852_), + .X(_02323_) + ); + sky130_fd_sc_hd__mux2_2 _18492_ ( + .A0(_02323_), + .A1(_02322_), + .S(_01856_), + .X(_02324_) + ); + sky130_fd_sc_hd__mux2_2 _18493_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][15] ), + .S(_01852_), + .X(_02325_) + ); + sky130_fd_sc_hd__mux2_2 _18494_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][15] ), + .S(_01852_), + .X(_02326_) + ); + sky130_fd_sc_hd__mux2_2 _18495_ ( + .A0(_02326_), + .A1(_02325_), + .S(_01856_), + .X(_02327_) + ); + sky130_fd_sc_hd__mux2_2 _18496_ ( + .A0(_02327_), + .A1(_02324_), + .S(_01861_), + .X(_02328_) + ); + sky130_fd_sc_hd__mux2_2 _18497_ ( + .A0(_02328_), + .A1(_02321_), + .S(_01868_), + .X(_02329_) + ); + sky130_fd_sc_hd__mux2_2 _18498_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][15] ), + .S(_01852_), + .X(_02330_) + ); + sky130_fd_sc_hd__mux2_2 _18499_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][15] ), + .S(_01852_), + .X(_02331_) + ); + sky130_fd_sc_hd__mux2_2 _18500_ ( + .A0(_02331_), + .A1(_02330_), + .S(_01856_), + .X(_02332_) + ); + sky130_fd_sc_hd__mux2_2 _18501_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][15] ), + .S(_01852_), + .X(_02333_) + ); + sky130_fd_sc_hd__mux2_2 _18502_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][15] ), + .S(_01852_), + .X(_02334_) + ); + sky130_fd_sc_hd__mux2_2 _18503_ ( + .A0(_02334_), + .A1(_02333_), + .S(_01856_), + .X(_02335_) + ); + sky130_fd_sc_hd__mux2_2 _18504_ ( + .A0(_02335_), + .A1(_02332_), + .S(_01861_), + .X(_02336_) + ); + sky130_fd_sc_hd__mux2_2 _18505_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][15] ), + .S(_01852_), + .X(_02337_) + ); + sky130_fd_sc_hd__mux2_2 _18506_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][15] ), + .S(_01852_), + .X(_02338_) + ); + sky130_fd_sc_hd__mux2_2 _18507_ ( + .A0(_02338_), + .A1(_02337_), + .S(_01856_), + .X(_02339_) + ); + sky130_fd_sc_hd__mux2_2 _18508_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][15] ), + .S(_01852_), + .X(_02340_) + ); + sky130_fd_sc_hd__mux2_2 _18509_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][15] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][15] ), + .S(_01852_), + .X(_02341_) + ); + sky130_fd_sc_hd__mux2_2 _18510_ ( + .A0(_02341_), + .A1(_02340_), + .S(_01856_), + .X(_02342_) + ); + sky130_fd_sc_hd__mux2_2 _18511_ ( + .A0(_02342_), + .A1(_02339_), + .S(_01861_), + .X(_02343_) + ); + sky130_fd_sc_hd__mux2_2 _18512_ ( + .A0(_02343_), + .A1(_02336_), + .S(_01868_), + .X(_02344_) + ); + sky130_fd_sc_hd__mux2_2 _18513_ ( + .A0(_02344_), + .A1(_02329_), + .S(_01879_), + .X(_00065_) + ); + sky130_fd_sc_hd__mux2_2 _18514_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][16] ), + .S(_01852_), + .X(_02345_) + ); + sky130_fd_sc_hd__mux2_2 _18515_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][16] ), + .S(_01852_), + .X(_02346_) + ); + sky130_fd_sc_hd__mux2_2 _18516_ ( + .A0(_02346_), + .A1(_02345_), + .S(_01856_), + .X(_02347_) + ); + sky130_fd_sc_hd__mux2_2 _18517_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][16] ), + .S(_01852_), + .X(_02348_) + ); + sky130_fd_sc_hd__mux2_2 _18518_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][16] ), + .S(_01852_), + .X(_02349_) + ); + sky130_fd_sc_hd__mux2_2 _18519_ ( + .A0(_02349_), + .A1(_02348_), + .S(_01856_), + .X(_02350_) + ); + sky130_fd_sc_hd__mux2_2 _18520_ ( + .A0(_02350_), + .A1(_02347_), + .S(_01861_), + .X(_02351_) + ); + sky130_fd_sc_hd__mux2_2 _18521_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][16] ), + .S(_01852_), + .X(_02352_) + ); + sky130_fd_sc_hd__mux2_2 _18522_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][16] ), + .S(_01852_), + .X(_02353_) + ); + sky130_fd_sc_hd__mux2_2 _18523_ ( + .A0(_02353_), + .A1(_02352_), + .S(_01856_), + .X(_02354_) + ); + sky130_fd_sc_hd__mux2_2 _18524_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][16] ), + .S(_01852_), + .X(_02355_) + ); + sky130_fd_sc_hd__mux2_2 _18525_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][16] ), + .S(_01852_), + .X(_02356_) + ); + sky130_fd_sc_hd__mux2_2 _18526_ ( + .A0(_02356_), + .A1(_02355_), + .S(_01856_), + .X(_02357_) + ); + sky130_fd_sc_hd__mux2_2 _18527_ ( + .A0(_02357_), + .A1(_02354_), + .S(_01861_), + .X(_02358_) + ); + sky130_fd_sc_hd__mux2_2 _18528_ ( + .A0(_02358_), + .A1(_02351_), + .S(_01868_), + .X(_02359_) + ); + sky130_fd_sc_hd__mux2_2 _18529_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][16] ), + .S(_01852_), + .X(_02360_) + ); + sky130_fd_sc_hd__mux2_2 _18530_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][16] ), + .S(_01852_), + .X(_02361_) + ); + sky130_fd_sc_hd__mux2_2 _18531_ ( + .A0(_02361_), + .A1(_02360_), + .S(_01856_), + .X(_02362_) + ); + sky130_fd_sc_hd__mux2_2 _18532_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][16] ), + .S(_01852_), + .X(_02363_) + ); + sky130_fd_sc_hd__mux2_2 _18533_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][16] ), + .S(_01852_), + .X(_02364_) + ); + sky130_fd_sc_hd__mux2_2 _18534_ ( + .A0(_02364_), + .A1(_02363_), + .S(_01856_), + .X(_02365_) + ); + sky130_fd_sc_hd__mux2_2 _18535_ ( + .A0(_02365_), + .A1(_02362_), + .S(_01861_), + .X(_02366_) + ); + sky130_fd_sc_hd__mux2_2 _18536_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][16] ), + .S(_01852_), + .X(_02367_) + ); + sky130_fd_sc_hd__mux2_2 _18537_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][16] ), + .S(_01852_), + .X(_02368_) + ); + sky130_fd_sc_hd__mux2_2 _18538_ ( + .A0(_02368_), + .A1(_02367_), + .S(_01856_), + .X(_02369_) + ); + sky130_fd_sc_hd__mux2_2 _18539_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][16] ), + .S(_01852_), + .X(_02370_) + ); + sky130_fd_sc_hd__mux2_2 _18540_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][16] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][16] ), + .S(_01852_), + .X(_02371_) + ); + sky130_fd_sc_hd__mux2_2 _18541_ ( + .A0(_02371_), + .A1(_02370_), + .S(_01856_), + .X(_02372_) + ); + sky130_fd_sc_hd__mux2_2 _18542_ ( + .A0(_02372_), + .A1(_02369_), + .S(_01861_), + .X(_02373_) + ); + sky130_fd_sc_hd__mux2_2 _18543_ ( + .A0(_02373_), + .A1(_02366_), + .S(_01868_), + .X(_02374_) + ); + sky130_fd_sc_hd__mux2_2 _18544_ ( + .A0(_02374_), + .A1(_02359_), + .S(_01879_), + .X(_00066_) + ); + sky130_fd_sc_hd__mux2_2 _18545_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][17] ), + .S(_01852_), + .X(_02375_) + ); + sky130_fd_sc_hd__mux2_2 _18546_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][17] ), + .S(_01852_), + .X(_02376_) + ); + sky130_fd_sc_hd__mux2_2 _18547_ ( + .A0(_02376_), + .A1(_02375_), + .S(_01856_), + .X(_02377_) + ); + sky130_fd_sc_hd__mux2_2 _18548_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][17] ), + .S(_01852_), + .X(_02378_) + ); + sky130_fd_sc_hd__mux2_2 _18549_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][17] ), + .S(_01852_), + .X(_02379_) + ); + sky130_fd_sc_hd__mux2_2 _18550_ ( + .A0(_02379_), + .A1(_02378_), + .S(_01856_), + .X(_02380_) + ); + sky130_fd_sc_hd__mux2_2 _18551_ ( + .A0(_02380_), + .A1(_02377_), + .S(_01861_), + .X(_02381_) + ); + sky130_fd_sc_hd__mux2_2 _18552_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][17] ), + .S(_01852_), + .X(_02382_) + ); + sky130_fd_sc_hd__mux2_2 _18553_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][17] ), + .S(_01852_), + .X(_02383_) + ); + sky130_fd_sc_hd__mux2_2 _18554_ ( + .A0(_02383_), + .A1(_02382_), + .S(_01856_), + .X(_02384_) + ); + sky130_fd_sc_hd__mux2_2 _18555_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][17] ), + .S(_01852_), + .X(_02385_) + ); + sky130_fd_sc_hd__mux2_2 _18556_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][17] ), + .S(_01852_), + .X(_02386_) + ); + sky130_fd_sc_hd__mux2_2 _18557_ ( + .A0(_02386_), + .A1(_02385_), + .S(_01856_), + .X(_02387_) + ); + sky130_fd_sc_hd__mux2_2 _18558_ ( + .A0(_02387_), + .A1(_02384_), + .S(_01861_), + .X(_02388_) + ); + sky130_fd_sc_hd__mux2_2 _18559_ ( + .A0(_02388_), + .A1(_02381_), + .S(_01868_), + .X(_02389_) + ); + sky130_fd_sc_hd__mux2_2 _18560_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][17] ), + .S(_01852_), + .X(_02390_) + ); + sky130_fd_sc_hd__mux2_2 _18561_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][17] ), + .S(_01852_), + .X(_02391_) + ); + sky130_fd_sc_hd__mux2_2 _18562_ ( + .A0(_02391_), + .A1(_02390_), + .S(_01856_), + .X(_02392_) + ); + sky130_fd_sc_hd__mux2_2 _18563_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][17] ), + .S(_01852_), + .X(_02393_) + ); + sky130_fd_sc_hd__mux2_2 _18564_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][17] ), + .S(_01852_), + .X(_02394_) + ); + sky130_fd_sc_hd__mux2_2 _18565_ ( + .A0(_02394_), + .A1(_02393_), + .S(_01856_), + .X(_02395_) + ); + sky130_fd_sc_hd__mux2_2 _18566_ ( + .A0(_02395_), + .A1(_02392_), + .S(_01861_), + .X(_02396_) + ); + sky130_fd_sc_hd__mux2_2 _18567_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][17] ), + .S(_01852_), + .X(_02397_) + ); + sky130_fd_sc_hd__mux2_2 _18568_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][17] ), + .S(_01852_), + .X(_02398_) + ); + sky130_fd_sc_hd__mux2_2 _18569_ ( + .A0(_02398_), + .A1(_02397_), + .S(_01856_), + .X(_02399_) + ); + sky130_fd_sc_hd__mux2_2 _18570_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][17] ), + .S(_01852_), + .X(_02400_) + ); + sky130_fd_sc_hd__mux2_2 _18571_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][17] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][17] ), + .S(_01852_), + .X(_02401_) + ); + sky130_fd_sc_hd__mux2_2 _18572_ ( + .A0(_02401_), + .A1(_02400_), + .S(_01856_), + .X(_02402_) + ); + sky130_fd_sc_hd__mux2_2 _18573_ ( + .A0(_02402_), + .A1(_02399_), + .S(_01861_), + .X(_02403_) + ); + sky130_fd_sc_hd__mux2_2 _18574_ ( + .A0(_02403_), + .A1(_02396_), + .S(_01868_), + .X(_02404_) + ); + sky130_fd_sc_hd__mux2_2 _18575_ ( + .A0(_02404_), + .A1(_02389_), + .S(_01879_), + .X(_00067_) + ); + sky130_fd_sc_hd__mux2_2 _18576_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][18] ), + .S(_01852_), + .X(_02405_) + ); + sky130_fd_sc_hd__mux2_2 _18577_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][18] ), + .S(_01852_), + .X(_02406_) + ); + sky130_fd_sc_hd__mux2_2 _18578_ ( + .A0(_02406_), + .A1(_02405_), + .S(_01856_), + .X(_02407_) + ); + sky130_fd_sc_hd__mux2_2 _18579_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][18] ), + .S(_01852_), + .X(_02408_) + ); + sky130_fd_sc_hd__mux2_2 _18580_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][18] ), + .S(_01852_), + .X(_02409_) + ); + sky130_fd_sc_hd__mux2_2 _18581_ ( + .A0(_02409_), + .A1(_02408_), + .S(_01856_), + .X(_02410_) + ); + sky130_fd_sc_hd__mux2_2 _18582_ ( + .A0(_02410_), + .A1(_02407_), + .S(_01861_), + .X(_02411_) + ); + sky130_fd_sc_hd__mux2_2 _18583_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][18] ), + .S(_01852_), + .X(_02412_) + ); + sky130_fd_sc_hd__mux2_2 _18584_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][18] ), + .S(_01852_), + .X(_02413_) + ); + sky130_fd_sc_hd__mux2_2 _18585_ ( + .A0(_02413_), + .A1(_02412_), + .S(_01856_), + .X(_02414_) + ); + sky130_fd_sc_hd__mux2_2 _18586_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][18] ), + .S(_01852_), + .X(_02415_) + ); + sky130_fd_sc_hd__mux2_2 _18587_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][18] ), + .S(_01852_), + .X(_02416_) + ); + sky130_fd_sc_hd__mux2_2 _18588_ ( + .A0(_02416_), + .A1(_02415_), + .S(_01856_), + .X(_02417_) + ); + sky130_fd_sc_hd__mux2_2 _18589_ ( + .A0(_02417_), + .A1(_02414_), + .S(_01861_), + .X(_02418_) + ); + sky130_fd_sc_hd__mux2_2 _18590_ ( + .A0(_02418_), + .A1(_02411_), + .S(_01868_), + .X(_02419_) + ); + sky130_fd_sc_hd__mux2_2 _18591_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][18] ), + .S(_01852_), + .X(_02420_) + ); + sky130_fd_sc_hd__mux2_2 _18592_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][18] ), + .S(_01852_), + .X(_02421_) + ); + sky130_fd_sc_hd__mux2_2 _18593_ ( + .A0(_02421_), + .A1(_02420_), + .S(_01856_), + .X(_02422_) + ); + sky130_fd_sc_hd__mux2_2 _18594_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][18] ), + .S(_01852_), + .X(_02423_) + ); + sky130_fd_sc_hd__mux2_2 _18595_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][18] ), + .S(_01852_), + .X(_02424_) + ); + sky130_fd_sc_hd__mux2_2 _18596_ ( + .A0(_02424_), + .A1(_02423_), + .S(_01856_), + .X(_02425_) + ); + sky130_fd_sc_hd__mux2_2 _18597_ ( + .A0(_02425_), + .A1(_02422_), + .S(_01861_), + .X(_02426_) + ); + sky130_fd_sc_hd__mux2_2 _18598_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][18] ), + .S(_01852_), + .X(_02427_) + ); + sky130_fd_sc_hd__mux2_2 _18599_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][18] ), + .S(_01852_), + .X(_02428_) + ); + sky130_fd_sc_hd__mux2_2 _18600_ ( + .A0(_02428_), + .A1(_02427_), + .S(_01856_), + .X(_02429_) + ); + sky130_fd_sc_hd__mux2_2 _18601_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][18] ), + .S(_01852_), + .X(_02430_) + ); + sky130_fd_sc_hd__mux2_2 _18602_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][18] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][18] ), + .S(_01852_), + .X(_02431_) + ); + sky130_fd_sc_hd__mux2_2 _18603_ ( + .A0(_02431_), + .A1(_02430_), + .S(_01856_), + .X(_02432_) + ); + sky130_fd_sc_hd__mux2_2 _18604_ ( + .A0(_02432_), + .A1(_02429_), + .S(_01861_), + .X(_02433_) + ); + sky130_fd_sc_hd__mux2_2 _18605_ ( + .A0(_02433_), + .A1(_02426_), + .S(_01868_), + .X(_02434_) + ); + sky130_fd_sc_hd__mux2_2 _18606_ ( + .A0(_02434_), + .A1(_02419_), + .S(_01879_), + .X(_00068_) + ); + sky130_fd_sc_hd__mux2_2 _18607_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][19] ), + .S(_01852_), + .X(_02435_) + ); + sky130_fd_sc_hd__mux2_2 _18608_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][19] ), + .S(_01852_), + .X(_02436_) + ); + sky130_fd_sc_hd__mux2_2 _18609_ ( + .A0(_02436_), + .A1(_02435_), + .S(_01856_), + .X(_02437_) + ); + sky130_fd_sc_hd__mux2_2 _18610_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][19] ), + .S(_01852_), + .X(_02438_) + ); + sky130_fd_sc_hd__mux2_2 _18611_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][19] ), + .S(_01852_), + .X(_02439_) + ); + sky130_fd_sc_hd__mux2_2 _18612_ ( + .A0(_02439_), + .A1(_02438_), + .S(_01856_), + .X(_02440_) + ); + sky130_fd_sc_hd__mux2_2 _18613_ ( + .A0(_02440_), + .A1(_02437_), + .S(_01861_), + .X(_02441_) + ); + sky130_fd_sc_hd__mux2_2 _18614_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][19] ), + .S(_01852_), + .X(_02442_) + ); + sky130_fd_sc_hd__mux2_2 _18615_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][19] ), + .S(_01852_), + .X(_02443_) + ); + sky130_fd_sc_hd__mux2_2 _18616_ ( + .A0(_02443_), + .A1(_02442_), + .S(_01856_), + .X(_02444_) + ); + sky130_fd_sc_hd__mux2_2 _18617_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][19] ), + .S(_01852_), + .X(_02445_) + ); + sky130_fd_sc_hd__mux2_2 _18618_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][19] ), + .S(_01852_), + .X(_02446_) + ); + sky130_fd_sc_hd__mux2_2 _18619_ ( + .A0(_02446_), + .A1(_02445_), + .S(_01856_), + .X(_02447_) + ); + sky130_fd_sc_hd__mux2_2 _18620_ ( + .A0(_02447_), + .A1(_02444_), + .S(_01861_), + .X(_02448_) + ); + sky130_fd_sc_hd__mux2_2 _18621_ ( + .A0(_02448_), + .A1(_02441_), + .S(_01868_), + .X(_02449_) + ); + sky130_fd_sc_hd__mux2_2 _18622_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][19] ), + .S(_01852_), + .X(_02450_) + ); + sky130_fd_sc_hd__mux2_2 _18623_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][19] ), + .S(_01852_), + .X(_02451_) + ); + sky130_fd_sc_hd__mux2_2 _18624_ ( + .A0(_02451_), + .A1(_02450_), + .S(_01856_), + .X(_02452_) + ); + sky130_fd_sc_hd__mux2_2 _18625_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][19] ), + .S(_01852_), + .X(_02453_) + ); + sky130_fd_sc_hd__mux2_2 _18626_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][19] ), + .S(_01852_), + .X(_02454_) + ); + sky130_fd_sc_hd__mux2_2 _18627_ ( + .A0(_02454_), + .A1(_02453_), + .S(_01856_), + .X(_02455_) + ); + sky130_fd_sc_hd__mux2_2 _18628_ ( + .A0(_02455_), + .A1(_02452_), + .S(_01861_), + .X(_02456_) + ); + sky130_fd_sc_hd__mux2_2 _18629_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][19] ), + .S(_01852_), + .X(_02457_) + ); + sky130_fd_sc_hd__mux2_2 _18630_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][19] ), + .S(_01852_), + .X(_02458_) + ); + sky130_fd_sc_hd__mux2_2 _18631_ ( + .A0(_02458_), + .A1(_02457_), + .S(_01856_), + .X(_02459_) + ); + sky130_fd_sc_hd__mux2_2 _18632_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][19] ), + .S(_01852_), + .X(_02460_) + ); + sky130_fd_sc_hd__mux2_2 _18633_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][19] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][19] ), + .S(_01852_), + .X(_02461_) + ); + sky130_fd_sc_hd__mux2_2 _18634_ ( + .A0(_02461_), + .A1(_02460_), + .S(_01856_), + .X(_02462_) + ); + sky130_fd_sc_hd__mux2_2 _18635_ ( + .A0(_02462_), + .A1(_02459_), + .S(_01861_), + .X(_02463_) + ); + sky130_fd_sc_hd__mux2_2 _18636_ ( + .A0(_02463_), + .A1(_02456_), + .S(_01868_), + .X(_02464_) + ); + sky130_fd_sc_hd__mux2_2 _18637_ ( + .A0(_02464_), + .A1(_02449_), + .S(_01879_), + .X(_00069_) + ); + sky130_fd_sc_hd__mux2_2 _18638_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][20] ), + .S(_01852_), + .X(_02465_) + ); + sky130_fd_sc_hd__mux2_2 _18639_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][20] ), + .S(_01852_), + .X(_02466_) + ); + sky130_fd_sc_hd__mux2_2 _18640_ ( + .A0(_02466_), + .A1(_02465_), + .S(_01856_), + .X(_02467_) + ); + sky130_fd_sc_hd__mux2_2 _18641_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][20] ), + .S(_01852_), + .X(_02468_) + ); + sky130_fd_sc_hd__mux2_2 _18642_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][20] ), + .S(_01852_), + .X(_02469_) + ); + sky130_fd_sc_hd__mux2_2 _18643_ ( + .A0(_02469_), + .A1(_02468_), + .S(_01856_), + .X(_02470_) + ); + sky130_fd_sc_hd__mux2_2 _18644_ ( + .A0(_02470_), + .A1(_02467_), + .S(_01861_), + .X(_02471_) + ); + sky130_fd_sc_hd__mux2_2 _18645_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][20] ), + .S(_01852_), + .X(_02472_) + ); + sky130_fd_sc_hd__mux2_2 _18646_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][20] ), + .S(_01852_), + .X(_02473_) + ); + sky130_fd_sc_hd__mux2_2 _18647_ ( + .A0(_02473_), + .A1(_02472_), + .S(_01856_), + .X(_02474_) + ); + sky130_fd_sc_hd__mux2_2 _18648_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][20] ), + .S(_01852_), + .X(_02475_) + ); + sky130_fd_sc_hd__mux2_2 _18649_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][20] ), + .S(_01852_), + .X(_02476_) + ); + sky130_fd_sc_hd__mux2_2 _18650_ ( + .A0(_02476_), + .A1(_02475_), + .S(_01856_), + .X(_02477_) + ); + sky130_fd_sc_hd__mux2_2 _18651_ ( + .A0(_02477_), + .A1(_02474_), + .S(_01861_), + .X(_02478_) + ); + sky130_fd_sc_hd__mux2_2 _18652_ ( + .A0(_02478_), + .A1(_02471_), + .S(_01868_), + .X(_02479_) + ); + sky130_fd_sc_hd__mux2_2 _18653_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][20] ), + .S(_01852_), + .X(_02480_) + ); + sky130_fd_sc_hd__mux2_2 _18654_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][20] ), + .S(_01852_), + .X(_02481_) + ); + sky130_fd_sc_hd__mux2_2 _18655_ ( + .A0(_02481_), + .A1(_02480_), + .S(_01856_), + .X(_02482_) + ); + sky130_fd_sc_hd__mux2_2 _18656_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][20] ), + .S(_01852_), + .X(_02483_) + ); + sky130_fd_sc_hd__mux2_2 _18657_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][20] ), + .S(_01852_), + .X(_02484_) + ); + sky130_fd_sc_hd__mux2_2 _18658_ ( + .A0(_02484_), + .A1(_02483_), + .S(_01856_), + .X(_02485_) + ); + sky130_fd_sc_hd__mux2_2 _18659_ ( + .A0(_02485_), + .A1(_02482_), + .S(_01861_), + .X(_02486_) + ); + sky130_fd_sc_hd__mux2_2 _18660_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][20] ), + .S(_01852_), + .X(_02487_) + ); + sky130_fd_sc_hd__mux2_2 _18661_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][20] ), + .S(_01852_), + .X(_02488_) + ); + sky130_fd_sc_hd__mux2_2 _18662_ ( + .A0(_02488_), + .A1(_02487_), + .S(_01856_), + .X(_02489_) + ); + sky130_fd_sc_hd__mux2_2 _18663_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][20] ), + .S(_01852_), + .X(_02490_) + ); + sky130_fd_sc_hd__mux2_2 _18664_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][20] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][20] ), + .S(_01852_), + .X(_02491_) + ); + sky130_fd_sc_hd__mux2_2 _18665_ ( + .A0(_02491_), + .A1(_02490_), + .S(_01856_), + .X(_02492_) + ); + sky130_fd_sc_hd__mux2_2 _18666_ ( + .A0(_02492_), + .A1(_02489_), + .S(_01861_), + .X(_02493_) + ); + sky130_fd_sc_hd__mux2_2 _18667_ ( + .A0(_02493_), + .A1(_02486_), + .S(_01868_), + .X(_02494_) + ); + sky130_fd_sc_hd__mux2_2 _18668_ ( + .A0(_02494_), + .A1(_02479_), + .S(_01879_), + .X(_00071_) + ); + sky130_fd_sc_hd__mux2_2 _18669_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][21] ), + .S(_01852_), + .X(_02495_) + ); + sky130_fd_sc_hd__mux2_2 _18670_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][21] ), + .S(_01852_), + .X(_02496_) + ); + sky130_fd_sc_hd__mux2_2 _18671_ ( + .A0(_02496_), + .A1(_02495_), + .S(_01856_), + .X(_02497_) + ); + sky130_fd_sc_hd__mux2_2 _18672_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][21] ), + .S(_01852_), + .X(_02498_) + ); + sky130_fd_sc_hd__mux2_2 _18673_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][21] ), + .S(_01852_), + .X(_02499_) + ); + sky130_fd_sc_hd__mux2_2 _18674_ ( + .A0(_02499_), + .A1(_02498_), + .S(_01856_), + .X(_02500_) + ); + sky130_fd_sc_hd__mux2_2 _18675_ ( + .A0(_02500_), + .A1(_02497_), + .S(_01861_), + .X(_02501_) + ); + sky130_fd_sc_hd__mux2_2 _18676_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][21] ), + .S(_01852_), + .X(_02502_) + ); + sky130_fd_sc_hd__mux2_2 _18677_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][21] ), + .S(_01852_), + .X(_02503_) + ); + sky130_fd_sc_hd__mux2_2 _18678_ ( + .A0(_02503_), + .A1(_02502_), + .S(_01856_), + .X(_02504_) + ); + sky130_fd_sc_hd__mux2_2 _18679_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][21] ), + .S(_01852_), + .X(_02505_) + ); + sky130_fd_sc_hd__mux2_2 _18680_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][21] ), + .S(_01852_), + .X(_02506_) + ); + sky130_fd_sc_hd__mux2_2 _18681_ ( + .A0(_02506_), + .A1(_02505_), + .S(_01856_), + .X(_02507_) + ); + sky130_fd_sc_hd__mux2_2 _18682_ ( + .A0(_02507_), + .A1(_02504_), + .S(_01861_), + .X(_02508_) + ); + sky130_fd_sc_hd__mux2_2 _18683_ ( + .A0(_02508_), + .A1(_02501_), + .S(_01868_), + .X(_02509_) + ); + sky130_fd_sc_hd__mux2_2 _18684_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][21] ), + .S(_01852_), + .X(_02510_) + ); + sky130_fd_sc_hd__mux2_2 _18685_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][21] ), + .S(_01852_), + .X(_02511_) + ); + sky130_fd_sc_hd__mux2_2 _18686_ ( + .A0(_02511_), + .A1(_02510_), + .S(_01856_), + .X(_02512_) + ); + sky130_fd_sc_hd__mux2_2 _18687_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][21] ), + .S(_01852_), + .X(_02513_) + ); + sky130_fd_sc_hd__mux2_2 _18688_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][21] ), + .S(_01852_), + .X(_02514_) + ); + sky130_fd_sc_hd__mux2_2 _18689_ ( + .A0(_02514_), + .A1(_02513_), + .S(_01856_), + .X(_02515_) + ); + sky130_fd_sc_hd__mux2_2 _18690_ ( + .A0(_02515_), + .A1(_02512_), + .S(_01861_), + .X(_02516_) + ); + sky130_fd_sc_hd__mux2_2 _18691_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][21] ), + .S(_01852_), + .X(_02517_) + ); + sky130_fd_sc_hd__mux2_2 _18692_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][21] ), + .S(_01852_), + .X(_02518_) + ); + sky130_fd_sc_hd__mux2_2 _18693_ ( + .A0(_02518_), + .A1(_02517_), + .S(_01856_), + .X(_02519_) + ); + sky130_fd_sc_hd__mux2_2 _18694_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][21] ), + .S(_01852_), + .X(_02520_) + ); + sky130_fd_sc_hd__mux2_2 _18695_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][21] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][21] ), + .S(_01852_), + .X(_02521_) + ); + sky130_fd_sc_hd__mux2_2 _18696_ ( + .A0(_02521_), + .A1(_02520_), + .S(_01856_), + .X(_02522_) + ); + sky130_fd_sc_hd__mux2_2 _18697_ ( + .A0(_02522_), + .A1(_02519_), + .S(_01861_), + .X(_02523_) + ); + sky130_fd_sc_hd__mux2_2 _18698_ ( + .A0(_02523_), + .A1(_02516_), + .S(_01868_), + .X(_02524_) + ); + sky130_fd_sc_hd__mux2_2 _18699_ ( + .A0(_02524_), + .A1(_02509_), + .S(_01879_), + .X(_00072_) + ); + sky130_fd_sc_hd__mux2_2 _18700_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][22] ), + .S(_01852_), + .X(_02525_) + ); + sky130_fd_sc_hd__mux2_2 _18701_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][22] ), + .S(_01852_), + .X(_02526_) + ); + sky130_fd_sc_hd__mux2_2 _18702_ ( + .A0(_02526_), + .A1(_02525_), + .S(_01856_), + .X(_02527_) + ); + sky130_fd_sc_hd__mux2_2 _18703_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][22] ), + .S(_01852_), + .X(_02528_) + ); + sky130_fd_sc_hd__mux2_2 _18704_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][22] ), + .S(_01852_), + .X(_02529_) + ); + sky130_fd_sc_hd__mux2_2 _18705_ ( + .A0(_02529_), + .A1(_02528_), + .S(_01856_), + .X(_02530_) + ); + sky130_fd_sc_hd__mux2_2 _18706_ ( + .A0(_02530_), + .A1(_02527_), + .S(_01861_), + .X(_02531_) + ); + sky130_fd_sc_hd__mux2_2 _18707_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][22] ), + .S(_01852_), + .X(_02532_) + ); + sky130_fd_sc_hd__mux2_2 _18708_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][22] ), + .S(_01852_), + .X(_02533_) + ); + sky130_fd_sc_hd__mux2_2 _18709_ ( + .A0(_02533_), + .A1(_02532_), + .S(_01856_), + .X(_02534_) + ); + sky130_fd_sc_hd__mux2_2 _18710_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][22] ), + .S(_01852_), + .X(_02535_) + ); + sky130_fd_sc_hd__mux2_2 _18711_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][22] ), + .S(_01852_), + .X(_02536_) + ); + sky130_fd_sc_hd__mux2_2 _18712_ ( + .A0(_02536_), + .A1(_02535_), + .S(_01856_), + .X(_02537_) + ); + sky130_fd_sc_hd__mux2_2 _18713_ ( + .A0(_02537_), + .A1(_02534_), + .S(_01861_), + .X(_02538_) + ); + sky130_fd_sc_hd__mux2_2 _18714_ ( + .A0(_02538_), + .A1(_02531_), + .S(_01868_), + .X(_02539_) + ); + sky130_fd_sc_hd__mux2_2 _18715_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][22] ), + .S(_01852_), + .X(_02540_) + ); + sky130_fd_sc_hd__mux2_2 _18716_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][22] ), + .S(_01852_), + .X(_02541_) + ); + sky130_fd_sc_hd__mux2_2 _18717_ ( + .A0(_02541_), + .A1(_02540_), + .S(_01856_), + .X(_02542_) + ); + sky130_fd_sc_hd__mux2_2 _18718_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][22] ), + .S(_01852_), + .X(_02543_) + ); + sky130_fd_sc_hd__mux2_2 _18719_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][22] ), + .S(_01852_), + .X(_02544_) + ); + sky130_fd_sc_hd__mux2_2 _18720_ ( + .A0(_02544_), + .A1(_02543_), + .S(_01856_), + .X(_02545_) + ); + sky130_fd_sc_hd__mux2_2 _18721_ ( + .A0(_02545_), + .A1(_02542_), + .S(_01861_), + .X(_02546_) + ); + sky130_fd_sc_hd__mux2_2 _18722_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][22] ), + .S(_01852_), + .X(_02547_) + ); + sky130_fd_sc_hd__mux2_2 _18723_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][22] ), + .S(_01852_), + .X(_02548_) + ); + sky130_fd_sc_hd__mux2_2 _18724_ ( + .A0(_02548_), + .A1(_02547_), + .S(_01856_), + .X(_02549_) + ); + sky130_fd_sc_hd__mux2_2 _18725_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][22] ), + .S(_01852_), + .X(_02550_) + ); + sky130_fd_sc_hd__mux2_2 _18726_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][22] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][22] ), + .S(_01852_), + .X(_02551_) + ); + sky130_fd_sc_hd__mux2_2 _18727_ ( + .A0(_02551_), + .A1(_02550_), + .S(_01856_), + .X(_02552_) + ); + sky130_fd_sc_hd__mux2_2 _18728_ ( + .A0(_02552_), + .A1(_02549_), + .S(_01861_), + .X(_02553_) + ); + sky130_fd_sc_hd__mux2_2 _18729_ ( + .A0(_02553_), + .A1(_02546_), + .S(_01868_), + .X(_02554_) + ); + sky130_fd_sc_hd__mux2_2 _18730_ ( + .A0(_02554_), + .A1(_02539_), + .S(_01879_), + .X(_00073_) + ); + sky130_fd_sc_hd__mux2_2 _18731_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][23] ), + .S(_01852_), + .X(_02555_) + ); + sky130_fd_sc_hd__mux2_2 _18732_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][23] ), + .S(_01852_), + .X(_02556_) + ); + sky130_fd_sc_hd__mux2_2 _18733_ ( + .A0(_02556_), + .A1(_02555_), + .S(_01856_), + .X(_02557_) + ); + sky130_fd_sc_hd__mux2_2 _18734_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][23] ), + .S(_01852_), + .X(_02558_) + ); + sky130_fd_sc_hd__mux2_2 _18735_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][23] ), + .S(_01852_), + .X(_02559_) + ); + sky130_fd_sc_hd__mux2_2 _18736_ ( + .A0(_02559_), + .A1(_02558_), + .S(_01856_), + .X(_02560_) + ); + sky130_fd_sc_hd__mux2_2 _18737_ ( + .A0(_02560_), + .A1(_02557_), + .S(_01861_), + .X(_02561_) + ); + sky130_fd_sc_hd__mux2_2 _18738_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][23] ), + .S(_01852_), + .X(_02562_) + ); + sky130_fd_sc_hd__mux2_2 _18739_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][23] ), + .S(_01852_), + .X(_02563_) + ); + sky130_fd_sc_hd__mux2_2 _18740_ ( + .A0(_02563_), + .A1(_02562_), + .S(_01856_), + .X(_02564_) + ); + sky130_fd_sc_hd__mux2_2 _18741_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][23] ), + .S(_01852_), + .X(_02565_) + ); + sky130_fd_sc_hd__mux2_2 _18742_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][23] ), + .S(_01852_), + .X(_02566_) + ); + sky130_fd_sc_hd__mux2_2 _18743_ ( + .A0(_02566_), + .A1(_02565_), + .S(_01856_), + .X(_02567_) + ); + sky130_fd_sc_hd__mux2_2 _18744_ ( + .A0(_02567_), + .A1(_02564_), + .S(_01861_), + .X(_02568_) + ); + sky130_fd_sc_hd__mux2_2 _18745_ ( + .A0(_02568_), + .A1(_02561_), + .S(_01868_), + .X(_02569_) + ); + sky130_fd_sc_hd__mux2_2 _18746_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][23] ), + .S(_01852_), + .X(_02570_) + ); + sky130_fd_sc_hd__mux2_2 _18747_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][23] ), + .S(_01852_), + .X(_02571_) + ); + sky130_fd_sc_hd__mux2_2 _18748_ ( + .A0(_02571_), + .A1(_02570_), + .S(_01856_), + .X(_02572_) + ); + sky130_fd_sc_hd__mux2_2 _18749_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][23] ), + .S(_01852_), + .X(_02573_) + ); + sky130_fd_sc_hd__mux2_2 _18750_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][23] ), + .S(_01852_), + .X(_02574_) + ); + sky130_fd_sc_hd__mux2_2 _18751_ ( + .A0(_02574_), + .A1(_02573_), + .S(_01856_), + .X(_02575_) + ); + sky130_fd_sc_hd__mux2_2 _18752_ ( + .A0(_02575_), + .A1(_02572_), + .S(_01861_), + .X(_02576_) + ); + sky130_fd_sc_hd__mux2_2 _18753_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][23] ), + .S(_01852_), + .X(_02577_) + ); + sky130_fd_sc_hd__mux2_2 _18754_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][23] ), + .S(_01852_), + .X(_02578_) + ); + sky130_fd_sc_hd__mux2_2 _18755_ ( + .A0(_02578_), + .A1(_02577_), + .S(_01856_), + .X(_02579_) + ); + sky130_fd_sc_hd__mux2_2 _18756_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][23] ), + .S(_01852_), + .X(_02580_) + ); + sky130_fd_sc_hd__mux2_2 _18757_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][23] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][23] ), + .S(_01852_), + .X(_02581_) + ); + sky130_fd_sc_hd__mux2_2 _18758_ ( + .A0(_02581_), + .A1(_02580_), + .S(_01856_), + .X(_02582_) + ); + sky130_fd_sc_hd__mux2_2 _18759_ ( + .A0(_02582_), + .A1(_02579_), + .S(_01861_), + .X(_02583_) + ); + sky130_fd_sc_hd__mux2_2 _18760_ ( + .A0(_02583_), + .A1(_02576_), + .S(_01868_), + .X(_02584_) + ); + sky130_fd_sc_hd__mux2_2 _18761_ ( + .A0(_02584_), + .A1(_02569_), + .S(_01879_), + .X(_00074_) + ); + sky130_fd_sc_hd__mux2_2 _18762_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][24] ), + .S(_01852_), + .X(_02585_) + ); + sky130_fd_sc_hd__mux2_2 _18763_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][24] ), + .S(_01852_), + .X(_02586_) + ); + sky130_fd_sc_hd__mux2_2 _18764_ ( + .A0(_02586_), + .A1(_02585_), + .S(_01856_), + .X(_02587_) + ); + sky130_fd_sc_hd__mux2_2 _18765_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][24] ), + .S(_01852_), + .X(_02588_) + ); + sky130_fd_sc_hd__mux2_2 _18766_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][24] ), + .S(_01852_), + .X(_02589_) + ); + sky130_fd_sc_hd__mux2_2 _18767_ ( + .A0(_02589_), + .A1(_02588_), + .S(_01856_), + .X(_02590_) + ); + sky130_fd_sc_hd__mux2_2 _18768_ ( + .A0(_02590_), + .A1(_02587_), + .S(_01861_), + .X(_02591_) + ); + sky130_fd_sc_hd__mux2_2 _18769_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][24] ), + .S(_01852_), + .X(_02592_) + ); + sky130_fd_sc_hd__mux2_2 _18770_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][24] ), + .S(_01852_), + .X(_02593_) + ); + sky130_fd_sc_hd__mux2_2 _18771_ ( + .A0(_02593_), + .A1(_02592_), + .S(_01856_), + .X(_02594_) + ); + sky130_fd_sc_hd__mux2_2 _18772_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][24] ), + .S(_01852_), + .X(_02595_) + ); + sky130_fd_sc_hd__mux2_2 _18773_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][24] ), + .S(_01852_), + .X(_02596_) + ); + sky130_fd_sc_hd__mux2_2 _18774_ ( + .A0(_02596_), + .A1(_02595_), + .S(_01856_), + .X(_02597_) + ); + sky130_fd_sc_hd__mux2_2 _18775_ ( + .A0(_02597_), + .A1(_02594_), + .S(_01861_), + .X(_02598_) + ); + sky130_fd_sc_hd__mux2_2 _18776_ ( + .A0(_02598_), + .A1(_02591_), + .S(_01868_), + .X(_02599_) + ); + sky130_fd_sc_hd__mux2_2 _18777_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][24] ), + .S(_01852_), + .X(_02600_) + ); + sky130_fd_sc_hd__mux2_2 _18778_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][24] ), + .S(_01852_), + .X(_02601_) + ); + sky130_fd_sc_hd__mux2_2 _18779_ ( + .A0(_02601_), + .A1(_02600_), + .S(_01856_), + .X(_02602_) + ); + sky130_fd_sc_hd__mux2_2 _18780_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][24] ), + .S(_01852_), + .X(_02603_) + ); + sky130_fd_sc_hd__mux2_2 _18781_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][24] ), + .S(_01852_), + .X(_02604_) + ); + sky130_fd_sc_hd__mux2_2 _18782_ ( + .A0(_02604_), + .A1(_02603_), + .S(_01856_), + .X(_02605_) + ); + sky130_fd_sc_hd__mux2_2 _18783_ ( + .A0(_02605_), + .A1(_02602_), + .S(_01861_), + .X(_02606_) + ); + sky130_fd_sc_hd__mux2_2 _18784_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][24] ), + .S(_01852_), + .X(_02607_) + ); + sky130_fd_sc_hd__mux2_2 _18785_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][24] ), + .S(_01852_), + .X(_02608_) + ); + sky130_fd_sc_hd__mux2_2 _18786_ ( + .A0(_02608_), + .A1(_02607_), + .S(_01856_), + .X(_02609_) + ); + sky130_fd_sc_hd__mux2_2 _18787_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][24] ), + .S(_01852_), + .X(_02610_) + ); + sky130_fd_sc_hd__mux2_2 _18788_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][24] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][24] ), + .S(_01852_), + .X(_02611_) + ); + sky130_fd_sc_hd__mux2_2 _18789_ ( + .A0(_02611_), + .A1(_02610_), + .S(_01856_), + .X(_02612_) + ); + sky130_fd_sc_hd__mux2_2 _18790_ ( + .A0(_02612_), + .A1(_02609_), + .S(_01861_), + .X(_02613_) + ); + sky130_fd_sc_hd__mux2_2 _18791_ ( + .A0(_02613_), + .A1(_02606_), + .S(_01868_), + .X(_02614_) + ); + sky130_fd_sc_hd__mux2_2 _18792_ ( + .A0(_02614_), + .A1(_02599_), + .S(_01879_), + .X(_00075_) + ); + sky130_fd_sc_hd__mux2_2 _18793_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][25] ), + .S(_01852_), + .X(_02615_) + ); + sky130_fd_sc_hd__mux2_2 _18794_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][25] ), + .S(_01852_), + .X(_02616_) + ); + sky130_fd_sc_hd__mux2_2 _18795_ ( + .A0(_02616_), + .A1(_02615_), + .S(_01856_), + .X(_02617_) + ); + sky130_fd_sc_hd__mux2_2 _18796_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][25] ), + .S(_01852_), + .X(_02618_) + ); + sky130_fd_sc_hd__mux2_2 _18797_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][25] ), + .S(_01852_), + .X(_02619_) + ); + sky130_fd_sc_hd__mux2_2 _18798_ ( + .A0(_02619_), + .A1(_02618_), + .S(_01856_), + .X(_02620_) + ); + sky130_fd_sc_hd__mux2_2 _18799_ ( + .A0(_02620_), + .A1(_02617_), + .S(_01861_), + .X(_02621_) + ); + sky130_fd_sc_hd__mux2_2 _18800_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][25] ), + .S(_01852_), + .X(_02622_) + ); + sky130_fd_sc_hd__mux2_2 _18801_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][25] ), + .S(_01852_), + .X(_02623_) + ); + sky130_fd_sc_hd__mux2_2 _18802_ ( + .A0(_02623_), + .A1(_02622_), + .S(_01856_), + .X(_02624_) + ); + sky130_fd_sc_hd__mux2_2 _18803_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][25] ), + .S(_01852_), + .X(_02625_) + ); + sky130_fd_sc_hd__mux2_2 _18804_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][25] ), + .S(_01852_), + .X(_02626_) + ); + sky130_fd_sc_hd__mux2_2 _18805_ ( + .A0(_02626_), + .A1(_02625_), + .S(_01856_), + .X(_02627_) + ); + sky130_fd_sc_hd__mux2_2 _18806_ ( + .A0(_02627_), + .A1(_02624_), + .S(_01861_), + .X(_02628_) + ); + sky130_fd_sc_hd__mux2_2 _18807_ ( + .A0(_02628_), + .A1(_02621_), + .S(_01868_), + .X(_02629_) + ); + sky130_fd_sc_hd__mux2_2 _18808_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][25] ), + .S(_01852_), + .X(_02630_) + ); + sky130_fd_sc_hd__mux2_2 _18809_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][25] ), + .S(_01852_), + .X(_02631_) + ); + sky130_fd_sc_hd__mux2_2 _18810_ ( + .A0(_02631_), + .A1(_02630_), + .S(_01856_), + .X(_02632_) + ); + sky130_fd_sc_hd__mux2_2 _18811_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][25] ), + .S(_01852_), + .X(_02633_) + ); + sky130_fd_sc_hd__mux2_2 _18812_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][25] ), + .S(_01852_), + .X(_02634_) + ); + sky130_fd_sc_hd__mux2_2 _18813_ ( + .A0(_02634_), + .A1(_02633_), + .S(_01856_), + .X(_02635_) + ); + sky130_fd_sc_hd__mux2_2 _18814_ ( + .A0(_02635_), + .A1(_02632_), + .S(_01861_), + .X(_02636_) + ); + sky130_fd_sc_hd__mux2_2 _18815_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][25] ), + .S(_01852_), + .X(_02637_) + ); + sky130_fd_sc_hd__mux2_2 _18816_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][25] ), + .S(_01852_), + .X(_02638_) + ); + sky130_fd_sc_hd__mux2_2 _18817_ ( + .A0(_02638_), + .A1(_02637_), + .S(_01856_), + .X(_02639_) + ); + sky130_fd_sc_hd__mux2_2 _18818_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][25] ), + .S(_01852_), + .X(_02640_) + ); + sky130_fd_sc_hd__mux2_2 _18819_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][25] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][25] ), + .S(_01852_), + .X(_02641_) + ); + sky130_fd_sc_hd__mux2_2 _18820_ ( + .A0(_02641_), + .A1(_02640_), + .S(_01856_), + .X(_02642_) + ); + sky130_fd_sc_hd__mux2_2 _18821_ ( + .A0(_02642_), + .A1(_02639_), + .S(_01861_), + .X(_02643_) + ); + sky130_fd_sc_hd__mux2_2 _18822_ ( + .A0(_02643_), + .A1(_02636_), + .S(_01868_), + .X(_02644_) + ); + sky130_fd_sc_hd__mux2_2 _18823_ ( + .A0(_02644_), + .A1(_02629_), + .S(_01879_), + .X(_00076_) + ); + sky130_fd_sc_hd__mux2_2 _18824_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][26] ), + .S(_01852_), + .X(_02645_) + ); + sky130_fd_sc_hd__mux2_2 _18825_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][26] ), + .S(_01852_), + .X(_02646_) + ); + sky130_fd_sc_hd__mux2_2 _18826_ ( + .A0(_02646_), + .A1(_02645_), + .S(_01856_), + .X(_02647_) + ); + sky130_fd_sc_hd__mux2_2 _18827_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][26] ), + .S(_01852_), + .X(_02648_) + ); + sky130_fd_sc_hd__mux2_2 _18828_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][26] ), + .S(_01852_), + .X(_02649_) + ); + sky130_fd_sc_hd__mux2_2 _18829_ ( + .A0(_02649_), + .A1(_02648_), + .S(_01856_), + .X(_02650_) + ); + sky130_fd_sc_hd__mux2_2 _18830_ ( + .A0(_02650_), + .A1(_02647_), + .S(_01861_), + .X(_02651_) + ); + sky130_fd_sc_hd__mux2_2 _18831_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][26] ), + .S(_01852_), + .X(_02652_) + ); + sky130_fd_sc_hd__mux2_2 _18832_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][26] ), + .S(_01852_), + .X(_02653_) + ); + sky130_fd_sc_hd__mux2_2 _18833_ ( + .A0(_02653_), + .A1(_02652_), + .S(_01856_), + .X(_02654_) + ); + sky130_fd_sc_hd__mux2_2 _18834_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][26] ), + .S(_01852_), + .X(_02655_) + ); + sky130_fd_sc_hd__mux2_2 _18835_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][26] ), + .S(_01852_), + .X(_02656_) + ); + sky130_fd_sc_hd__mux2_2 _18836_ ( + .A0(_02656_), + .A1(_02655_), + .S(_01856_), + .X(_02657_) + ); + sky130_fd_sc_hd__mux2_2 _18837_ ( + .A0(_02657_), + .A1(_02654_), + .S(_01861_), + .X(_02658_) + ); + sky130_fd_sc_hd__mux2_2 _18838_ ( + .A0(_02658_), + .A1(_02651_), + .S(_01868_), + .X(_02659_) + ); + sky130_fd_sc_hd__mux2_2 _18839_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][26] ), + .S(_01852_), + .X(_02660_) + ); + sky130_fd_sc_hd__mux2_2 _18840_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][26] ), + .S(_01852_), + .X(_02661_) + ); + sky130_fd_sc_hd__mux2_2 _18841_ ( + .A0(_02661_), + .A1(_02660_), + .S(_01856_), + .X(_02662_) + ); + sky130_fd_sc_hd__mux2_2 _18842_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][26] ), + .S(_01852_), + .X(_02663_) + ); + sky130_fd_sc_hd__mux2_2 _18843_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][26] ), + .S(_01852_), + .X(_02664_) + ); + sky130_fd_sc_hd__mux2_2 _18844_ ( + .A0(_02664_), + .A1(_02663_), + .S(_01856_), + .X(_02665_) + ); + sky130_fd_sc_hd__mux2_2 _18845_ ( + .A0(_02665_), + .A1(_02662_), + .S(_01861_), + .X(_02666_) + ); + sky130_fd_sc_hd__mux2_2 _18846_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][26] ), + .S(_01852_), + .X(_02667_) + ); + sky130_fd_sc_hd__mux2_2 _18847_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][26] ), + .S(_01852_), + .X(_02668_) + ); + sky130_fd_sc_hd__mux2_2 _18848_ ( + .A0(_02668_), + .A1(_02667_), + .S(_01856_), + .X(_02669_) + ); + sky130_fd_sc_hd__mux2_2 _18849_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][26] ), + .S(_01852_), + .X(_02670_) + ); + sky130_fd_sc_hd__mux2_2 _18850_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][26] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][26] ), + .S(_01852_), + .X(_02671_) + ); + sky130_fd_sc_hd__mux2_2 _18851_ ( + .A0(_02671_), + .A1(_02670_), + .S(_01856_), + .X(_02672_) + ); + sky130_fd_sc_hd__mux2_2 _18852_ ( + .A0(_02672_), + .A1(_02669_), + .S(_01861_), + .X(_02673_) + ); + sky130_fd_sc_hd__mux2_2 _18853_ ( + .A0(_02673_), + .A1(_02666_), + .S(_01868_), + .X(_02674_) + ); + sky130_fd_sc_hd__mux2_2 _18854_ ( + .A0(_02674_), + .A1(_02659_), + .S(_01879_), + .X(_00077_) + ); + sky130_fd_sc_hd__mux2_2 _18855_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][27] ), + .S(_01852_), + .X(_02675_) + ); + sky130_fd_sc_hd__mux2_2 _18856_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][27] ), + .S(_01852_), + .X(_02676_) + ); + sky130_fd_sc_hd__mux2_2 _18857_ ( + .A0(_02676_), + .A1(_02675_), + .S(_01856_), + .X(_02677_) + ); + sky130_fd_sc_hd__mux2_2 _18858_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][27] ), + .S(_01852_), + .X(_02678_) + ); + sky130_fd_sc_hd__mux2_2 _18859_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][27] ), + .S(_01852_), + .X(_02679_) + ); + sky130_fd_sc_hd__mux2_2 _18860_ ( + .A0(_02679_), + .A1(_02678_), + .S(_01856_), + .X(_02680_) + ); + sky130_fd_sc_hd__mux2_2 _18861_ ( + .A0(_02680_), + .A1(_02677_), + .S(_01861_), + .X(_02681_) + ); + sky130_fd_sc_hd__mux2_2 _18862_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][27] ), + .S(_01852_), + .X(_02682_) + ); + sky130_fd_sc_hd__mux2_2 _18863_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][27] ), + .S(_01852_), + .X(_02683_) + ); + sky130_fd_sc_hd__mux2_2 _18864_ ( + .A0(_02683_), + .A1(_02682_), + .S(_01856_), + .X(_02684_) + ); + sky130_fd_sc_hd__mux2_2 _18865_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][27] ), + .S(_01852_), + .X(_02685_) + ); + sky130_fd_sc_hd__mux2_2 _18866_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][27] ), + .S(_01852_), + .X(_02686_) + ); + sky130_fd_sc_hd__mux2_2 _18867_ ( + .A0(_02686_), + .A1(_02685_), + .S(_01856_), + .X(_02687_) + ); + sky130_fd_sc_hd__mux2_2 _18868_ ( + .A0(_02687_), + .A1(_02684_), + .S(_01861_), + .X(_02688_) + ); + sky130_fd_sc_hd__mux2_2 _18869_ ( + .A0(_02688_), + .A1(_02681_), + .S(_01868_), + .X(_02689_) + ); + sky130_fd_sc_hd__mux2_2 _18870_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][27] ), + .S(_01852_), + .X(_02690_) + ); + sky130_fd_sc_hd__mux2_2 _18871_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][27] ), + .S(_01852_), + .X(_02691_) + ); + sky130_fd_sc_hd__mux2_2 _18872_ ( + .A0(_02691_), + .A1(_02690_), + .S(_01856_), + .X(_02692_) + ); + sky130_fd_sc_hd__mux2_2 _18873_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][27] ), + .S(_01852_), + .X(_02693_) + ); + sky130_fd_sc_hd__mux2_2 _18874_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][27] ), + .S(_01852_), + .X(_02694_) + ); + sky130_fd_sc_hd__mux2_2 _18875_ ( + .A0(_02694_), + .A1(_02693_), + .S(_01856_), + .X(_02695_) + ); + sky130_fd_sc_hd__mux2_2 _18876_ ( + .A0(_02695_), + .A1(_02692_), + .S(_01861_), + .X(_02696_) + ); + sky130_fd_sc_hd__mux2_2 _18877_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][27] ), + .S(_01852_), + .X(_02697_) + ); + sky130_fd_sc_hd__mux2_2 _18878_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][27] ), + .S(_01852_), + .X(_02698_) + ); + sky130_fd_sc_hd__mux2_2 _18879_ ( + .A0(_02698_), + .A1(_02697_), + .S(_01856_), + .X(_02699_) + ); + sky130_fd_sc_hd__mux2_2 _18880_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][27] ), + .S(_01852_), + .X(_02700_) + ); + sky130_fd_sc_hd__mux2_2 _18881_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][27] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][27] ), + .S(_01852_), + .X(_02701_) + ); + sky130_fd_sc_hd__mux2_2 _18882_ ( + .A0(_02701_), + .A1(_02700_), + .S(_01856_), + .X(_02702_) + ); + sky130_fd_sc_hd__mux2_2 _18883_ ( + .A0(_02702_), + .A1(_02699_), + .S(_01861_), + .X(_02703_) + ); + sky130_fd_sc_hd__mux2_2 _18884_ ( + .A0(_02703_), + .A1(_02696_), + .S(_01868_), + .X(_02704_) + ); + sky130_fd_sc_hd__mux2_2 _18885_ ( + .A0(_02704_), + .A1(_02689_), + .S(_01879_), + .X(_00078_) + ); + sky130_fd_sc_hd__mux2_2 _18886_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][28] ), + .S(_01852_), + .X(_02705_) + ); + sky130_fd_sc_hd__mux2_2 _18887_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][28] ), + .S(_01852_), + .X(_02706_) + ); + sky130_fd_sc_hd__mux2_2 _18888_ ( + .A0(_02706_), + .A1(_02705_), + .S(_01856_), + .X(_02707_) + ); + sky130_fd_sc_hd__mux2_2 _18889_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][28] ), + .S(_01852_), + .X(_02708_) + ); + sky130_fd_sc_hd__mux2_2 _18890_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][28] ), + .S(_01852_), + .X(_02709_) + ); + sky130_fd_sc_hd__mux2_2 _18891_ ( + .A0(_02709_), + .A1(_02708_), + .S(_01856_), + .X(_02710_) + ); + sky130_fd_sc_hd__mux2_2 _18892_ ( + .A0(_02710_), + .A1(_02707_), + .S(_01861_), + .X(_02711_) + ); + sky130_fd_sc_hd__mux2_2 _18893_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][28] ), + .S(_01852_), + .X(_02712_) + ); + sky130_fd_sc_hd__mux2_2 _18894_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][28] ), + .S(_01852_), + .X(_02713_) + ); + sky130_fd_sc_hd__mux2_2 _18895_ ( + .A0(_02713_), + .A1(_02712_), + .S(_01856_), + .X(_02714_) + ); + sky130_fd_sc_hd__mux2_2 _18896_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][28] ), + .S(_01852_), + .X(_02715_) + ); + sky130_fd_sc_hd__mux2_2 _18897_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][28] ), + .S(_01852_), + .X(_02716_) + ); + sky130_fd_sc_hd__mux2_2 _18898_ ( + .A0(_02716_), + .A1(_02715_), + .S(_01856_), + .X(_02717_) + ); + sky130_fd_sc_hd__mux2_2 _18899_ ( + .A0(_02717_), + .A1(_02714_), + .S(_01861_), + .X(_02718_) + ); + sky130_fd_sc_hd__mux2_2 _18900_ ( + .A0(_02718_), + .A1(_02711_), + .S(_01868_), + .X(_02719_) + ); + sky130_fd_sc_hd__mux2_2 _18901_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][28] ), + .S(_01852_), + .X(_02720_) + ); + sky130_fd_sc_hd__mux2_2 _18902_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][28] ), + .S(_01852_), + .X(_02721_) + ); + sky130_fd_sc_hd__mux2_2 _18903_ ( + .A0(_02721_), + .A1(_02720_), + .S(_01856_), + .X(_02722_) + ); + sky130_fd_sc_hd__mux2_2 _18904_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][28] ), + .S(_01852_), + .X(_02723_) + ); + sky130_fd_sc_hd__mux2_2 _18905_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][28] ), + .S(_01852_), + .X(_02724_) + ); + sky130_fd_sc_hd__mux2_2 _18906_ ( + .A0(_02724_), + .A1(_02723_), + .S(_01856_), + .X(_02725_) + ); + sky130_fd_sc_hd__mux2_2 _18907_ ( + .A0(_02725_), + .A1(_02722_), + .S(_01861_), + .X(_02726_) + ); + sky130_fd_sc_hd__mux2_2 _18908_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][28] ), + .S(_01852_), + .X(_02727_) + ); + sky130_fd_sc_hd__mux2_2 _18909_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][28] ), + .S(_01852_), + .X(_02728_) + ); + sky130_fd_sc_hd__mux2_2 _18910_ ( + .A0(_02728_), + .A1(_02727_), + .S(_01856_), + .X(_02729_) + ); + sky130_fd_sc_hd__mux2_2 _18911_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][28] ), + .S(_01852_), + .X(_02730_) + ); + sky130_fd_sc_hd__mux2_2 _18912_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][28] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][28] ), + .S(_01852_), + .X(_02731_) + ); + sky130_fd_sc_hd__mux2_2 _18913_ ( + .A0(_02731_), + .A1(_02730_), + .S(_01856_), + .X(_02732_) + ); + sky130_fd_sc_hd__mux2_2 _18914_ ( + .A0(_02732_), + .A1(_02729_), + .S(_01861_), + .X(_02733_) + ); + sky130_fd_sc_hd__mux2_2 _18915_ ( + .A0(_02733_), + .A1(_02726_), + .S(_01868_), + .X(_02734_) + ); + sky130_fd_sc_hd__mux2_2 _18916_ ( + .A0(_02734_), + .A1(_02719_), + .S(_01879_), + .X(_00079_) + ); + sky130_fd_sc_hd__mux2_2 _18917_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][29] ), + .S(_01852_), + .X(_02735_) + ); + sky130_fd_sc_hd__mux2_2 _18918_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][29] ), + .S(_01852_), + .X(_02736_) + ); + sky130_fd_sc_hd__mux2_2 _18919_ ( + .A0(_02736_), + .A1(_02735_), + .S(_01856_), + .X(_02737_) + ); + sky130_fd_sc_hd__mux2_2 _18920_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][29] ), + .S(_01852_), + .X(_02738_) + ); + sky130_fd_sc_hd__mux2_2 _18921_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][29] ), + .S(_01852_), + .X(_02739_) + ); + sky130_fd_sc_hd__mux2_2 _18922_ ( + .A0(_02739_), + .A1(_02738_), + .S(_01856_), + .X(_02740_) + ); + sky130_fd_sc_hd__mux2_2 _18923_ ( + .A0(_02740_), + .A1(_02737_), + .S(_01861_), + .X(_02741_) + ); + sky130_fd_sc_hd__mux2_2 _18924_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][29] ), + .S(_01852_), + .X(_02742_) + ); + sky130_fd_sc_hd__mux2_2 _18925_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][29] ), + .S(_01852_), + .X(_02743_) + ); + sky130_fd_sc_hd__mux2_2 _18926_ ( + .A0(_02743_), + .A1(_02742_), + .S(_01856_), + .X(_02744_) + ); + sky130_fd_sc_hd__mux2_2 _18927_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][29] ), + .S(_01852_), + .X(_02745_) + ); + sky130_fd_sc_hd__mux2_2 _18928_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][29] ), + .S(_01852_), + .X(_02746_) + ); + sky130_fd_sc_hd__mux2_2 _18929_ ( + .A0(_02746_), + .A1(_02745_), + .S(_01856_), + .X(_02747_) + ); + sky130_fd_sc_hd__mux2_2 _18930_ ( + .A0(_02747_), + .A1(_02744_), + .S(_01861_), + .X(_02748_) + ); + sky130_fd_sc_hd__mux2_2 _18931_ ( + .A0(_02748_), + .A1(_02741_), + .S(_01868_), + .X(_02749_) + ); + sky130_fd_sc_hd__mux2_2 _18932_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][29] ), + .S(_01852_), + .X(_02750_) + ); + sky130_fd_sc_hd__mux2_2 _18933_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][29] ), + .S(_01852_), + .X(_02751_) + ); + sky130_fd_sc_hd__mux2_2 _18934_ ( + .A0(_02751_), + .A1(_02750_), + .S(_01856_), + .X(_02752_) + ); + sky130_fd_sc_hd__mux2_2 _18935_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][29] ), + .S(_01852_), + .X(_02753_) + ); + sky130_fd_sc_hd__mux2_2 _18936_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][29] ), + .S(_01852_), + .X(_02754_) + ); + sky130_fd_sc_hd__mux2_2 _18937_ ( + .A0(_02754_), + .A1(_02753_), + .S(_01856_), + .X(_02755_) + ); + sky130_fd_sc_hd__mux2_2 _18938_ ( + .A0(_02755_), + .A1(_02752_), + .S(_01861_), + .X(_02756_) + ); + sky130_fd_sc_hd__mux2_2 _18939_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][29] ), + .S(_01852_), + .X(_02757_) + ); + sky130_fd_sc_hd__mux2_2 _18940_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][29] ), + .S(_01852_), + .X(_02758_) + ); + sky130_fd_sc_hd__mux2_2 _18941_ ( + .A0(_02758_), + .A1(_02757_), + .S(_01856_), + .X(_02759_) + ); + sky130_fd_sc_hd__mux2_2 _18942_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][29] ), + .S(_01852_), + .X(_02760_) + ); + sky130_fd_sc_hd__mux2_2 _18943_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][29] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][29] ), + .S(_01852_), + .X(_02761_) + ); + sky130_fd_sc_hd__mux2_2 _18944_ ( + .A0(_02761_), + .A1(_02760_), + .S(_01856_), + .X(_02762_) + ); + sky130_fd_sc_hd__mux2_2 _18945_ ( + .A0(_02762_), + .A1(_02759_), + .S(_01861_), + .X(_02763_) + ); + sky130_fd_sc_hd__mux2_2 _18946_ ( + .A0(_02763_), + .A1(_02756_), + .S(_01868_), + .X(_02764_) + ); + sky130_fd_sc_hd__mux2_2 _18947_ ( + .A0(_02764_), + .A1(_02749_), + .S(_01879_), + .X(_00080_) + ); + sky130_fd_sc_hd__mux2_2 _18948_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][30] ), + .S(_01852_), + .X(_02765_) + ); + sky130_fd_sc_hd__mux2_2 _18949_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][30] ), + .S(_01852_), + .X(_02766_) + ); + sky130_fd_sc_hd__mux2_2 _18950_ ( + .A0(_02766_), + .A1(_02765_), + .S(_01856_), + .X(_02767_) + ); + sky130_fd_sc_hd__mux2_2 _18951_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][30] ), + .S(_01852_), + .X(_02768_) + ); + sky130_fd_sc_hd__mux2_2 _18952_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][30] ), + .S(_01852_), + .X(_02769_) + ); + sky130_fd_sc_hd__mux2_2 _18953_ ( + .A0(_02769_), + .A1(_02768_), + .S(_01856_), + .X(_02770_) + ); + sky130_fd_sc_hd__mux2_2 _18954_ ( + .A0(_02770_), + .A1(_02767_), + .S(_01861_), + .X(_02771_) + ); + sky130_fd_sc_hd__mux2_2 _18955_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][30] ), + .S(_01852_), + .X(_02772_) + ); + sky130_fd_sc_hd__mux2_2 _18956_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][30] ), + .S(_01852_), + .X(_02773_) + ); + sky130_fd_sc_hd__mux2_2 _18957_ ( + .A0(_02773_), + .A1(_02772_), + .S(_01856_), + .X(_02774_) + ); + sky130_fd_sc_hd__mux2_2 _18958_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][30] ), + .S(_01852_), + .X(_02775_) + ); + sky130_fd_sc_hd__mux2_2 _18959_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][30] ), + .S(_01852_), + .X(_02776_) + ); + sky130_fd_sc_hd__mux2_2 _18960_ ( + .A0(_02776_), + .A1(_02775_), + .S(_01856_), + .X(_02777_) + ); + sky130_fd_sc_hd__mux2_2 _18961_ ( + .A0(_02777_), + .A1(_02774_), + .S(_01861_), + .X(_02778_) + ); + sky130_fd_sc_hd__mux2_2 _18962_ ( + .A0(_02778_), + .A1(_02771_), + .S(_01868_), + .X(_02779_) + ); + sky130_fd_sc_hd__mux2_2 _18963_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][30] ), + .S(_01852_), + .X(_02780_) + ); + sky130_fd_sc_hd__mux2_2 _18964_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][30] ), + .S(_01852_), + .X(_02781_) + ); + sky130_fd_sc_hd__mux2_2 _18965_ ( + .A0(_02781_), + .A1(_02780_), + .S(_01856_), + .X(_02782_) + ); + sky130_fd_sc_hd__mux2_2 _18966_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][30] ), + .S(_01852_), + .X(_02783_) + ); + sky130_fd_sc_hd__mux2_2 _18967_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][30] ), + .S(_01852_), + .X(_02784_) + ); + sky130_fd_sc_hd__mux2_2 _18968_ ( + .A0(_02784_), + .A1(_02783_), + .S(_01856_), + .X(_02785_) + ); + sky130_fd_sc_hd__mux2_2 _18969_ ( + .A0(_02785_), + .A1(_02782_), + .S(_01861_), + .X(_02786_) + ); + sky130_fd_sc_hd__mux2_2 _18970_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][30] ), + .S(_01852_), + .X(_02787_) + ); + sky130_fd_sc_hd__mux2_2 _18971_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][30] ), + .S(_01852_), + .X(_02788_) + ); + sky130_fd_sc_hd__mux2_2 _18972_ ( + .A0(_02788_), + .A1(_02787_), + .S(_01856_), + .X(_02789_) + ); + sky130_fd_sc_hd__mux2_2 _18973_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][30] ), + .S(_01852_), + .X(_02790_) + ); + sky130_fd_sc_hd__mux2_2 _18974_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][30] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][30] ), + .S(_01852_), + .X(_02791_) + ); + sky130_fd_sc_hd__mux2_2 _18975_ ( + .A0(_02791_), + .A1(_02790_), + .S(_01856_), + .X(_02792_) + ); + sky130_fd_sc_hd__mux2_2 _18976_ ( + .A0(_02792_), + .A1(_02789_), + .S(_01861_), + .X(_02793_) + ); + sky130_fd_sc_hd__mux2_2 _18977_ ( + .A0(_02793_), + .A1(_02786_), + .S(_01868_), + .X(_02794_) + ); + sky130_fd_sc_hd__mux2_2 _18978_ ( + .A0(_02794_), + .A1(_02779_), + .S(_01879_), + .X(_00082_) + ); + sky130_fd_sc_hd__mux2_2 _18979_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[1][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[0][31] ), + .S(_01852_), + .X(_02795_) + ); + sky130_fd_sc_hd__mux2_2 _18980_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[3][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][31] ), + .S(_01852_), + .X(_02796_) + ); + sky130_fd_sc_hd__mux2_2 _18981_ ( + .A0(_02796_), + .A1(_02795_), + .S(_01856_), + .X(_02797_) + ); + sky130_fd_sc_hd__mux2_2 _18982_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][31] ), + .S(_01852_), + .X(_02798_) + ); + sky130_fd_sc_hd__mux2_2 _18983_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[7][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[6][31] ), + .S(_01852_), + .X(_02799_) + ); + sky130_fd_sc_hd__mux2_2 _18984_ ( + .A0(_02799_), + .A1(_02798_), + .S(_01856_), + .X(_02800_) + ); + sky130_fd_sc_hd__mux2_2 _18985_ ( + .A0(_02800_), + .A1(_02797_), + .S(_01861_), + .X(_02801_) + ); + sky130_fd_sc_hd__mux2_2 _18986_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[9][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[8][31] ), + .S(_01852_), + .X(_02802_) + ); + sky130_fd_sc_hd__mux2_2 _18987_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[10][31] ), + .S(_01852_), + .X(_02803_) + ); + sky130_fd_sc_hd__mux2_2 _18988_ ( + .A0(_02803_), + .A1(_02802_), + .S(_01856_), + .X(_02804_) + ); + sky130_fd_sc_hd__mux2_2 _18989_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[13][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[12][31] ), + .S(_01852_), + .X(_02805_) + ); + sky130_fd_sc_hd__mux2_2 _18990_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[14][31] ), + .S(_01852_), + .X(_02806_) + ); + sky130_fd_sc_hd__mux2_2 _18991_ ( + .A0(_02806_), + .A1(_02805_), + .S(_01856_), + .X(_02807_) + ); + sky130_fd_sc_hd__mux2_2 _18992_ ( + .A0(_02807_), + .A1(_02804_), + .S(_01861_), + .X(_02808_) + ); + sky130_fd_sc_hd__mux2_2 _18993_ ( + .A0(_02808_), + .A1(_02801_), + .S(_01868_), + .X(_02809_) + ); + sky130_fd_sc_hd__mux2_2 _18994_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[16][31] ), + .S(_01852_), + .X(_02810_) + ); + sky130_fd_sc_hd__mux2_2 _18995_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[18][31] ), + .S(_01852_), + .X(_02811_) + ); + sky130_fd_sc_hd__mux2_2 _18996_ ( + .A0(_02811_), + .A1(_02810_), + .S(_01856_), + .X(_02812_) + ); + sky130_fd_sc_hd__mux2_2 _18997_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[21][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[20][31] ), + .S(_01852_), + .X(_02813_) + ); + sky130_fd_sc_hd__mux2_2 _18998_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[22][31] ), + .S(_01852_), + .X(_02814_) + ); + sky130_fd_sc_hd__mux2_2 _18999_ ( + .A0(_02814_), + .A1(_02813_), + .S(_01856_), + .X(_02815_) + ); + sky130_fd_sc_hd__mux2_2 _19000_ ( + .A0(_02815_), + .A1(_02812_), + .S(_01861_), + .X(_02816_) + ); + sky130_fd_sc_hd__mux2_2 _19001_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[25][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[24][31] ), + .S(_01852_), + .X(_02817_) + ); + sky130_fd_sc_hd__mux2_2 _19002_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[27][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][31] ), + .S(_01852_), + .X(_02818_) + ); + sky130_fd_sc_hd__mux2_2 _19003_ ( + .A0(_02818_), + .A1(_02817_), + .S(_01856_), + .X(_02819_) + ); + sky130_fd_sc_hd__mux2_2 _19004_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[28][31] ), + .S(_01852_), + .X(_02820_) + ); + sky130_fd_sc_hd__mux2_2 _19005_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[31][31] ), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][31] ), + .S(_01852_), + .X(_02821_) + ); + sky130_fd_sc_hd__mux2_2 _19006_ ( + .A0(_02821_), + .A1(_02820_), + .S(_01856_), + .X(_02822_) + ); + sky130_fd_sc_hd__mux2_2 _19007_ ( + .A0(_02822_), + .A1(_02819_), + .S(_01861_), + .X(_02823_) + ); + sky130_fd_sc_hd__mux2_2 _19008_ ( + .A0(_02823_), + .A1(_02816_), + .S(_01868_), + .X(_02824_) + ); + sky130_fd_sc_hd__mux2_2 _19009_ ( + .A0(_02824_), + .A1(_02809_), + .S(_01879_), + .X(_00083_) + ); + sky130_fd_sc_hd__mux2_2 _19010_ ( + .A0(_02825_), + .A1(\soc.core.mgmtsoc_reload_storage[0] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02826_) + ); + sky130_fd_sc_hd__mux2_2 _19011_ ( + .A0(\soc.core.mgmtsoc_load_storage[0] ), + .A1(_02826_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00172_) + ); + sky130_fd_sc_hd__mux2_2 _19012_ ( + .A0(_02827_), + .A1(\soc.core.mgmtsoc_reload_storage[1] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02828_) + ); + sky130_fd_sc_hd__mux2_2 _19013_ ( + .A0(\soc.core.mgmtsoc_load_storage[1] ), + .A1(_02828_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00183_) + ); + sky130_fd_sc_hd__mux2_2 _19014_ ( + .A0(_02829_), + .A1(\soc.core.mgmtsoc_reload_storage[2] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02830_) + ); + sky130_fd_sc_hd__mux2_2 _19015_ ( + .A0(\soc.core.mgmtsoc_load_storage[2] ), + .A1(_02830_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00194_) + ); + sky130_fd_sc_hd__mux2_2 _19016_ ( + .A0(_02831_), + .A1(\soc.core.mgmtsoc_reload_storage[3] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02832_) + ); + sky130_fd_sc_hd__mux2_2 _19017_ ( + .A0(\soc.core.mgmtsoc_load_storage[3] ), + .A1(_02832_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00197_) + ); + sky130_fd_sc_hd__mux2_2 _19018_ ( + .A0(_02833_), + .A1(\soc.core.mgmtsoc_reload_storage[4] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02834_) + ); + sky130_fd_sc_hd__mux2_2 _19019_ ( + .A0(\soc.core.mgmtsoc_load_storage[4] ), + .A1(_02834_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00198_) + ); + sky130_fd_sc_hd__mux2_2 _19020_ ( + .A0(_02835_), + .A1(\soc.core.mgmtsoc_reload_storage[5] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02836_) + ); + sky130_fd_sc_hd__mux2_2 _19021_ ( + .A0(\soc.core.mgmtsoc_load_storage[5] ), + .A1(_02836_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00199_) + ); + sky130_fd_sc_hd__mux2_2 _19022_ ( + .A0(_02837_), + .A1(\soc.core.mgmtsoc_reload_storage[6] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02838_) + ); + sky130_fd_sc_hd__mux2_2 _19023_ ( + .A0(\soc.core.mgmtsoc_load_storage[6] ), + .A1(_02838_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00200_) + ); + sky130_fd_sc_hd__mux2_2 _19024_ ( + .A0(_02839_), + .A1(\soc.core.mgmtsoc_reload_storage[7] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02840_) + ); + sky130_fd_sc_hd__mux2_2 _19025_ ( + .A0(\soc.core.mgmtsoc_load_storage[7] ), + .A1(_02840_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00201_) + ); + sky130_fd_sc_hd__mux2_2 _19026_ ( + .A0(_02841_), + .A1(\soc.core.mgmtsoc_reload_storage[8] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02842_) + ); + sky130_fd_sc_hd__mux2_2 _19027_ ( + .A0(\soc.core.mgmtsoc_load_storage[8] ), + .A1(_02842_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00202_) + ); + sky130_fd_sc_hd__mux2_2 _19028_ ( + .A0(_02843_), + .A1(\soc.core.mgmtsoc_reload_storage[9] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02844_) + ); + sky130_fd_sc_hd__mux2_2 _19029_ ( + .A0(\soc.core.mgmtsoc_load_storage[9] ), + .A1(_02844_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00203_) + ); + sky130_fd_sc_hd__mux2_2 _19030_ ( + .A0(_02845_), + .A1(\soc.core.mgmtsoc_reload_storage[10] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02846_) + ); + sky130_fd_sc_hd__mux2_2 _19031_ ( + .A0(\soc.core.mgmtsoc_load_storage[10] ), + .A1(_02846_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00173_) + ); + sky130_fd_sc_hd__mux2_2 _19032_ ( + .A0(_02847_), + .A1(\soc.core.mgmtsoc_reload_storage[11] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02848_) + ); + sky130_fd_sc_hd__mux2_2 _19033_ ( + .A0(\soc.core.mgmtsoc_load_storage[11] ), + .A1(_02848_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00174_) + ); + sky130_fd_sc_hd__mux2_2 _19034_ ( + .A0(_02849_), + .A1(\soc.core.mgmtsoc_reload_storage[12] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02850_) + ); + sky130_fd_sc_hd__mux2_2 _19035_ ( + .A0(\soc.core.mgmtsoc_load_storage[12] ), + .A1(_02850_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00175_) + ); + sky130_fd_sc_hd__mux2_2 _19036_ ( + .A0(_02851_), + .A1(\soc.core.mgmtsoc_reload_storage[13] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02852_) + ); + sky130_fd_sc_hd__mux2_2 _19037_ ( + .A0(\soc.core.mgmtsoc_load_storage[13] ), + .A1(_02852_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00176_) + ); + sky130_fd_sc_hd__mux2_2 _19038_ ( + .A0(_02853_), + .A1(\soc.core.mgmtsoc_reload_storage[14] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02854_) + ); + sky130_fd_sc_hd__mux2_2 _19039_ ( + .A0(\soc.core.mgmtsoc_load_storage[14] ), + .A1(_02854_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00177_) + ); + sky130_fd_sc_hd__mux2_2 _19040_ ( + .A0(_02855_), + .A1(\soc.core.mgmtsoc_reload_storage[15] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02856_) + ); + sky130_fd_sc_hd__mux2_2 _19041_ ( + .A0(\soc.core.mgmtsoc_load_storage[15] ), + .A1(_02856_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00178_) + ); + sky130_fd_sc_hd__mux2_2 _19042_ ( + .A0(_02857_), + .A1(\soc.core.mgmtsoc_reload_storage[16] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02858_) + ); + sky130_fd_sc_hd__mux2_2 _19043_ ( + .A0(\soc.core.mgmtsoc_load_storage[16] ), + .A1(_02858_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00179_) + ); + sky130_fd_sc_hd__mux2_2 _19044_ ( + .A0(_02859_), + .A1(\soc.core.mgmtsoc_reload_storage[17] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02860_) + ); + sky130_fd_sc_hd__mux2_2 _19045_ ( + .A0(\soc.core.mgmtsoc_load_storage[17] ), + .A1(_02860_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00180_) + ); + sky130_fd_sc_hd__mux2_2 _19046_ ( + .A0(_02861_), + .A1(\soc.core.mgmtsoc_reload_storage[18] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02862_) + ); + sky130_fd_sc_hd__mux2_2 _19047_ ( + .A0(\soc.core.mgmtsoc_load_storage[18] ), + .A1(_02862_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00181_) + ); + sky130_fd_sc_hd__mux2_2 _19048_ ( + .A0(_02863_), + .A1(\soc.core.mgmtsoc_reload_storage[19] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02864_) + ); + sky130_fd_sc_hd__mux2_2 _19049_ ( + .A0(\soc.core.mgmtsoc_load_storage[19] ), + .A1(_02864_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00182_) + ); + sky130_fd_sc_hd__mux2_2 _19050_ ( + .A0(_02865_), + .A1(\soc.core.mgmtsoc_reload_storage[20] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02866_) + ); + sky130_fd_sc_hd__mux2_2 _19051_ ( + .A0(\soc.core.mgmtsoc_load_storage[20] ), + .A1(_02866_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00184_) + ); + sky130_fd_sc_hd__mux2_2 _19052_ ( + .A0(_02867_), + .A1(\soc.core.mgmtsoc_reload_storage[21] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02868_) + ); + sky130_fd_sc_hd__mux2_2 _19053_ ( + .A0(\soc.core.mgmtsoc_load_storage[21] ), + .A1(_02868_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00185_) + ); + sky130_fd_sc_hd__mux2_2 _19054_ ( + .A0(_02869_), + .A1(\soc.core.mgmtsoc_reload_storage[22] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02870_) + ); + sky130_fd_sc_hd__mux2_2 _19055_ ( + .A0(\soc.core.mgmtsoc_load_storage[22] ), + .A1(_02870_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00186_) + ); + sky130_fd_sc_hd__mux2_2 _19056_ ( + .A0(_02871_), + .A1(\soc.core.mgmtsoc_reload_storage[23] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02872_) + ); + sky130_fd_sc_hd__mux2_2 _19057_ ( + .A0(\soc.core.mgmtsoc_load_storage[23] ), + .A1(_02872_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00187_) + ); + sky130_fd_sc_hd__mux2_2 _19058_ ( + .A0(_02873_), + .A1(\soc.core.mgmtsoc_reload_storage[24] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02874_) + ); + sky130_fd_sc_hd__mux2_2 _19059_ ( + .A0(\soc.core.mgmtsoc_load_storage[24] ), + .A1(_02874_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00188_) + ); + sky130_fd_sc_hd__mux2_2 _19060_ ( + .A0(_02875_), + .A1(\soc.core.mgmtsoc_reload_storage[25] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02876_) + ); + sky130_fd_sc_hd__mux2_2 _19061_ ( + .A0(\soc.core.mgmtsoc_load_storage[25] ), + .A1(_02876_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00189_) + ); + sky130_fd_sc_hd__mux2_2 _19062_ ( + .A0(_02877_), + .A1(\soc.core.mgmtsoc_reload_storage[26] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02878_) + ); + sky130_fd_sc_hd__mux2_2 _19063_ ( + .A0(\soc.core.mgmtsoc_load_storage[26] ), + .A1(_02878_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00190_) + ); + sky130_fd_sc_hd__mux2_2 _19064_ ( + .A0(_02879_), + .A1(\soc.core.mgmtsoc_reload_storage[27] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02880_) + ); + sky130_fd_sc_hd__mux2_2 _19065_ ( + .A0(\soc.core.mgmtsoc_load_storage[27] ), + .A1(_02880_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00191_) + ); + sky130_fd_sc_hd__mux2_2 _19066_ ( + .A0(_02881_), + .A1(\soc.core.mgmtsoc_reload_storage[28] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02882_) + ); + sky130_fd_sc_hd__mux2_2 _19067_ ( + .A0(\soc.core.mgmtsoc_load_storage[28] ), + .A1(_02882_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00192_) + ); + sky130_fd_sc_hd__mux2_2 _19068_ ( + .A0(_02883_), + .A1(\soc.core.mgmtsoc_reload_storage[29] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02884_) + ); + sky130_fd_sc_hd__mux2_2 _19069_ ( + .A0(\soc.core.mgmtsoc_load_storage[29] ), + .A1(_02884_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00193_) + ); + sky130_fd_sc_hd__mux2_2 _19070_ ( + .A0(_02885_), + .A1(\soc.core.mgmtsoc_reload_storage[30] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02886_) + ); + sky130_fd_sc_hd__mux2_2 _19071_ ( + .A0(\soc.core.mgmtsoc_load_storage[30] ), + .A1(_02886_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00195_) + ); + sky130_fd_sc_hd__mux2_2 _19072_ ( + .A0(_02887_), + .A1(\soc.core.mgmtsoc_reload_storage[31] ), + .S(\soc.core.csrbank10_ev_status_w ), + .X(_02888_) + ); + sky130_fd_sc_hd__mux2_2 _19073_ ( + .A0(\soc.core.mgmtsoc_load_storage[31] ), + .A1(_02888_), + .S(\soc.core.mgmtsoc_en_storage ), + .X(_00196_) + ); + sky130_fd_sc_hd__mux2_2 _19074_ ( + .A0(_02890_), + .A1(_02889_), + .S(_05105_), + .X(_00204_) + ); + sky130_fd_sc_hd__mux2_2 _19075_ ( + .A0(_02892_), + .A1(_02891_), + .S(_05105_), + .X(_00211_) + ); + sky130_fd_sc_hd__mux2_2 _19076_ ( + .A0(_02894_), + .A1(_02893_), + .S(_05105_), + .X(_00212_) + ); + sky130_fd_sc_hd__mux2_2 _19077_ ( + .A0(_02896_), + .A1(_02895_), + .S(_05105_), + .X(_00213_) + ); + sky130_fd_sc_hd__mux2_2 _19078_ ( + .A0(_02898_), + .A1(_02897_), + .S(_05105_), + .X(_00214_) + ); + sky130_fd_sc_hd__mux2_2 _19079_ ( + .A0(_02900_), + .A1(_02899_), + .S(_05105_), + .X(_00215_) + ); + sky130_fd_sc_hd__mux2_2 _19080_ ( + .A0(_02902_), + .A1(_02901_), + .S(_05105_), + .X(_00216_) + ); + sky130_fd_sc_hd__mux2_2 _19081_ ( + .A0(_02904_), + .A1(_02903_), + .S(_05105_), + .X(_00217_) + ); + sky130_fd_sc_hd__mux2_2 _19082_ ( + .A0(_02906_), + .A1(_02905_), + .S(_05105_), + .X(_00218_) + ); + sky130_fd_sc_hd__mux2_2 _19083_ ( + .A0(_02908_), + .A1(_02907_), + .S(_05105_), + .X(_00219_) + ); + sky130_fd_sc_hd__mux2_2 _19084_ ( + .A0(_02910_), + .A1(_02909_), + .S(_05105_), + .X(_00205_) + ); + sky130_fd_sc_hd__mux2_2 _19085_ ( + .A0(_02912_), + .A1(_02911_), + .S(_05105_), + .X(_00206_) + ); + sky130_fd_sc_hd__mux2_2 _19086_ ( + .A0(_02914_), + .A1(_02913_), + .S(_05105_), + .X(_00207_) + ); + sky130_fd_sc_hd__mux2_2 _19087_ ( + .A0(_02916_), + .A1(_02915_), + .S(_05105_), + .X(_00208_) + ); + sky130_fd_sc_hd__mux2_2 _19088_ ( + .A0(_02918_), + .A1(_02917_), + .S(_05105_), + .X(_00209_) + ); + sky130_fd_sc_hd__mux2_2 _19089_ ( + .A0(_02920_), + .A1(_02919_), + .S(_05105_), + .X(_00210_) + ); + sky130_fd_sc_hd__mux2_2 _19090_ ( + .A0(\soc.core.storage_1[0][0] ), + .A1(\soc.core.storage_1[1][0] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02921_) + ); + sky130_fd_sc_hd__mux2_2 _19091_ ( + .A0(\soc.core.storage_1[2][0] ), + .A1(\soc.core.storage_1[3][0] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02922_) + ); + sky130_fd_sc_hd__mux2_2 _19092_ ( + .A0(_02921_), + .A1(_02922_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02923_) + ); + sky130_fd_sc_hd__mux2_2 _19093_ ( + .A0(\soc.core.storage_1[4][0] ), + .A1(\soc.core.storage_1[5][0] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02924_) + ); + sky130_fd_sc_hd__mux2_2 _19094_ ( + .A0(\soc.core.storage_1[6][0] ), + .A1(\soc.core.storage_1[7][0] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02925_) + ); + sky130_fd_sc_hd__mux2_2 _19095_ ( + .A0(_02924_), + .A1(_02925_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02926_) + ); + sky130_fd_sc_hd__mux2_2 _19096_ ( + .A0(_02923_), + .A1(_02926_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02927_) + ); + sky130_fd_sc_hd__mux2_2 _19097_ ( + .A0(\soc.core.storage_1[8][0] ), + .A1(\soc.core.storage_1[9][0] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02928_) + ); + sky130_fd_sc_hd__mux2_2 _19098_ ( + .A0(\soc.core.storage_1[10][0] ), + .A1(\soc.core.storage_1[11][0] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02929_) + ); + sky130_fd_sc_hd__mux2_2 _19099_ ( + .A0(_02928_), + .A1(_02929_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02930_) + ); + sky130_fd_sc_hd__mux2_2 _19100_ ( + .A0(\soc.core.storage_1[12][0] ), + .A1(\soc.core.storage_1[13][0] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02931_) + ); + sky130_fd_sc_hd__mux2_2 _19101_ ( + .A0(\soc.core.storage_1[14][0] ), + .A1(\soc.core.storage_1[15][0] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02932_) + ); + sky130_fd_sc_hd__mux2_2 _19102_ ( + .A0(_02931_), + .A1(_02932_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02933_) + ); + sky130_fd_sc_hd__mux2_2 _19103_ ( + .A0(_02930_), + .A1(_02933_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02934_) + ); + sky130_fd_sc_hd__mux2_2 _19104_ ( + .A0(_02927_), + .A1(_02934_), + .S(\soc.core.uart_rx_fifo_consume[3] ), + .X(_00131_) + ); + sky130_fd_sc_hd__mux2_2 _19105_ ( + .A0(\soc.core.storage_1[0][1] ), + .A1(\soc.core.storage_1[1][1] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02935_) + ); + sky130_fd_sc_hd__mux2_2 _19106_ ( + .A0(\soc.core.storage_1[2][1] ), + .A1(\soc.core.storage_1[3][1] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02936_) + ); + sky130_fd_sc_hd__mux2_2 _19107_ ( + .A0(_02935_), + .A1(_02936_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02937_) + ); + sky130_fd_sc_hd__mux2_2 _19108_ ( + .A0(\soc.core.storage_1[4][1] ), + .A1(\soc.core.storage_1[5][1] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02938_) + ); + sky130_fd_sc_hd__mux2_2 _19109_ ( + .A0(\soc.core.storage_1[6][1] ), + .A1(\soc.core.storage_1[7][1] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02939_) + ); + sky130_fd_sc_hd__mux2_2 _19110_ ( + .A0(_02938_), + .A1(_02939_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02940_) + ); + sky130_fd_sc_hd__mux2_2 _19111_ ( + .A0(_02937_), + .A1(_02940_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02941_) + ); + sky130_fd_sc_hd__mux2_2 _19112_ ( + .A0(\soc.core.storage_1[8][1] ), + .A1(\soc.core.storage_1[9][1] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02942_) + ); + sky130_fd_sc_hd__mux2_2 _19113_ ( + .A0(\soc.core.storage_1[10][1] ), + .A1(\soc.core.storage_1[11][1] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02943_) + ); + sky130_fd_sc_hd__mux2_2 _19114_ ( + .A0(_02942_), + .A1(_02943_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02944_) + ); + sky130_fd_sc_hd__mux2_2 _19115_ ( + .A0(\soc.core.storage_1[12][1] ), + .A1(\soc.core.storage_1[13][1] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02945_) + ); + sky130_fd_sc_hd__mux2_2 _19116_ ( + .A0(\soc.core.storage_1[14][1] ), + .A1(\soc.core.storage_1[15][1] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02946_) + ); + sky130_fd_sc_hd__mux2_2 _19117_ ( + .A0(_02945_), + .A1(_02946_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02947_) + ); + sky130_fd_sc_hd__mux2_2 _19118_ ( + .A0(_02944_), + .A1(_02947_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02948_) + ); + sky130_fd_sc_hd__mux2_2 _19119_ ( + .A0(_02941_), + .A1(_02948_), + .S(\soc.core.uart_rx_fifo_consume[3] ), + .X(_00132_) + ); + sky130_fd_sc_hd__mux2_2 _19120_ ( + .A0(\soc.core.storage_1[0][2] ), + .A1(\soc.core.storage_1[1][2] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02949_) + ); + sky130_fd_sc_hd__mux2_2 _19121_ ( + .A0(\soc.core.storage_1[2][2] ), + .A1(\soc.core.storage_1[3][2] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02950_) + ); + sky130_fd_sc_hd__mux2_2 _19122_ ( + .A0(_02949_), + .A1(_02950_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02951_) + ); + sky130_fd_sc_hd__mux2_2 _19123_ ( + .A0(\soc.core.storage_1[4][2] ), + .A1(\soc.core.storage_1[5][2] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02952_) + ); + sky130_fd_sc_hd__mux2_2 _19124_ ( + .A0(\soc.core.storage_1[6][2] ), + .A1(\soc.core.storage_1[7][2] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02953_) + ); + sky130_fd_sc_hd__mux2_2 _19125_ ( + .A0(_02952_), + .A1(_02953_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02954_) + ); + sky130_fd_sc_hd__mux2_2 _19126_ ( + .A0(_02951_), + .A1(_02954_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02955_) + ); + sky130_fd_sc_hd__mux2_2 _19127_ ( + .A0(\soc.core.storage_1[8][2] ), + .A1(\soc.core.storage_1[9][2] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02956_) + ); + sky130_fd_sc_hd__mux2_2 _19128_ ( + .A0(\soc.core.storage_1[10][2] ), + .A1(\soc.core.storage_1[11][2] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02957_) + ); + sky130_fd_sc_hd__mux2_2 _19129_ ( + .A0(_02956_), + .A1(_02957_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02958_) + ); + sky130_fd_sc_hd__mux2_2 _19130_ ( + .A0(\soc.core.storage_1[12][2] ), + .A1(\soc.core.storage_1[13][2] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02959_) + ); + sky130_fd_sc_hd__mux2_2 _19131_ ( + .A0(\soc.core.storage_1[14][2] ), + .A1(\soc.core.storage_1[15][2] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02960_) + ); + sky130_fd_sc_hd__mux2_2 _19132_ ( + .A0(_02959_), + .A1(_02960_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02961_) + ); + sky130_fd_sc_hd__mux2_2 _19133_ ( + .A0(_02958_), + .A1(_02961_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02962_) + ); + sky130_fd_sc_hd__mux2_2 _19134_ ( + .A0(_02955_), + .A1(_02962_), + .S(\soc.core.uart_rx_fifo_consume[3] ), + .X(_00133_) + ); + sky130_fd_sc_hd__mux2_2 _19135_ ( + .A0(\soc.core.storage_1[0][3] ), + .A1(\soc.core.storage_1[1][3] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02963_) + ); + sky130_fd_sc_hd__mux2_2 _19136_ ( + .A0(\soc.core.storage_1[2][3] ), + .A1(\soc.core.storage_1[3][3] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02964_) + ); + sky130_fd_sc_hd__mux2_2 _19137_ ( + .A0(_02963_), + .A1(_02964_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02965_) + ); + sky130_fd_sc_hd__mux2_2 _19138_ ( + .A0(\soc.core.storage_1[4][3] ), + .A1(\soc.core.storage_1[5][3] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02966_) + ); + sky130_fd_sc_hd__mux2_2 _19139_ ( + .A0(\soc.core.storage_1[6][3] ), + .A1(\soc.core.storage_1[7][3] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02967_) + ); + sky130_fd_sc_hd__mux2_2 _19140_ ( + .A0(_02966_), + .A1(_02967_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02968_) + ); + sky130_fd_sc_hd__mux2_2 _19141_ ( + .A0(_02965_), + .A1(_02968_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02969_) + ); + sky130_fd_sc_hd__mux2_2 _19142_ ( + .A0(\soc.core.storage_1[8][3] ), + .A1(\soc.core.storage_1[9][3] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02970_) + ); + sky130_fd_sc_hd__mux2_2 _19143_ ( + .A0(\soc.core.storage_1[10][3] ), + .A1(\soc.core.storage_1[11][3] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02971_) + ); + sky130_fd_sc_hd__mux2_2 _19144_ ( + .A0(_02970_), + .A1(_02971_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02972_) + ); + sky130_fd_sc_hd__mux2_2 _19145_ ( + .A0(\soc.core.storage_1[12][3] ), + .A1(\soc.core.storage_1[13][3] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02973_) + ); + sky130_fd_sc_hd__mux2_2 _19146_ ( + .A0(\soc.core.storage_1[14][3] ), + .A1(\soc.core.storage_1[15][3] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02974_) + ); + sky130_fd_sc_hd__mux2_2 _19147_ ( + .A0(_02973_), + .A1(_02974_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02975_) + ); + sky130_fd_sc_hd__mux2_2 _19148_ ( + .A0(_02972_), + .A1(_02975_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02976_) + ); + sky130_fd_sc_hd__mux2_2 _19149_ ( + .A0(_02969_), + .A1(_02976_), + .S(\soc.core.uart_rx_fifo_consume[3] ), + .X(_00134_) + ); + sky130_fd_sc_hd__mux2_2 _19150_ ( + .A0(\soc.core.storage_1[0][4] ), + .A1(\soc.core.storage_1[1][4] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02977_) + ); + sky130_fd_sc_hd__mux2_2 _19151_ ( + .A0(\soc.core.storage_1[2][4] ), + .A1(\soc.core.storage_1[3][4] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02978_) + ); + sky130_fd_sc_hd__mux2_2 _19152_ ( + .A0(_02977_), + .A1(_02978_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02979_) + ); + sky130_fd_sc_hd__mux2_2 _19153_ ( + .A0(\soc.core.storage_1[4][4] ), + .A1(\soc.core.storage_1[5][4] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02980_) + ); + sky130_fd_sc_hd__mux2_2 _19154_ ( + .A0(\soc.core.storage_1[6][4] ), + .A1(\soc.core.storage_1[7][4] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02981_) + ); + sky130_fd_sc_hd__mux2_2 _19155_ ( + .A0(_02980_), + .A1(_02981_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02982_) + ); + sky130_fd_sc_hd__mux2_2 _19156_ ( + .A0(_02979_), + .A1(_02982_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02983_) + ); + sky130_fd_sc_hd__mux2_2 _19157_ ( + .A0(\soc.core.storage_1[8][4] ), + .A1(\soc.core.storage_1[9][4] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02984_) + ); + sky130_fd_sc_hd__mux2_2 _19158_ ( + .A0(\soc.core.storage_1[10][4] ), + .A1(\soc.core.storage_1[11][4] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02985_) + ); + sky130_fd_sc_hd__mux2_2 _19159_ ( + .A0(_02984_), + .A1(_02985_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02986_) + ); + sky130_fd_sc_hd__mux2_2 _19160_ ( + .A0(\soc.core.storage_1[12][4] ), + .A1(\soc.core.storage_1[13][4] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02987_) + ); + sky130_fd_sc_hd__mux2_2 _19161_ ( + .A0(\soc.core.storage_1[14][4] ), + .A1(\soc.core.storage_1[15][4] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02988_) + ); + sky130_fd_sc_hd__mux2_2 _19162_ ( + .A0(_02987_), + .A1(_02988_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02989_) + ); + sky130_fd_sc_hd__mux2_2 _19163_ ( + .A0(_02986_), + .A1(_02989_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02990_) + ); + sky130_fd_sc_hd__mux2_2 _19164_ ( + .A0(_02983_), + .A1(_02990_), + .S(\soc.core.uart_rx_fifo_consume[3] ), + .X(_00135_) + ); + sky130_fd_sc_hd__mux2_2 _19165_ ( + .A0(\soc.core.storage_1[0][5] ), + .A1(\soc.core.storage_1[1][5] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02991_) + ); + sky130_fd_sc_hd__mux2_2 _19166_ ( + .A0(\soc.core.storage_1[2][5] ), + .A1(\soc.core.storage_1[3][5] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02992_) + ); + sky130_fd_sc_hd__mux2_2 _19167_ ( + .A0(_02991_), + .A1(_02992_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02993_) + ); + sky130_fd_sc_hd__mux2_2 _19168_ ( + .A0(\soc.core.storage_1[4][5] ), + .A1(\soc.core.storage_1[5][5] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02994_) + ); + sky130_fd_sc_hd__mux2_2 _19169_ ( + .A0(\soc.core.storage_1[6][5] ), + .A1(\soc.core.storage_1[7][5] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02995_) + ); + sky130_fd_sc_hd__mux2_2 _19170_ ( + .A0(_02994_), + .A1(_02995_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_02996_) + ); + sky130_fd_sc_hd__mux2_2 _19171_ ( + .A0(_02993_), + .A1(_02996_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_02997_) + ); + sky130_fd_sc_hd__mux2_2 _19172_ ( + .A0(\soc.core.storage_1[8][5] ), + .A1(\soc.core.storage_1[9][5] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02998_) + ); + sky130_fd_sc_hd__mux2_2 _19173_ ( + .A0(\soc.core.storage_1[10][5] ), + .A1(\soc.core.storage_1[11][5] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_02999_) + ); + sky130_fd_sc_hd__mux2_2 _19174_ ( + .A0(_02998_), + .A1(_02999_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03000_) + ); + sky130_fd_sc_hd__mux2_2 _19175_ ( + .A0(\soc.core.storage_1[12][5] ), + .A1(\soc.core.storage_1[13][5] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03001_) + ); + sky130_fd_sc_hd__mux2_2 _19176_ ( + .A0(\soc.core.storage_1[14][5] ), + .A1(\soc.core.storage_1[15][5] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03002_) + ); + sky130_fd_sc_hd__mux2_2 _19177_ ( + .A0(_03001_), + .A1(_03002_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03003_) + ); + sky130_fd_sc_hd__mux2_2 _19178_ ( + .A0(_03000_), + .A1(_03003_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_03004_) + ); + sky130_fd_sc_hd__mux2_2 _19179_ ( + .A0(_02997_), + .A1(_03004_), + .S(\soc.core.uart_rx_fifo_consume[3] ), + .X(_00136_) + ); + sky130_fd_sc_hd__mux2_2 _19180_ ( + .A0(\soc.core.storage_1[0][6] ), + .A1(\soc.core.storage_1[1][6] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03005_) + ); + sky130_fd_sc_hd__mux2_2 _19181_ ( + .A0(\soc.core.storage_1[2][6] ), + .A1(\soc.core.storage_1[3][6] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03006_) + ); + sky130_fd_sc_hd__mux2_2 _19182_ ( + .A0(_03005_), + .A1(_03006_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03007_) + ); + sky130_fd_sc_hd__mux2_2 _19183_ ( + .A0(\soc.core.storage_1[4][6] ), + .A1(\soc.core.storage_1[5][6] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03008_) + ); + sky130_fd_sc_hd__mux2_2 _19184_ ( + .A0(\soc.core.storage_1[6][6] ), + .A1(\soc.core.storage_1[7][6] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03009_) + ); + sky130_fd_sc_hd__mux2_2 _19185_ ( + .A0(_03008_), + .A1(_03009_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03010_) + ); + sky130_fd_sc_hd__mux2_2 _19186_ ( + .A0(_03007_), + .A1(_03010_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_03011_) + ); + sky130_fd_sc_hd__mux2_2 _19187_ ( + .A0(\soc.core.storage_1[8][6] ), + .A1(\soc.core.storage_1[9][6] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03012_) + ); + sky130_fd_sc_hd__mux2_2 _19188_ ( + .A0(\soc.core.storage_1[10][6] ), + .A1(\soc.core.storage_1[11][6] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03013_) + ); + sky130_fd_sc_hd__mux2_2 _19189_ ( + .A0(_03012_), + .A1(_03013_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03014_) + ); + sky130_fd_sc_hd__mux2_2 _19190_ ( + .A0(\soc.core.storage_1[12][6] ), + .A1(\soc.core.storage_1[13][6] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03015_) + ); + sky130_fd_sc_hd__mux2_2 _19191_ ( + .A0(\soc.core.storage_1[14][6] ), + .A1(\soc.core.storage_1[15][6] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03016_) + ); + sky130_fd_sc_hd__mux2_2 _19192_ ( + .A0(_03015_), + .A1(_03016_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03017_) + ); + sky130_fd_sc_hd__mux2_2 _19193_ ( + .A0(_03014_), + .A1(_03017_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_03018_) + ); + sky130_fd_sc_hd__mux2_2 _19194_ ( + .A0(_03011_), + .A1(_03018_), + .S(\soc.core.uart_rx_fifo_consume[3] ), + .X(_00137_) + ); + sky130_fd_sc_hd__mux2_2 _19195_ ( + .A0(\soc.core.storage_1[0][7] ), + .A1(\soc.core.storage_1[1][7] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03019_) + ); + sky130_fd_sc_hd__mux2_2 _19196_ ( + .A0(\soc.core.storage_1[2][7] ), + .A1(\soc.core.storage_1[3][7] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03020_) + ); + sky130_fd_sc_hd__mux2_2 _19197_ ( + .A0(_03019_), + .A1(_03020_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03021_) + ); + sky130_fd_sc_hd__mux2_2 _19198_ ( + .A0(\soc.core.storage_1[4][7] ), + .A1(\soc.core.storage_1[5][7] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03022_) + ); + sky130_fd_sc_hd__mux2_2 _19199_ ( + .A0(\soc.core.storage_1[6][7] ), + .A1(\soc.core.storage_1[7][7] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03023_) + ); + sky130_fd_sc_hd__mux2_2 _19200_ ( + .A0(_03022_), + .A1(_03023_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03024_) + ); + sky130_fd_sc_hd__mux2_2 _19201_ ( + .A0(_03021_), + .A1(_03024_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_03025_) + ); + sky130_fd_sc_hd__mux2_2 _19202_ ( + .A0(\soc.core.storage_1[8][7] ), + .A1(\soc.core.storage_1[9][7] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03026_) + ); + sky130_fd_sc_hd__mux2_2 _19203_ ( + .A0(\soc.core.storage_1[10][7] ), + .A1(\soc.core.storage_1[11][7] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03027_) + ); + sky130_fd_sc_hd__mux2_2 _19204_ ( + .A0(_03026_), + .A1(_03027_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03028_) + ); + sky130_fd_sc_hd__mux2_2 _19205_ ( + .A0(\soc.core.storage_1[12][7] ), + .A1(\soc.core.storage_1[13][7] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03029_) + ); + sky130_fd_sc_hd__mux2_2 _19206_ ( + .A0(\soc.core.storage_1[14][7] ), + .A1(\soc.core.storage_1[15][7] ), + .S(\soc.core.uart_rx_fifo_consume[0] ), + .X(_03030_) + ); + sky130_fd_sc_hd__mux2_2 _19207_ ( + .A0(_03029_), + .A1(_03030_), + .S(\soc.core.uart_rx_fifo_consume[1] ), + .X(_03031_) + ); + sky130_fd_sc_hd__mux2_2 _19208_ ( + .A0(_03028_), + .A1(_03031_), + .S(\soc.core.uart_rx_fifo_consume[2] ), + .X(_03032_) + ); + sky130_fd_sc_hd__mux2_2 _19209_ ( + .A0(_03025_), + .A1(_03032_), + .S(\soc.core.uart_rx_fifo_consume[3] ), + .X(_00138_) + ); + sky130_fd_sc_hd__mux2_2 _19210_ ( + .A0(\soc.core.spi_miso ), + .A1(\soc.core.spi_mosi ), + .S(\soc.core.spi_master_loopback_storage ), + .X(_00220_) + ); + sky130_fd_sc_hd__mux2_2 _19211_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_dq_i[1] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[0] ), + .S(_05127_), + .X(_00231_) + ); + sky130_fd_sc_hd__mux2_2 _19212_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[0] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[1] ), + .S(_05127_), + .X(_00242_) + ); + sky130_fd_sc_hd__mux2_2 _19213_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[1] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[2] ), + .S(_05127_), + .X(_00245_) + ); + sky130_fd_sc_hd__mux2_2 _19214_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[2] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[3] ), + .S(_05127_), + .X(_00246_) + ); + sky130_fd_sc_hd__mux2_2 _19215_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[3] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[4] ), + .S(_05127_), + .X(_00247_) + ); + sky130_fd_sc_hd__mux2_2 _19216_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[4] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[5] ), + .S(_05127_), + .X(_00248_) + ); + sky130_fd_sc_hd__mux2_2 _19217_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[5] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[6] ), + .S(_05127_), + .X(_00249_) + ); + sky130_fd_sc_hd__mux2_2 _19218_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[6] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[7] ), + .S(_05127_), + .X(_00250_) + ); + sky130_fd_sc_hd__mux2_2 _19219_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[7] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[8] ), + .S(_05127_), + .X(_00251_) + ); + sky130_fd_sc_hd__mux2_2 _19220_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[8] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[9] ), + .S(_05127_), + .X(_00221_) + ); + sky130_fd_sc_hd__mux2_2 _19221_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[9] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[10] ), + .S(_05127_), + .X(_00222_) + ); + sky130_fd_sc_hd__mux2_2 _19222_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[10] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[11] ), + .S(_05127_), + .X(_00223_) + ); + sky130_fd_sc_hd__mux2_2 _19223_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[11] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[12] ), + .S(_05127_), + .X(_00224_) + ); + sky130_fd_sc_hd__mux2_2 _19224_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[12] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[13] ), + .S(_05127_), + .X(_00225_) + ); + sky130_fd_sc_hd__mux2_2 _19225_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[13] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[14] ), + .S(_05127_), + .X(_00226_) + ); + sky130_fd_sc_hd__mux2_2 _19226_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[14] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[15] ), + .S(_05127_), + .X(_00227_) + ); + sky130_fd_sc_hd__mux2_2 _19227_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[15] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[16] ), + .S(_05127_), + .X(_00228_) + ); + sky130_fd_sc_hd__mux2_2 _19228_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[16] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[17] ), + .S(_05127_), + .X(_00229_) + ); + sky130_fd_sc_hd__mux2_2 _19229_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[17] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[18] ), + .S(_05127_), + .X(_00230_) + ); + sky130_fd_sc_hd__mux2_2 _19230_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[18] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[19] ), + .S(_05127_), + .X(_00232_) + ); + sky130_fd_sc_hd__mux2_2 _19231_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[19] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[20] ), + .S(_05127_), + .X(_00233_) + ); + sky130_fd_sc_hd__mux2_2 _19232_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[20] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[21] ), + .S(_05127_), + .X(_00234_) + ); + sky130_fd_sc_hd__mux2_2 _19233_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[21] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[22] ), + .S(_05127_), + .X(_00235_) + ); + sky130_fd_sc_hd__mux2_2 _19234_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[22] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[23] ), + .S(_05127_), + .X(_00236_) + ); + sky130_fd_sc_hd__mux2_2 _19235_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[23] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[24] ), + .S(_05127_), + .X(_00237_) + ); + sky130_fd_sc_hd__mux2_2 _19236_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[24] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[25] ), + .S(_05127_), + .X(_00238_) + ); + sky130_fd_sc_hd__mux2_2 _19237_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[25] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[26] ), + .S(_05127_), + .X(_00239_) + ); + sky130_fd_sc_hd__mux2_2 _19238_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[26] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[27] ), + .S(_05127_), + .X(_00240_) + ); + sky130_fd_sc_hd__mux2_2 _19239_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[27] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[28] ), + .S(_05127_), + .X(_00241_) + ); + sky130_fd_sc_hd__mux2_2 _19240_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[28] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[29] ), + .S(_05127_), + .X(_00243_) + ); + sky130_fd_sc_hd__mux2_2 _19241_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_in[29] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[30] ), + .S(_05127_), + .X(_00244_) + ); + sky130_fd_sc_hd__mux2_2 _19242_ ( + .A0(_03037_), + .A1(_03036_), + .S(\soc.core.litespi_grant ), + .X(_03038_) + ); + sky130_fd_sc_hd__mux2_2 _19243_ ( + .A0(_03039_), + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[0] ), + .S(\soc.core.litespi_grant ), + .X(_03040_) + ); + sky130_fd_sc_hd__mux2_2 _19244_ ( + .A0(_03042_), + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[1] ), + .S(\soc.core.litespi_grant ), + .X(_03043_) + ); + sky130_fd_sc_hd__mux2_2 _19245_ ( + .A0(_03046_), + .A1(_03045_), + .S(\soc.core.litespi_grant ), + .X(_03047_) + ); + sky130_fd_sc_hd__mux2_2 _19246_ ( + .A0(_03050_), + .A1(_03049_), + .S(\soc.core.litespi_grant ), + .X(_03051_) + ); + sky130_fd_sc_hd__mux2_2 _19247_ ( + .A0(_03053_), + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[4] ), + .S(\soc.core.litespi_grant ), + .X(_03054_) + ); + sky130_fd_sc_hd__mux2_2 _19248_ ( + .A0(_03056_), + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[5] ), + .S(\soc.core.litespi_grant ), + .X(_03057_) + ); + sky130_fd_sc_hd__mux2_2 _19249_ ( + .A0(_03058_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[0] ), + .S(_03035_), + .X(_03059_) + ); + sky130_fd_sc_hd__mux2_2 _19250_ ( + .A0(_03059_), + .A1(_03062_), + .S(_03060_), + .X(_00140_) + ); + sky130_fd_sc_hd__mux2_2 _19251_ ( + .A0(_03064_), + .A1(_03063_), + .S(\soc.core.litespi_grant ), + .X(_03065_) + ); + sky130_fd_sc_hd__mux2_2 _19252_ ( + .A0(_03065_), + .A1(_03038_), + .S(_03040_), + .X(_03066_) + ); + sky130_fd_sc_hd__mux2_2 _19253_ ( + .A0(_03070_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[1] ), + .S(_03035_), + .X(_03071_) + ); + sky130_fd_sc_hd__mux2_2 _19254_ ( + .A0(_03072_), + .A1(_03071_), + .S(_03061_), + .X(_03073_) + ); + sky130_fd_sc_hd__mux2_2 _19255_ ( + .A0(_03071_), + .A1(_03073_), + .S(_03060_), + .X(_00151_) + ); + sky130_fd_sc_hd__mux2_2 _19256_ ( + .A0(_03075_), + .A1(_03074_), + .S(\soc.core.litespi_grant ), + .X(_03076_) + ); + sky130_fd_sc_hd__mux2_2 _19257_ ( + .A0(_03076_), + .A1(_03065_), + .S(_03040_), + .X(_03077_) + ); + sky130_fd_sc_hd__mux2_2 _19258_ ( + .A0(_03077_), + .A1(_03041_), + .S(_03044_), + .X(_03078_) + ); + sky130_fd_sc_hd__mux2_2 _19259_ ( + .A0(_03081_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[2] ), + .S(_03035_), + .X(_03082_) + ); + sky130_fd_sc_hd__mux2_2 _19260_ ( + .A0(_03083_), + .A1(_03082_), + .S(_03061_), + .X(_03084_) + ); + sky130_fd_sc_hd__mux2_2 _19261_ ( + .A0(_03082_), + .A1(_03084_), + .S(_03060_), + .X(_00162_) + ); + sky130_fd_sc_hd__mux2_2 _19262_ ( + .A0(_03086_), + .A1(_03085_), + .S(\soc.core.litespi_grant ), + .X(_03087_) + ); + sky130_fd_sc_hd__mux2_2 _19263_ ( + .A0(_03087_), + .A1(_03076_), + .S(_03040_), + .X(_03088_) + ); + sky130_fd_sc_hd__mux2_2 _19264_ ( + .A0(_03088_), + .A1(_03066_), + .S(_03044_), + .X(_03089_) + ); + sky130_fd_sc_hd__mux2_2 _19265_ ( + .A0(_03092_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[3] ), + .S(_03035_), + .X(_03093_) + ); + sky130_fd_sc_hd__mux2_2 _19266_ ( + .A0(_03094_), + .A1(_03093_), + .S(_03061_), + .X(_03095_) + ); + sky130_fd_sc_hd__mux2_2 _19267_ ( + .A0(_03093_), + .A1(_03095_), + .S(_03060_), + .X(_00165_) + ); + sky130_fd_sc_hd__mux2_2 _19268_ ( + .A0(_03098_), + .A1(_03097_), + .S(\soc.core.litespi_grant ), + .X(_03099_) + ); + sky130_fd_sc_hd__mux2_2 _19269_ ( + .A0(_03099_), + .A1(_03087_), + .S(_03040_), + .X(_03100_) + ); + sky130_fd_sc_hd__mux2_2 _19270_ ( + .A0(_03100_), + .A1(_03077_), + .S(_03044_), + .X(_03101_) + ); + sky130_fd_sc_hd__mux2_2 _19271_ ( + .A0(_03101_), + .A1(_03096_), + .S(_03048_), + .X(_03102_) + ); + sky130_fd_sc_hd__mux2_2 _19272_ ( + .A0(_03104_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[4] ), + .S(_03035_), + .X(_03105_) + ); + sky130_fd_sc_hd__mux2_2 _19273_ ( + .A0(_03106_), + .A1(_03105_), + .S(_03061_), + .X(_03107_) + ); + sky130_fd_sc_hd__mux2_2 _19274_ ( + .A0(_03105_), + .A1(_03107_), + .S(_03060_), + .X(_00166_) + ); + sky130_fd_sc_hd__mux2_2 _19275_ ( + .A0(_03109_), + .A1(_03108_), + .S(\soc.core.litespi_grant ), + .X(_03110_) + ); + sky130_fd_sc_hd__mux2_2 _19276_ ( + .A0(_03110_), + .A1(_03099_), + .S(_03040_), + .X(_03111_) + ); + sky130_fd_sc_hd__mux2_2 _19277_ ( + .A0(_03111_), + .A1(_03088_), + .S(_03044_), + .X(_03112_) + ); + sky130_fd_sc_hd__mux2_2 _19278_ ( + .A0(_03112_), + .A1(_03067_), + .S(_03048_), + .X(_03113_) + ); + sky130_fd_sc_hd__mux2_2 _19279_ ( + .A0(_03115_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[5] ), + .S(_03035_), + .X(_03116_) + ); + sky130_fd_sc_hd__mux2_2 _19280_ ( + .A0(_03117_), + .A1(_03116_), + .S(_03061_), + .X(_03118_) + ); + sky130_fd_sc_hd__mux2_2 _19281_ ( + .A0(_03116_), + .A1(_03118_), + .S(_03060_), + .X(_00167_) + ); + sky130_fd_sc_hd__mux2_2 _19282_ ( + .A0(_03120_), + .A1(_03119_), + .S(\soc.core.litespi_grant ), + .X(_03121_) + ); + sky130_fd_sc_hd__mux2_2 _19283_ ( + .A0(_03121_), + .A1(_03110_), + .S(_03040_), + .X(_03122_) + ); + sky130_fd_sc_hd__mux2_2 _19284_ ( + .A0(_03122_), + .A1(_03100_), + .S(_03044_), + .X(_03123_) + ); + sky130_fd_sc_hd__mux2_2 _19285_ ( + .A0(_03123_), + .A1(_03078_), + .S(_03048_), + .X(_03124_) + ); + sky130_fd_sc_hd__mux2_2 _19286_ ( + .A0(_03126_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[6] ), + .S(_03035_), + .X(_03127_) + ); + sky130_fd_sc_hd__mux2_2 _19287_ ( + .A0(_03128_), + .A1(_03127_), + .S(_03061_), + .X(_03129_) + ); + sky130_fd_sc_hd__mux2_2 _19288_ ( + .A0(_03127_), + .A1(_03129_), + .S(_03060_), + .X(_00168_) + ); + sky130_fd_sc_hd__mux2_2 _19289_ ( + .A0(_03131_), + .A1(_03130_), + .S(\soc.core.litespi_grant ), + .X(_03132_) + ); + sky130_fd_sc_hd__mux2_2 _19290_ ( + .A0(_03132_), + .A1(_03121_), + .S(_03040_), + .X(_03133_) + ); + sky130_fd_sc_hd__mux2_2 _19291_ ( + .A0(_03133_), + .A1(_03111_), + .S(_03044_), + .X(_03134_) + ); + sky130_fd_sc_hd__mux2_2 _19292_ ( + .A0(_03134_), + .A1(_03089_), + .S(_03048_), + .X(_03135_) + ); + sky130_fd_sc_hd__mux2_2 _19293_ ( + .A0(_03137_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[7] ), + .S(_03035_), + .X(_03138_) + ); + sky130_fd_sc_hd__mux2_2 _19294_ ( + .A0(_03139_), + .A1(_03138_), + .S(_03061_), + .X(_03140_) + ); + sky130_fd_sc_hd__mux2_2 _19295_ ( + .A0(_03138_), + .A1(_03140_), + .S(_03060_), + .X(_00169_) + ); + sky130_fd_sc_hd__mux2_2 _19296_ ( + .A0(_03143_), + .A1(_03142_), + .S(\soc.core.litespi_grant ), + .X(_03144_) + ); + sky130_fd_sc_hd__mux2_2 _19297_ ( + .A0(_03144_), + .A1(_03132_), + .S(_03040_), + .X(_03145_) + ); + sky130_fd_sc_hd__mux2_2 _19298_ ( + .A0(_03145_), + .A1(_03122_), + .S(_03044_), + .X(_03146_) + ); + sky130_fd_sc_hd__mux2_2 _19299_ ( + .A0(_03146_), + .A1(_03101_), + .S(_03048_), + .X(_03147_) + ); + sky130_fd_sc_hd__mux2_2 _19300_ ( + .A0(_03147_), + .A1(_03141_), + .S(_03052_), + .X(_03148_) + ); + sky130_fd_sc_hd__mux2_2 _19301_ ( + .A0(_03149_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[8] ), + .S(_03035_), + .X(_03150_) + ); + sky130_fd_sc_hd__mux2_2 _19302_ ( + .A0(_03151_), + .A1(_03150_), + .S(_03061_), + .X(_03152_) + ); + sky130_fd_sc_hd__mux2_2 _19303_ ( + .A0(_03150_), + .A1(_03152_), + .S(_03060_), + .X(_00170_) + ); + sky130_fd_sc_hd__mux2_2 _19304_ ( + .A0(_03154_), + .A1(_03153_), + .S(\soc.core.litespi_grant ), + .X(_03155_) + ); + sky130_fd_sc_hd__mux2_2 _19305_ ( + .A0(_03155_), + .A1(_03144_), + .S(_03040_), + .X(_03156_) + ); + sky130_fd_sc_hd__mux2_2 _19306_ ( + .A0(_03156_), + .A1(_03133_), + .S(_03044_), + .X(_03157_) + ); + sky130_fd_sc_hd__mux2_2 _19307_ ( + .A0(_03157_), + .A1(_03112_), + .S(_03048_), + .X(_03158_) + ); + sky130_fd_sc_hd__mux2_2 _19308_ ( + .A0(_03158_), + .A1(_03068_), + .S(_03052_), + .X(_03159_) + ); + sky130_fd_sc_hd__mux2_2 _19309_ ( + .A0(_03160_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[9] ), + .S(_03035_), + .X(_03161_) + ); + sky130_fd_sc_hd__mux2_2 _19310_ ( + .A0(_03162_), + .A1(_03161_), + .S(_03061_), + .X(_03163_) + ); + sky130_fd_sc_hd__mux2_2 _19311_ ( + .A0(_03161_), + .A1(_03163_), + .S(_03060_), + .X(_00171_) + ); + sky130_fd_sc_hd__mux2_2 _19312_ ( + .A0(_03165_), + .A1(_03164_), + .S(\soc.core.litespi_grant ), + .X(_03166_) + ); + sky130_fd_sc_hd__mux2_2 _19313_ ( + .A0(_03166_), + .A1(_03155_), + .S(_03040_), + .X(_03167_) + ); + sky130_fd_sc_hd__mux2_2 _19314_ ( + .A0(_03167_), + .A1(_03145_), + .S(_03044_), + .X(_03168_) + ); + sky130_fd_sc_hd__mux2_2 _19315_ ( + .A0(_03168_), + .A1(_03123_), + .S(_03048_), + .X(_03169_) + ); + sky130_fd_sc_hd__mux2_2 _19316_ ( + .A0(_03169_), + .A1(_03079_), + .S(_03052_), + .X(_03170_) + ); + sky130_fd_sc_hd__mux2_2 _19317_ ( + .A0(_03171_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[10] ), + .S(_03035_), + .X(_03172_) + ); + sky130_fd_sc_hd__mux2_2 _19318_ ( + .A0(_03173_), + .A1(_03172_), + .S(_03061_), + .X(_03174_) + ); + sky130_fd_sc_hd__mux2_2 _19319_ ( + .A0(_03172_), + .A1(_03174_), + .S(_03060_), + .X(_00141_) + ); + sky130_fd_sc_hd__mux2_2 _19320_ ( + .A0(_03176_), + .A1(_03175_), + .S(\soc.core.litespi_grant ), + .X(_03177_) + ); + sky130_fd_sc_hd__mux2_2 _19321_ ( + .A0(_03177_), + .A1(_03166_), + .S(_03040_), + .X(_03178_) + ); + sky130_fd_sc_hd__mux2_2 _19322_ ( + .A0(_03178_), + .A1(_03156_), + .S(_03044_), + .X(_03179_) + ); + sky130_fd_sc_hd__mux2_2 _19323_ ( + .A0(_03179_), + .A1(_03134_), + .S(_03048_), + .X(_03180_) + ); + sky130_fd_sc_hd__mux2_2 _19324_ ( + .A0(_03180_), + .A1(_03090_), + .S(_03052_), + .X(_03181_) + ); + sky130_fd_sc_hd__mux2_2 _19325_ ( + .A0(_03182_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[11] ), + .S(_03035_), + .X(_03183_) + ); + sky130_fd_sc_hd__mux2_2 _19326_ ( + .A0(_03184_), + .A1(_03183_), + .S(_03061_), + .X(_03185_) + ); + sky130_fd_sc_hd__mux2_2 _19327_ ( + .A0(_03183_), + .A1(_03185_), + .S(_03060_), + .X(_00142_) + ); + sky130_fd_sc_hd__mux2_2 _19328_ ( + .A0(_03187_), + .A1(_03186_), + .S(\soc.core.litespi_grant ), + .X(_03188_) + ); + sky130_fd_sc_hd__mux2_2 _19329_ ( + .A0(_03188_), + .A1(_03177_), + .S(_03040_), + .X(_03189_) + ); + sky130_fd_sc_hd__mux2_2 _19330_ ( + .A0(_03189_), + .A1(_03167_), + .S(_03044_), + .X(_03190_) + ); + sky130_fd_sc_hd__mux2_2 _19331_ ( + .A0(_03190_), + .A1(_03146_), + .S(_03048_), + .X(_03191_) + ); + sky130_fd_sc_hd__mux2_2 _19332_ ( + .A0(_03191_), + .A1(_03102_), + .S(_03052_), + .X(_03192_) + ); + sky130_fd_sc_hd__mux2_2 _19333_ ( + .A0(_03193_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[12] ), + .S(_03035_), + .X(_03194_) + ); + sky130_fd_sc_hd__mux2_2 _19334_ ( + .A0(_03195_), + .A1(_03194_), + .S(_03061_), + .X(_03196_) + ); + sky130_fd_sc_hd__mux2_2 _19335_ ( + .A0(_03194_), + .A1(_03196_), + .S(_03060_), + .X(_00143_) + ); + sky130_fd_sc_hd__mux2_2 _19336_ ( + .A0(_03198_), + .A1(_03197_), + .S(\soc.core.litespi_grant ), + .X(_03199_) + ); + sky130_fd_sc_hd__mux2_2 _19337_ ( + .A0(_03199_), + .A1(_03188_), + .S(_03040_), + .X(_03200_) + ); + sky130_fd_sc_hd__mux2_2 _19338_ ( + .A0(_03200_), + .A1(_03178_), + .S(_03044_), + .X(_03201_) + ); + sky130_fd_sc_hd__mux2_2 _19339_ ( + .A0(_03201_), + .A1(_03157_), + .S(_03048_), + .X(_03202_) + ); + sky130_fd_sc_hd__mux2_2 _19340_ ( + .A0(_03202_), + .A1(_03113_), + .S(_03052_), + .X(_03203_) + ); + sky130_fd_sc_hd__mux2_2 _19341_ ( + .A0(_03204_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[13] ), + .S(_03035_), + .X(_03205_) + ); + sky130_fd_sc_hd__mux2_2 _19342_ ( + .A0(_03206_), + .A1(_03205_), + .S(_03061_), + .X(_03207_) + ); + sky130_fd_sc_hd__mux2_2 _19343_ ( + .A0(_03205_), + .A1(_03207_), + .S(_03060_), + .X(_00144_) + ); + sky130_fd_sc_hd__mux2_2 _19344_ ( + .A0(_03209_), + .A1(_03208_), + .S(\soc.core.litespi_grant ), + .X(_03210_) + ); + sky130_fd_sc_hd__mux2_2 _19345_ ( + .A0(_03210_), + .A1(_03199_), + .S(_03040_), + .X(_03211_) + ); + sky130_fd_sc_hd__mux2_2 _19346_ ( + .A0(_03211_), + .A1(_03189_), + .S(_03044_), + .X(_03212_) + ); + sky130_fd_sc_hd__mux2_2 _19347_ ( + .A0(_03212_), + .A1(_03168_), + .S(_03048_), + .X(_03213_) + ); + sky130_fd_sc_hd__mux2_2 _19348_ ( + .A0(_03213_), + .A1(_03124_), + .S(_03052_), + .X(_03214_) + ); + sky130_fd_sc_hd__mux2_2 _19349_ ( + .A0(_03215_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[14] ), + .S(_03035_), + .X(_03216_) + ); + sky130_fd_sc_hd__mux2_2 _19350_ ( + .A0(_03217_), + .A1(_03216_), + .S(_03061_), + .X(_03218_) + ); + sky130_fd_sc_hd__mux2_2 _19351_ ( + .A0(_03216_), + .A1(_03218_), + .S(_03060_), + .X(_00145_) + ); + sky130_fd_sc_hd__mux2_2 _19352_ ( + .A0(_03220_), + .A1(_03219_), + .S(\soc.core.litespi_grant ), + .X(_03221_) + ); + sky130_fd_sc_hd__mux2_2 _19353_ ( + .A0(_03221_), + .A1(_03210_), + .S(_03040_), + .X(_03222_) + ); + sky130_fd_sc_hd__mux2_2 _19354_ ( + .A0(_03222_), + .A1(_03200_), + .S(_03044_), + .X(_03223_) + ); + sky130_fd_sc_hd__mux2_2 _19355_ ( + .A0(_03223_), + .A1(_03179_), + .S(_03048_), + .X(_03224_) + ); + sky130_fd_sc_hd__mux2_2 _19356_ ( + .A0(_03224_), + .A1(_03135_), + .S(_03052_), + .X(_03225_) + ); + sky130_fd_sc_hd__mux2_2 _19357_ ( + .A0(_03226_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[15] ), + .S(_03035_), + .X(_03227_) + ); + sky130_fd_sc_hd__mux2_2 _19358_ ( + .A0(_03228_), + .A1(_03227_), + .S(_03061_), + .X(_03229_) + ); + sky130_fd_sc_hd__mux2_2 _19359_ ( + .A0(_03227_), + .A1(_03229_), + .S(_03060_), + .X(_00146_) + ); + sky130_fd_sc_hd__mux2_2 _19360_ ( + .A0(_03232_), + .A1(_03231_), + .S(\soc.core.litespi_grant ), + .X(_03233_) + ); + sky130_fd_sc_hd__mux2_2 _19361_ ( + .A0(_03233_), + .A1(_03221_), + .S(_03040_), + .X(_03234_) + ); + sky130_fd_sc_hd__mux2_2 _19362_ ( + .A0(_03234_), + .A1(_03211_), + .S(_03044_), + .X(_03235_) + ); + sky130_fd_sc_hd__mux2_2 _19363_ ( + .A0(_03235_), + .A1(_03190_), + .S(_03048_), + .X(_03236_) + ); + sky130_fd_sc_hd__mux2_2 _19364_ ( + .A0(_03236_), + .A1(_03147_), + .S(_03052_), + .X(_03237_) + ); + sky130_fd_sc_hd__mux2_2 _19365_ ( + .A0(_03237_), + .A1(_03230_), + .S(_03055_), + .X(_03238_) + ); + sky130_fd_sc_hd__mux2_2 _19366_ ( + .A0(_03239_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[16] ), + .S(_03035_), + .X(_03240_) + ); + sky130_fd_sc_hd__mux2_2 _19367_ ( + .A0(_03241_), + .A1(_03240_), + .S(_03061_), + .X(_03242_) + ); + sky130_fd_sc_hd__mux2_2 _19368_ ( + .A0(_03240_), + .A1(_03242_), + .S(_03060_), + .X(_00147_) + ); + sky130_fd_sc_hd__mux2_2 _19369_ ( + .A0(_03244_), + .A1(_03243_), + .S(\soc.core.litespi_grant ), + .X(_03245_) + ); + sky130_fd_sc_hd__mux2_2 _19370_ ( + .A0(_03245_), + .A1(_03233_), + .S(_03040_), + .X(_03246_) + ); + sky130_fd_sc_hd__mux2_2 _19371_ ( + .A0(_03246_), + .A1(_03222_), + .S(_03044_), + .X(_03247_) + ); + sky130_fd_sc_hd__mux2_2 _19372_ ( + .A0(_03247_), + .A1(_03201_), + .S(_03048_), + .X(_03248_) + ); + sky130_fd_sc_hd__mux2_2 _19373_ ( + .A0(_03248_), + .A1(_03158_), + .S(_03052_), + .X(_03249_) + ); + sky130_fd_sc_hd__mux2_2 _19374_ ( + .A0(_03249_), + .A1(_03069_), + .S(_03055_), + .X(_03250_) + ); + sky130_fd_sc_hd__mux2_2 _19375_ ( + .A0(_03251_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[17] ), + .S(_03035_), + .X(_03252_) + ); + sky130_fd_sc_hd__mux2_2 _19376_ ( + .A0(_03253_), + .A1(_03252_), + .S(_03061_), + .X(_03254_) + ); + sky130_fd_sc_hd__mux2_2 _19377_ ( + .A0(_03252_), + .A1(_03254_), + .S(_03060_), + .X(_00148_) + ); + sky130_fd_sc_hd__mux2_2 _19378_ ( + .A0(_03256_), + .A1(_03255_), + .S(\soc.core.litespi_grant ), + .X(_03257_) + ); + sky130_fd_sc_hd__mux2_2 _19379_ ( + .A0(_03257_), + .A1(_03245_), + .S(_03040_), + .X(_03258_) + ); + sky130_fd_sc_hd__mux2_2 _19380_ ( + .A0(_03258_), + .A1(_03234_), + .S(_03044_), + .X(_03259_) + ); + sky130_fd_sc_hd__mux2_2 _19381_ ( + .A0(_03259_), + .A1(_03212_), + .S(_03048_), + .X(_03260_) + ); + sky130_fd_sc_hd__mux2_2 _19382_ ( + .A0(_03260_), + .A1(_03169_), + .S(_03052_), + .X(_03261_) + ); + sky130_fd_sc_hd__mux2_2 _19383_ ( + .A0(_03261_), + .A1(_03080_), + .S(_03055_), + .X(_03262_) + ); + sky130_fd_sc_hd__mux2_2 _19384_ ( + .A0(_03263_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[18] ), + .S(_03035_), + .X(_03264_) + ); + sky130_fd_sc_hd__mux2_2 _19385_ ( + .A0(_03265_), + .A1(_03264_), + .S(_03061_), + .X(_03266_) + ); + sky130_fd_sc_hd__mux2_2 _19386_ ( + .A0(_03264_), + .A1(_03266_), + .S(_03060_), + .X(_00149_) + ); + sky130_fd_sc_hd__mux2_2 _19387_ ( + .A0(_03268_), + .A1(_03267_), + .S(\soc.core.litespi_grant ), + .X(_03269_) + ); + sky130_fd_sc_hd__mux2_2 _19388_ ( + .A0(_03269_), + .A1(_03257_), + .S(_03040_), + .X(_03270_) + ); + sky130_fd_sc_hd__mux2_2 _19389_ ( + .A0(_03270_), + .A1(_03246_), + .S(_03044_), + .X(_03271_) + ); + sky130_fd_sc_hd__mux2_2 _19390_ ( + .A0(_03271_), + .A1(_03223_), + .S(_03048_), + .X(_03272_) + ); + sky130_fd_sc_hd__mux2_2 _19391_ ( + .A0(_03272_), + .A1(_03180_), + .S(_03052_), + .X(_03273_) + ); + sky130_fd_sc_hd__mux2_2 _19392_ ( + .A0(_03273_), + .A1(_03091_), + .S(_03055_), + .X(_03274_) + ); + sky130_fd_sc_hd__mux2_2 _19393_ ( + .A0(_03275_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[19] ), + .S(_03035_), + .X(_03276_) + ); + sky130_fd_sc_hd__mux2_2 _19394_ ( + .A0(_03277_), + .A1(_03276_), + .S(_03061_), + .X(_03278_) + ); + sky130_fd_sc_hd__mux2_2 _19395_ ( + .A0(_03276_), + .A1(_03278_), + .S(_03060_), + .X(_00150_) + ); + sky130_fd_sc_hd__mux2_2 _19396_ ( + .A0(_03280_), + .A1(_03279_), + .S(\soc.core.litespi_grant ), + .X(_03281_) + ); + sky130_fd_sc_hd__mux2_2 _19397_ ( + .A0(_03281_), + .A1(_03269_), + .S(_03040_), + .X(_03282_) + ); + sky130_fd_sc_hd__mux2_2 _19398_ ( + .A0(_03282_), + .A1(_03258_), + .S(_03044_), + .X(_03283_) + ); + sky130_fd_sc_hd__mux2_2 _19399_ ( + .A0(_03283_), + .A1(_03235_), + .S(_03048_), + .X(_03284_) + ); + sky130_fd_sc_hd__mux2_2 _19400_ ( + .A0(_03284_), + .A1(_03191_), + .S(_03052_), + .X(_03285_) + ); + sky130_fd_sc_hd__mux2_2 _19401_ ( + .A0(_03285_), + .A1(_03103_), + .S(_03055_), + .X(_03286_) + ); + sky130_fd_sc_hd__mux2_2 _19402_ ( + .A0(_03287_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[20] ), + .S(_03035_), + .X(_03288_) + ); + sky130_fd_sc_hd__mux2_2 _19403_ ( + .A0(_03289_), + .A1(_03288_), + .S(_03061_), + .X(_03290_) + ); + sky130_fd_sc_hd__mux2_2 _19404_ ( + .A0(_03288_), + .A1(_03290_), + .S(_03060_), + .X(_00152_) + ); + sky130_fd_sc_hd__mux2_2 _19405_ ( + .A0(_03292_), + .A1(_03291_), + .S(\soc.core.litespi_grant ), + .X(_03293_) + ); + sky130_fd_sc_hd__mux2_2 _19406_ ( + .A0(_03293_), + .A1(_03281_), + .S(_03040_), + .X(_03294_) + ); + sky130_fd_sc_hd__mux2_2 _19407_ ( + .A0(_03294_), + .A1(_03270_), + .S(_03044_), + .X(_03295_) + ); + sky130_fd_sc_hd__mux2_2 _19408_ ( + .A0(_03295_), + .A1(_03247_), + .S(_03048_), + .X(_03296_) + ); + sky130_fd_sc_hd__mux2_2 _19409_ ( + .A0(_03296_), + .A1(_03202_), + .S(_03052_), + .X(_03297_) + ); + sky130_fd_sc_hd__mux2_2 _19410_ ( + .A0(_03297_), + .A1(_03114_), + .S(_03055_), + .X(_03298_) + ); + sky130_fd_sc_hd__mux2_2 _19411_ ( + .A0(_03299_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[21] ), + .S(_03035_), + .X(_03300_) + ); + sky130_fd_sc_hd__mux2_2 _19412_ ( + .A0(_03301_), + .A1(_03300_), + .S(_03061_), + .X(_03302_) + ); + sky130_fd_sc_hd__mux2_2 _19413_ ( + .A0(_03300_), + .A1(_03302_), + .S(_03060_), + .X(_00153_) + ); + sky130_fd_sc_hd__mux2_2 _19414_ ( + .A0(_03304_), + .A1(_03303_), + .S(\soc.core.litespi_grant ), + .X(_03305_) + ); + sky130_fd_sc_hd__mux2_2 _19415_ ( + .A0(_03305_), + .A1(_03293_), + .S(_03040_), + .X(_03306_) + ); + sky130_fd_sc_hd__mux2_2 _19416_ ( + .A0(_03306_), + .A1(_03282_), + .S(_03044_), + .X(_03307_) + ); + sky130_fd_sc_hd__mux2_2 _19417_ ( + .A0(_03307_), + .A1(_03259_), + .S(_03048_), + .X(_03308_) + ); + sky130_fd_sc_hd__mux2_2 _19418_ ( + .A0(_03308_), + .A1(_03213_), + .S(_03052_), + .X(_03309_) + ); + sky130_fd_sc_hd__mux2_2 _19419_ ( + .A0(_03309_), + .A1(_03125_), + .S(_03055_), + .X(_03310_) + ); + sky130_fd_sc_hd__mux2_2 _19420_ ( + .A0(_03311_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[22] ), + .S(_03035_), + .X(_03312_) + ); + sky130_fd_sc_hd__mux2_2 _19421_ ( + .A0(_03313_), + .A1(_03312_), + .S(_03061_), + .X(_03314_) + ); + sky130_fd_sc_hd__mux2_2 _19422_ ( + .A0(_03312_), + .A1(_03314_), + .S(_03060_), + .X(_00154_) + ); + sky130_fd_sc_hd__mux2_2 _19423_ ( + .A0(_03316_), + .A1(_03315_), + .S(\soc.core.litespi_grant ), + .X(_03317_) + ); + sky130_fd_sc_hd__mux2_2 _19424_ ( + .A0(_03317_), + .A1(_03305_), + .S(_03040_), + .X(_03318_) + ); + sky130_fd_sc_hd__mux2_2 _19425_ ( + .A0(_03318_), + .A1(_03294_), + .S(_03044_), + .X(_03319_) + ); + sky130_fd_sc_hd__mux2_2 _19426_ ( + .A0(_03319_), + .A1(_03271_), + .S(_03048_), + .X(_03320_) + ); + sky130_fd_sc_hd__mux2_2 _19427_ ( + .A0(_03320_), + .A1(_03224_), + .S(_03052_), + .X(_03321_) + ); + sky130_fd_sc_hd__mux2_2 _19428_ ( + .A0(_03321_), + .A1(_03136_), + .S(_03055_), + .X(_03322_) + ); + sky130_fd_sc_hd__mux2_2 _19429_ ( + .A0(_03323_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[23] ), + .S(_03035_), + .X(_03324_) + ); + sky130_fd_sc_hd__mux2_2 _19430_ ( + .A0(_03325_), + .A1(_03324_), + .S(_03061_), + .X(_03326_) + ); + sky130_fd_sc_hd__mux2_2 _19431_ ( + .A0(_03324_), + .A1(_03326_), + .S(_03060_), + .X(_00155_) + ); + sky130_fd_sc_hd__mux2_2 _19432_ ( + .A0(_03328_), + .A1(_03327_), + .S(\soc.core.litespi_grant ), + .X(_03329_) + ); + sky130_fd_sc_hd__mux2_2 _19433_ ( + .A0(_03329_), + .A1(_03317_), + .S(_03040_), + .X(_03330_) + ); + sky130_fd_sc_hd__mux2_2 _19434_ ( + .A0(_03330_), + .A1(_03306_), + .S(_03044_), + .X(_03331_) + ); + sky130_fd_sc_hd__mux2_2 _19435_ ( + .A0(_03331_), + .A1(_03283_), + .S(_03048_), + .X(_03332_) + ); + sky130_fd_sc_hd__mux2_2 _19436_ ( + .A0(_03332_), + .A1(_03236_), + .S(_03052_), + .X(_03333_) + ); + sky130_fd_sc_hd__mux2_2 _19437_ ( + .A0(_03333_), + .A1(_03148_), + .S(_03055_), + .X(_03334_) + ); + sky130_fd_sc_hd__mux2_2 _19438_ ( + .A0(_03335_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[24] ), + .S(_03035_), + .X(_03336_) + ); + sky130_fd_sc_hd__mux2_2 _19439_ ( + .A0(_03337_), + .A1(_03336_), + .S(_03061_), + .X(_03338_) + ); + sky130_fd_sc_hd__mux2_2 _19440_ ( + .A0(_03336_), + .A1(_03338_), + .S(_03060_), + .X(_00156_) + ); + sky130_fd_sc_hd__mux2_2 _19441_ ( + .A0(_03340_), + .A1(_03339_), + .S(\soc.core.litespi_grant ), + .X(_03341_) + ); + sky130_fd_sc_hd__mux2_2 _19442_ ( + .A0(_03341_), + .A1(_03329_), + .S(_03040_), + .X(_03342_) + ); + sky130_fd_sc_hd__mux2_2 _19443_ ( + .A0(_03342_), + .A1(_03318_), + .S(_03044_), + .X(_03343_) + ); + sky130_fd_sc_hd__mux2_2 _19444_ ( + .A0(_03343_), + .A1(_03295_), + .S(_03048_), + .X(_03344_) + ); + sky130_fd_sc_hd__mux2_2 _19445_ ( + .A0(_03344_), + .A1(_03248_), + .S(_03052_), + .X(_03345_) + ); + sky130_fd_sc_hd__mux2_2 _19446_ ( + .A0(_03345_), + .A1(_03159_), + .S(_03055_), + .X(_03346_) + ); + sky130_fd_sc_hd__mux2_2 _19447_ ( + .A0(_03347_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[25] ), + .S(_03035_), + .X(_03348_) + ); + sky130_fd_sc_hd__mux2_2 _19448_ ( + .A0(_03349_), + .A1(_03348_), + .S(_03061_), + .X(_03350_) + ); + sky130_fd_sc_hd__mux2_2 _19449_ ( + .A0(_03348_), + .A1(_03350_), + .S(_03060_), + .X(_00157_) + ); + sky130_fd_sc_hd__mux2_2 _19450_ ( + .A0(_03352_), + .A1(_03351_), + .S(\soc.core.litespi_grant ), + .X(_03353_) + ); + sky130_fd_sc_hd__mux2_2 _19451_ ( + .A0(_03353_), + .A1(_03341_), + .S(_03040_), + .X(_03354_) + ); + sky130_fd_sc_hd__mux2_2 _19452_ ( + .A0(_03354_), + .A1(_03330_), + .S(_03044_), + .X(_03355_) + ); + sky130_fd_sc_hd__mux2_2 _19453_ ( + .A0(_03355_), + .A1(_03307_), + .S(_03048_), + .X(_03356_) + ); + sky130_fd_sc_hd__mux2_2 _19454_ ( + .A0(_03356_), + .A1(_03260_), + .S(_03052_), + .X(_03357_) + ); + sky130_fd_sc_hd__mux2_2 _19455_ ( + .A0(_03357_), + .A1(_03170_), + .S(_03055_), + .X(_03358_) + ); + sky130_fd_sc_hd__mux2_2 _19456_ ( + .A0(_03359_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[26] ), + .S(_03035_), + .X(_03360_) + ); + sky130_fd_sc_hd__mux2_2 _19457_ ( + .A0(_03361_), + .A1(_03360_), + .S(_03061_), + .X(_03362_) + ); + sky130_fd_sc_hd__mux2_2 _19458_ ( + .A0(_03360_), + .A1(_03362_), + .S(_03060_), + .X(_00158_) + ); + sky130_fd_sc_hd__mux2_2 _19459_ ( + .A0(_03364_), + .A1(_03363_), + .S(\soc.core.litespi_grant ), + .X(_03365_) + ); + sky130_fd_sc_hd__mux2_2 _19460_ ( + .A0(_03365_), + .A1(_03353_), + .S(_03040_), + .X(_03366_) + ); + sky130_fd_sc_hd__mux2_2 _19461_ ( + .A0(_03366_), + .A1(_03342_), + .S(_03044_), + .X(_03367_) + ); + sky130_fd_sc_hd__mux2_2 _19462_ ( + .A0(_03367_), + .A1(_03319_), + .S(_03048_), + .X(_03368_) + ); + sky130_fd_sc_hd__mux2_2 _19463_ ( + .A0(_03368_), + .A1(_03272_), + .S(_03052_), + .X(_03369_) + ); + sky130_fd_sc_hd__mux2_2 _19464_ ( + .A0(_03369_), + .A1(_03181_), + .S(_03055_), + .X(_03370_) + ); + sky130_fd_sc_hd__mux2_2 _19465_ ( + .A0(_03371_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[27] ), + .S(_03035_), + .X(_03372_) + ); + sky130_fd_sc_hd__mux2_2 _19466_ ( + .A0(_03373_), + .A1(_03372_), + .S(_03061_), + .X(_03374_) + ); + sky130_fd_sc_hd__mux2_2 _19467_ ( + .A0(_03372_), + .A1(_03374_), + .S(_03060_), + .X(_00159_) + ); + sky130_fd_sc_hd__mux2_2 _19468_ ( + .A0(_03376_), + .A1(_03375_), + .S(\soc.core.litespi_grant ), + .X(_03377_) + ); + sky130_fd_sc_hd__mux2_2 _19469_ ( + .A0(_03377_), + .A1(_03365_), + .S(_03040_), + .X(_03378_) + ); + sky130_fd_sc_hd__mux2_2 _19470_ ( + .A0(_03378_), + .A1(_03354_), + .S(_03044_), + .X(_03379_) + ); + sky130_fd_sc_hd__mux2_2 _19471_ ( + .A0(_03379_), + .A1(_03331_), + .S(_03048_), + .X(_03380_) + ); + sky130_fd_sc_hd__mux2_2 _19472_ ( + .A0(_03380_), + .A1(_03284_), + .S(_03052_), + .X(_03381_) + ); + sky130_fd_sc_hd__mux2_2 _19473_ ( + .A0(_03381_), + .A1(_03192_), + .S(_03055_), + .X(_03382_) + ); + sky130_fd_sc_hd__mux2_2 _19474_ ( + .A0(_03383_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[28] ), + .S(_03035_), + .X(_03384_) + ); + sky130_fd_sc_hd__mux2_2 _19475_ ( + .A0(_03385_), + .A1(_03384_), + .S(_03061_), + .X(_03386_) + ); + sky130_fd_sc_hd__mux2_2 _19476_ ( + .A0(_03384_), + .A1(_03386_), + .S(_03060_), + .X(_00160_) + ); + sky130_fd_sc_hd__mux2_2 _19477_ ( + .A0(_03388_), + .A1(_03387_), + .S(\soc.core.litespi_grant ), + .X(_03389_) + ); + sky130_fd_sc_hd__mux2_2 _19478_ ( + .A0(_03389_), + .A1(_03377_), + .S(_03040_), + .X(_03390_) + ); + sky130_fd_sc_hd__mux2_2 _19479_ ( + .A0(_03390_), + .A1(_03366_), + .S(_03044_), + .X(_03391_) + ); + sky130_fd_sc_hd__mux2_2 _19480_ ( + .A0(_03391_), + .A1(_03343_), + .S(_03048_), + .X(_03392_) + ); + sky130_fd_sc_hd__mux2_2 _19481_ ( + .A0(_03392_), + .A1(_03296_), + .S(_03052_), + .X(_03393_) + ); + sky130_fd_sc_hd__mux2_2 _19482_ ( + .A0(_03393_), + .A1(_03203_), + .S(_03055_), + .X(_03394_) + ); + sky130_fd_sc_hd__mux2_2 _19483_ ( + .A0(_03395_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[29] ), + .S(_03035_), + .X(_03396_) + ); + sky130_fd_sc_hd__mux2_2 _19484_ ( + .A0(_03397_), + .A1(_03396_), + .S(_03061_), + .X(_03398_) + ); + sky130_fd_sc_hd__mux2_2 _19485_ ( + .A0(_03396_), + .A1(_03398_), + .S(_03060_), + .X(_00161_) + ); + sky130_fd_sc_hd__mux2_2 _19486_ ( + .A0(_03400_), + .A1(_03399_), + .S(\soc.core.litespi_grant ), + .X(_03401_) + ); + sky130_fd_sc_hd__mux2_2 _19487_ ( + .A0(_03401_), + .A1(_03389_), + .S(_03040_), + .X(_03402_) + ); + sky130_fd_sc_hd__mux2_2 _19488_ ( + .A0(_03402_), + .A1(_03378_), + .S(_03044_), + .X(_03403_) + ); + sky130_fd_sc_hd__mux2_2 _19489_ ( + .A0(_03403_), + .A1(_03355_), + .S(_03048_), + .X(_03404_) + ); + sky130_fd_sc_hd__mux2_2 _19490_ ( + .A0(_03404_), + .A1(_03308_), + .S(_03052_), + .X(_03405_) + ); + sky130_fd_sc_hd__mux2_2 _19491_ ( + .A0(_03405_), + .A1(_03214_), + .S(_03055_), + .X(_03406_) + ); + sky130_fd_sc_hd__mux2_2 _19492_ ( + .A0(_03407_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[30] ), + .S(_03035_), + .X(_03408_) + ); + sky130_fd_sc_hd__mux2_2 _19493_ ( + .A0(_03409_), + .A1(_03408_), + .S(_03061_), + .X(_03410_) + ); + sky130_fd_sc_hd__mux2_2 _19494_ ( + .A0(_03408_), + .A1(_03410_), + .S(_03060_), + .X(_00163_) + ); + sky130_fd_sc_hd__mux2_2 _19495_ ( + .A0(_03412_), + .A1(_03411_), + .S(\soc.core.litespi_grant ), + .X(_03413_) + ); + sky130_fd_sc_hd__mux2_2 _19496_ ( + .A0(_03413_), + .A1(_03401_), + .S(_03040_), + .X(_03414_) + ); + sky130_fd_sc_hd__mux2_2 _19497_ ( + .A0(_03414_), + .A1(_03390_), + .S(_03044_), + .X(_03415_) + ); + sky130_fd_sc_hd__mux2_2 _19498_ ( + .A0(_03415_), + .A1(_03367_), + .S(_03048_), + .X(_03416_) + ); + sky130_fd_sc_hd__mux2_2 _19499_ ( + .A0(_03416_), + .A1(_03320_), + .S(_03052_), + .X(_03417_) + ); + sky130_fd_sc_hd__mux2_2 _19500_ ( + .A0(_03417_), + .A1(_03225_), + .S(_03055_), + .X(_03418_) + ); + sky130_fd_sc_hd__mux2_2 _19501_ ( + .A0(_03419_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[31] ), + .S(_03035_), + .X(_03420_) + ); + sky130_fd_sc_hd__mux2_2 _19502_ ( + .A0(_03421_), + .A1(_03420_), + .S(_03061_), + .X(_03422_) + ); + sky130_fd_sc_hd__mux2_2 _19503_ ( + .A0(_03420_), + .A1(_03422_), + .S(_03060_), + .X(_00164_) + ); + sky130_fd_sc_hd__mux2_2 _19504_ ( + .A0(\soc.core.dbg_uart_data[0] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[0] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[0] ) + ); + sky130_fd_sc_hd__mux2_2 _19505_ ( + .A0(\soc.core.dbg_uart_data[1] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[1] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[1] ) + ); + sky130_fd_sc_hd__mux2_2 _19506_ ( + .A0(\soc.core.dbg_uart_data[2] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[2] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[2] ) + ); + sky130_fd_sc_hd__mux2_2 _19507_ ( + .A0(\soc.core.dbg_uart_data[3] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[3] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[3] ) + ); + sky130_fd_sc_hd__mux2_2 _19508_ ( + .A0(\soc.core.dbg_uart_data[4] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[4] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[4] ) + ); + sky130_fd_sc_hd__mux2_2 _19509_ ( + .A0(\soc.core.dbg_uart_data[5] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[5] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[5] ) + ); + sky130_fd_sc_hd__mux2_2 _19510_ ( + .A0(\soc.core.dbg_uart_data[6] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[6] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[6] ) + ); + sky130_fd_sc_hd__mux2_2 _19511_ ( + .A0(\soc.core.dbg_uart_data[7] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[7] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[7] ) + ); + sky130_fd_sc_hd__mux2_2 _19512_ ( + .A0(\soc.core.dbg_uart_data[8] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[8] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[8] ) + ); + sky130_fd_sc_hd__mux2_2 _19513_ ( + .A0(\soc.core.dbg_uart_data[9] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[9] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[9] ) + ); + sky130_fd_sc_hd__mux2_2 _19514_ ( + .A0(\soc.core.dbg_uart_data[10] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[10] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[10] ) + ); + sky130_fd_sc_hd__mux2_2 _19515_ ( + .A0(\soc.core.dbg_uart_data[11] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[11] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[11] ) + ); + sky130_fd_sc_hd__mux2_2 _19516_ ( + .A0(\soc.core.dbg_uart_data[12] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[12] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[12] ) + ); + sky130_fd_sc_hd__mux2_2 _19517_ ( + .A0(\soc.core.dbg_uart_data[13] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[13] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[13] ) + ); + sky130_fd_sc_hd__mux2_2 _19518_ ( + .A0(\soc.core.dbg_uart_data[14] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[14] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[14] ) + ); + sky130_fd_sc_hd__mux2_2 _19519_ ( + .A0(\soc.core.dbg_uart_data[15] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[15] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[15] ) + ); + sky130_fd_sc_hd__mux2_2 _19520_ ( + .A0(\soc.core.dbg_uart_data[16] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[16] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[16] ) + ); + sky130_fd_sc_hd__mux2_2 _19521_ ( + .A0(\soc.core.dbg_uart_data[17] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[17] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[17] ) + ); + sky130_fd_sc_hd__mux2_2 _19522_ ( + .A0(\soc.core.dbg_uart_data[18] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[18] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[18] ) + ); + sky130_fd_sc_hd__mux2_2 _19523_ ( + .A0(\soc.core.dbg_uart_data[19] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[19] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[19] ) + ); + sky130_fd_sc_hd__mux2_2 _19524_ ( + .A0(\soc.core.dbg_uart_data[20] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[20] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[20] ) + ); + sky130_fd_sc_hd__mux2_2 _19525_ ( + .A0(\soc.core.dbg_uart_data[21] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[21] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[21] ) + ); + sky130_fd_sc_hd__mux2_2 _19526_ ( + .A0(\soc.core.dbg_uart_data[22] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[22] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[22] ) + ); + sky130_fd_sc_hd__mux2_2 _19527_ ( + .A0(\soc.core.dbg_uart_data[23] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[23] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[23] ) + ); + sky130_fd_sc_hd__mux2_2 _19528_ ( + .A0(\soc.core.dbg_uart_data[24] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[24] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[24] ) + ); + sky130_fd_sc_hd__mux2_2 _19529_ ( + .A0(\soc.core.dbg_uart_data[25] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[25] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[25] ) + ); + sky130_fd_sc_hd__mux2_2 _19530_ ( + .A0(\soc.core.dbg_uart_data[26] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[26] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[26] ) + ); + sky130_fd_sc_hd__mux2_2 _19531_ ( + .A0(\soc.core.dbg_uart_data[27] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[27] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[27] ) + ); + sky130_fd_sc_hd__mux2_2 _19532_ ( + .A0(\soc.core.dbg_uart_data[28] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[28] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[28] ) + ); + sky130_fd_sc_hd__mux2_2 _19533_ ( + .A0(\soc.core.dbg_uart_data[29] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[29] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[29] ) + ); + sky130_fd_sc_hd__mux2_2 _19534_ ( + .A0(\soc.core.dbg_uart_data[30] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[30] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[30] ) + ); + sky130_fd_sc_hd__mux2_2 _19535_ ( + .A0(\soc.core.dbg_uart_data[31] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[31] ), + .S(_04916_), + .X(\mgmt_buffers.mprj_dat_o_core[31] ) + ); + sky130_fd_sc_hd__mux2_2 _19536_ ( + .A0(\soc.core.RAM256.Do0_pre[1][0] ), + .A1(\soc.core.RAM256.Do0_pre[0][0] ), + .S(_05018_), + .X(_03423_) + ); + sky130_fd_sc_hd__mux2_2 _19537_ ( + .A0(\soc.core.RAM256.Do0_pre[1][1] ), + .A1(\soc.core.RAM256.Do0_pre[0][1] ), + .S(_05018_), + .X(_03424_) + ); + sky130_fd_sc_hd__mux2_2 _19538_ ( + .A0(\soc.core.RAM256.Do0_pre[1][2] ), + .A1(\soc.core.RAM256.Do0_pre[0][2] ), + .S(_05018_), + .X(_03425_) + ); + sky130_fd_sc_hd__mux2_2 _19539_ ( + .A0(\soc.core.RAM256.Do0_pre[1][3] ), + .A1(\soc.core.RAM256.Do0_pre[0][3] ), + .S(_05018_), + .X(_03426_) + ); + sky130_fd_sc_hd__mux2_2 _19540_ ( + .A0(\soc.core.RAM256.Do0_pre[1][4] ), + .A1(\soc.core.RAM256.Do0_pre[0][4] ), + .S(_05018_), + .X(_03427_) + ); + sky130_fd_sc_hd__mux2_2 _19541_ ( + .A0(\soc.core.RAM256.Do0_pre[1][5] ), + .A1(\soc.core.RAM256.Do0_pre[0][5] ), + .S(_05018_), + .X(_03428_) + ); + sky130_fd_sc_hd__mux2_2 _19542_ ( + .A0(\soc.core.RAM256.Do0_pre[1][6] ), + .A1(\soc.core.RAM256.Do0_pre[0][6] ), + .S(_05018_), + .X(_03429_) + ); + sky130_fd_sc_hd__mux2_2 _19543_ ( + .A0(\soc.core.RAM256.Do0_pre[1][7] ), + .A1(\soc.core.RAM256.Do0_pre[0][7] ), + .S(_05018_), + .X(_03430_) + ); + sky130_fd_sc_hd__mux2_2 _19544_ ( + .A0(\soc.core.RAM256.Do0_pre[1][8] ), + .A1(\soc.core.RAM256.Do0_pre[0][8] ), + .S(_05018_), + .X(_03431_) + ); + sky130_fd_sc_hd__mux2_2 _19545_ ( + .A0(\soc.core.RAM256.Do0_pre[1][9] ), + .A1(\soc.core.RAM256.Do0_pre[0][9] ), + .S(_05018_), + .X(_03432_) + ); + sky130_fd_sc_hd__mux2_2 _19546_ ( + .A0(\soc.core.RAM256.Do0_pre[1][10] ), + .A1(\soc.core.RAM256.Do0_pre[0][10] ), + .S(_05018_), + .X(_03433_) + ); + sky130_fd_sc_hd__mux2_2 _19547_ ( + .A0(\soc.core.RAM256.Do0_pre[1][11] ), + .A1(\soc.core.RAM256.Do0_pre[0][11] ), + .S(_05018_), + .X(_03434_) + ); + sky130_fd_sc_hd__mux2_2 _19548_ ( + .A0(\soc.core.RAM256.Do0_pre[1][12] ), + .A1(\soc.core.RAM256.Do0_pre[0][12] ), + .S(_05018_), + .X(_03435_) + ); + sky130_fd_sc_hd__mux2_2 _19549_ ( + .A0(\soc.core.RAM256.Do0_pre[1][13] ), + .A1(\soc.core.RAM256.Do0_pre[0][13] ), + .S(_05018_), + .X(_03436_) + ); + sky130_fd_sc_hd__mux2_2 _19550_ ( + .A0(\soc.core.RAM256.Do0_pre[1][14] ), + .A1(\soc.core.RAM256.Do0_pre[0][14] ), + .S(_05018_), + .X(_03437_) + ); + sky130_fd_sc_hd__mux2_2 _19551_ ( + .A0(\soc.core.RAM256.Do0_pre[1][15] ), + .A1(\soc.core.RAM256.Do0_pre[0][15] ), + .S(_05018_), + .X(_03438_) + ); + sky130_fd_sc_hd__mux2_2 _19552_ ( + .A0(\soc.core.RAM256.Do0_pre[1][16] ), + .A1(\soc.core.RAM256.Do0_pre[0][16] ), + .S(_05018_), + .X(_03439_) + ); + sky130_fd_sc_hd__mux2_2 _19553_ ( + .A0(\soc.core.RAM256.Do0_pre[1][17] ), + .A1(\soc.core.RAM256.Do0_pre[0][17] ), + .S(_05018_), + .X(_03440_) + ); + sky130_fd_sc_hd__mux2_2 _19554_ ( + .A0(\soc.core.RAM256.Do0_pre[1][18] ), + .A1(\soc.core.RAM256.Do0_pre[0][18] ), + .S(_05018_), + .X(_03441_) + ); + sky130_fd_sc_hd__mux2_2 _19555_ ( + .A0(\soc.core.RAM256.Do0_pre[1][19] ), + .A1(\soc.core.RAM256.Do0_pre[0][19] ), + .S(_05018_), + .X(_03442_) + ); + sky130_fd_sc_hd__mux2_2 _19556_ ( + .A0(\soc.core.RAM256.Do0_pre[1][20] ), + .A1(\soc.core.RAM256.Do0_pre[0][20] ), + .S(_05018_), + .X(_03443_) + ); + sky130_fd_sc_hd__mux2_2 _19557_ ( + .A0(\soc.core.RAM256.Do0_pre[1][21] ), + .A1(\soc.core.RAM256.Do0_pre[0][21] ), + .S(_05018_), + .X(_03444_) + ); + sky130_fd_sc_hd__mux2_2 _19558_ ( + .A0(\soc.core.RAM256.Do0_pre[1][22] ), + .A1(\soc.core.RAM256.Do0_pre[0][22] ), + .S(_05018_), + .X(_03445_) + ); + sky130_fd_sc_hd__mux2_2 _19559_ ( + .A0(\soc.core.RAM256.Do0_pre[1][23] ), + .A1(\soc.core.RAM256.Do0_pre[0][23] ), + .S(_05018_), + .X(_03446_) + ); + sky130_fd_sc_hd__mux2_2 _19560_ ( + .A0(\soc.core.RAM256.Do0_pre[1][24] ), + .A1(\soc.core.RAM256.Do0_pre[0][24] ), + .S(_05018_), + .X(_03447_) + ); + sky130_fd_sc_hd__mux2_2 _19561_ ( + .A0(\soc.core.RAM256.Do0_pre[1][25] ), + .A1(\soc.core.RAM256.Do0_pre[0][25] ), + .S(_05018_), + .X(_03448_) + ); + sky130_fd_sc_hd__mux2_2 _19562_ ( + .A0(\soc.core.RAM256.Do0_pre[1][26] ), + .A1(\soc.core.RAM256.Do0_pre[0][26] ), + .S(_05018_), + .X(_03449_) + ); + sky130_fd_sc_hd__mux2_2 _19563_ ( + .A0(\soc.core.RAM256.Do0_pre[1][27] ), + .A1(\soc.core.RAM256.Do0_pre[0][27] ), + .S(_05018_), + .X(_03450_) + ); + sky130_fd_sc_hd__mux2_2 _19564_ ( + .A0(\soc.core.RAM256.Do0_pre[1][28] ), + .A1(\soc.core.RAM256.Do0_pre[0][28] ), + .S(_05018_), + .X(_03451_) + ); + sky130_fd_sc_hd__mux2_2 _19565_ ( + .A0(\soc.core.RAM256.Do0_pre[1][29] ), + .A1(\soc.core.RAM256.Do0_pre[0][29] ), + .S(_05018_), + .X(_03452_) + ); + sky130_fd_sc_hd__mux2_2 _19566_ ( + .A0(\soc.core.RAM256.Do0_pre[1][30] ), + .A1(\soc.core.RAM256.Do0_pre[0][30] ), + .S(_05018_), + .X(_03453_) + ); + sky130_fd_sc_hd__mux2_2 _19567_ ( + .A0(\soc.core.RAM256.Do0_pre[1][31] ), + .A1(\soc.core.RAM256.Do0_pre[0][31] ), + .S(_05018_), + .X(_03454_) + ); + sky130_fd_sc_hd__mux2_2 _19568_ ( + .A0(_03455_), + .A1(_03456_), + .S(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(\soc.core.uartwishbonebridge_rs232phyrx_next_state ) + ); + sky130_fd_sc_hd__mux2_2 _19569_ ( + .A0(_05104_), + .A1(_03457_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_dbg_uart_tx_uartwishbonebridge_rs232phytx_next_value1 ) + ); + sky130_fd_sc_hd__mux2_2 _19570_ ( + .A0(_05103_), + .A1(\soc.core.dbg_uart_tx_tick ), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ) + ); + sky130_fd_sc_hd__mux2_2 _19571_ ( + .A0(_03459_), + .A1(\soc.core.dbg_uart_data[24] ), + .S(_03458_), + .X(_03460_) + ); + sky130_fd_sc_hd__mux2_2 _19572_ ( + .A0(_03461_), + .A1(_03462_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[0] ) + ); + sky130_fd_sc_hd__mux2_2 _19573_ ( + .A0(_03463_), + .A1(\soc.core.dbg_uart_data[25] ), + .S(_03458_), + .X(_03464_) + ); + sky130_fd_sc_hd__mux2_2 _19574_ ( + .A0(_03465_), + .A1(_03466_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[1] ) + ); + sky130_fd_sc_hd__mux2_2 _19575_ ( + .A0(_03467_), + .A1(\soc.core.dbg_uart_data[26] ), + .S(_03458_), + .X(_03468_) + ); + sky130_fd_sc_hd__mux2_2 _19576_ ( + .A0(_03469_), + .A1(_03470_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[2] ) + ); + sky130_fd_sc_hd__mux2_2 _19577_ ( + .A0(_03471_), + .A1(\soc.core.dbg_uart_data[27] ), + .S(_03458_), + .X(_03472_) + ); + sky130_fd_sc_hd__mux2_2 _19578_ ( + .A0(_03473_), + .A1(_03474_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[3] ) + ); + sky130_fd_sc_hd__mux2_2 _19579_ ( + .A0(_03475_), + .A1(\soc.core.dbg_uart_data[28] ), + .S(_03458_), + .X(_03476_) + ); + sky130_fd_sc_hd__mux2_2 _19580_ ( + .A0(_03477_), + .A1(_03478_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[4] ) + ); + sky130_fd_sc_hd__mux2_2 _19581_ ( + .A0(_03479_), + .A1(\soc.core.dbg_uart_data[29] ), + .S(_03458_), + .X(_03480_) + ); + sky130_fd_sc_hd__mux2_2 _19582_ ( + .A0(_03481_), + .A1(_03482_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[5] ) + ); + sky130_fd_sc_hd__mux2_2 _19583_ ( + .A0(_03483_), + .A1(\soc.core.dbg_uart_data[30] ), + .S(_03458_), + .X(_03484_) + ); + sky130_fd_sc_hd__mux2_2 _19584_ ( + .A0(_03485_), + .A1(_03486_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[6] ) + ); + sky130_fd_sc_hd__mux2_2 _19585_ ( + .A0(_03487_), + .A1(\soc.core.dbg_uart_data[31] ), + .S(_03458_), + .X(_03488_) + ); + sky130_fd_sc_hd__mux2_2 _19586_ ( + .A0(_03489_), + .A1(\soc.core.dbg_uart_tx_tick ), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[7] ) + ); + sky130_fd_sc_hd__mux2_2 _19587_ ( + .A0(_05103_), + .A1(_03490_), + .S(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(\soc.core.uartwishbonebridge_rs232phytx_next_state ) + ); + sky130_fd_sc_hd__mux2_2 _19588_ ( + .A0(_03491_), + .A1(_03492_), + .S(\soc.core.rs232phy_rs232phyrx_state ), + .X(\soc.core.rs232phy_rs232phyrx_next_state ) + ); + sky130_fd_sc_hd__mux2_2 _19589_ ( + .A0(_03493_), + .A1(_03494_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.sys_uart_tx_rs232phy_rs232phytx_next_value1 ) + ); + sky130_fd_sc_hd__mux2_2 _19590_ ( + .A0(\soc.core.uart_tx_fifo_readable ), + .A1(\soc.core.uart_phy_tx_tick ), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ) + ); + sky130_fd_sc_hd__mux2_2 _19591_ ( + .A0(_03495_), + .A1(_03496_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[0] ) + ); + sky130_fd_sc_hd__mux2_2 _19592_ ( + .A0(_03497_), + .A1(_03498_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[1] ) + ); + sky130_fd_sc_hd__mux2_2 _19593_ ( + .A0(_03499_), + .A1(_03500_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[2] ) + ); + sky130_fd_sc_hd__mux2_2 _19594_ ( + .A0(_03501_), + .A1(_03502_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[3] ) + ); + sky130_fd_sc_hd__mux2_2 _19595_ ( + .A0(_03503_), + .A1(_03504_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[4] ) + ); + sky130_fd_sc_hd__mux2_2 _19596_ ( + .A0(_03505_), + .A1(_03506_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[5] ) + ); + sky130_fd_sc_hd__mux2_2 _19597_ ( + .A0(_03507_), + .A1(_03508_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[6] ) + ); + sky130_fd_sc_hd__mux2_2 _19598_ ( + .A0(_03509_), + .A1(\soc.core.uart_phy_tx_tick ), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[7] ) + ); + sky130_fd_sc_hd__mux2_2 _19599_ ( + .A0(\soc.core.uart_tx_fifo_readable ), + .A1(_03510_), + .S(\soc.core.rs232phy_rs232phytx_state ), + .X(\soc.core.rs232phy_rs232phytx_next_state ) + ); + sky130_fd_sc_hd__mux2_2 _19600_ ( + .A0(\soc.core.sys_uart_tx ), + .A1(\soc.core.dbg_uart_dbg_uart_tx ), + .S(\soc.core.debug_in ), + .X(\soc.core.serial_tx ) + ); + sky130_fd_sc_hd__mux2_2 _19601_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[0] ), + .S(_05133_), + .X(_00357_) + ); + sky130_fd_sc_hd__mux2_2 _19602_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[1] ), + .S(_05133_), + .X(_00368_) + ); + sky130_fd_sc_hd__mux2_2 _19603_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[2] ), + .S(_05133_), + .X(_00379_) + ); + sky130_fd_sc_hd__mux2_2 _19604_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[3] ), + .S(_05133_), + .X(_00382_) + ); + sky130_fd_sc_hd__mux2_2 _19605_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[4] ), + .S(_05133_), + .X(_00383_) + ); + sky130_fd_sc_hd__mux2_2 _19606_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[5] ), + .S(_05133_), + .X(_00384_) + ); + sky130_fd_sc_hd__mux2_2 _19607_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[6] ), + .S(_05133_), + .X(_00385_) + ); + sky130_fd_sc_hd__mux2_2 _19608_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[7] ), + .S(_05133_), + .X(_00386_) + ); + sky130_fd_sc_hd__mux2_2 _19609_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[8] ), + .S(_05133_), + .X(_00387_) + ); + sky130_fd_sc_hd__mux2_2 _19610_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[9] ), + .S(_05133_), + .X(_00388_) + ); + sky130_fd_sc_hd__mux2_2 _19611_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[10] ), + .S(_05133_), + .X(_00358_) + ); + sky130_fd_sc_hd__mux2_2 _19612_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[11] ), + .S(_05133_), + .X(_00359_) + ); + sky130_fd_sc_hd__mux2_2 _19613_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[12] ), + .S(_05133_), + .X(_00360_) + ); + sky130_fd_sc_hd__mux2_2 _19614_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[13] ), + .S(_05133_), + .X(_00361_) + ); + sky130_fd_sc_hd__mux2_2 _19615_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[14] ), + .S(_05133_), + .X(_00362_) + ); + sky130_fd_sc_hd__mux2_2 _19616_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[15] ), + .S(_05133_), + .X(_00363_) + ); + sky130_fd_sc_hd__mux2_2 _19617_ ( + .A0(\soc.core.VexRiscv.when_DebugPlugin_l260 ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[16] ), + .S(_05133_), + .X(_00364_) + ); + sky130_fd_sc_hd__mux2_2 _19618_ ( + .A0(\soc.core.VexRiscv.when_DebugPlugin_l261 ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[17] ), + .S(_05133_), + .X(_00365_) + ); + sky130_fd_sc_hd__mux2_2 _19619_ ( + .A0(\soc.core.VexRiscv.when_DebugPlugin_l264 ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[18] ), + .S(_05133_), + .X(_00366_) + ); + sky130_fd_sc_hd__mux2_2 _19620_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[19] ), + .S(_05133_), + .X(_00367_) + ); + sky130_fd_sc_hd__mux2_2 _19621_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[20] ), + .S(_05133_), + .X(_00369_) + ); + sky130_fd_sc_hd__mux2_2 _19622_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[21] ), + .S(_05133_), + .X(_00370_) + ); + sky130_fd_sc_hd__mux2_2 _19623_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[22] ), + .S(_05133_), + .X(_00371_) + ); + sky130_fd_sc_hd__mux2_2 _19624_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[23] ), + .S(_05133_), + .X(_00372_) + ); + sky130_fd_sc_hd__mux2_2 _19625_ ( + .A0(\soc.core.VexRiscv.when_DebugPlugin_l260_1 ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[24] ), + .S(_05133_), + .X(_00373_) + ); + sky130_fd_sc_hd__mux2_2 _19626_ ( + .A0(\soc.core.VexRiscv.when_DebugPlugin_l261_1 ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[25] ), + .S(_05133_), + .X(_00374_) + ); + sky130_fd_sc_hd__mux2_2 _19627_ ( + .A0(\soc.core.VexRiscv.when_DebugPlugin_l264_1 ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[26] ), + .S(_05133_), + .X(_00375_) + ); + sky130_fd_sc_hd__mux2_2 _19628_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[27] ), + .S(_05133_), + .X(_00376_) + ); + sky130_fd_sc_hd__mux2_2 _19629_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[28] ), + .S(_05133_), + .X(_00377_) + ); + sky130_fd_sc_hd__mux2_2 _19630_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[29] ), + .S(_05133_), + .X(_00378_) + ); + sky130_fd_sc_hd__mux2_2 _19631_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[30] ), + .S(_05133_), + .X(_00380_) + ); + sky130_fd_sc_hd__mux2_2 _19632_ ( + .A0(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[31] ), + .S(_05133_), + .X(_00381_) + ); + sky130_fd_sc_hd__mux2_2 _19633_ ( + .A0(_03516_), + .A1(_03515_), + .S(_04930_), + .X(_03517_) + ); + sky130_fd_sc_hd__mux2_2 _19634_ ( + .A0(_03520_), + .A1(_03519_), + .S(_04930_), + .X(_03521_) + ); + sky130_fd_sc_hd__mux2_2 _19635_ ( + .A0(_03524_), + .A1(_03523_), + .S(_04930_), + .X(_03525_) + ); + sky130_fd_sc_hd__mux2_2 _19636_ ( + .A0(_03528_), + .A1(_03527_), + .S(_04930_), + .X(_03529_) + ); + sky130_fd_sc_hd__mux2_2 _19637_ ( + .A0(_03532_), + .A1(_03531_), + .S(_04930_), + .X(_03533_) + ); + sky130_fd_sc_hd__mux2_2 _19638_ ( + .A0(_03536_), + .A1(_03535_), + .S(_04930_), + .X(_03537_) + ); + sky130_fd_sc_hd__mux2_2 _19639_ ( + .A0(_03540_), + .A1(_03539_), + .S(_04930_), + .X(_03541_) + ); + sky130_fd_sc_hd__mux2_2 _19640_ ( + .A0(_03544_), + .A1(_03543_), + .S(_04930_), + .X(_03545_) + ); + sky130_fd_sc_hd__mux2_2 _19641_ ( + .A0(_03548_), + .A1(_03547_), + .S(_04930_), + .X(_03549_) + ); + sky130_fd_sc_hd__mux2_2 _19642_ ( + .A0(_03552_), + .A1(_03551_), + .S(_04930_), + .X(_03553_) + ); + sky130_fd_sc_hd__mux2_2 _19643_ ( + .A0(_03556_), + .A1(_03555_), + .S(_04930_), + .X(_03557_) + ); + sky130_fd_sc_hd__mux2_2 _19644_ ( + .A0(_03560_), + .A1(_03559_), + .S(_04930_), + .X(_03561_) + ); + sky130_fd_sc_hd__mux2_2 _19645_ ( + .A0(_03565_), + .A1(_03564_), + .S(_04930_), + .X(_03566_) + ); + sky130_fd_sc_hd__mux2_2 _19646_ ( + .A0(_03570_), + .A1(_03569_), + .S(_04930_), + .X(_03571_) + ); + sky130_fd_sc_hd__mux2_2 _19647_ ( + .A0(_03574_), + .A1(_03573_), + .S(_04930_), + .X(_03575_) + ); + sky130_fd_sc_hd__mux2_2 _19648_ ( + .A0(_03578_), + .A1(_03577_), + .S(_04930_), + .X(_03579_) + ); + sky130_fd_sc_hd__mux2_2 _19649_ ( + .A0(_03582_), + .A1(_03581_), + .S(_04930_), + .X(_03583_) + ); + sky130_fd_sc_hd__mux2_2 _19650_ ( + .A0(_03586_), + .A1(_03585_), + .S(_04930_), + .X(_03587_) + ); + sky130_fd_sc_hd__mux2_2 _19651_ ( + .A0(_03590_), + .A1(_03589_), + .S(_04930_), + .X(_03591_) + ); + sky130_fd_sc_hd__mux2_2 _19652_ ( + .A0(_03595_), + .A1(_03594_), + .S(_04930_), + .X(_03596_) + ); + sky130_fd_sc_hd__mux2_2 _19653_ ( + .A0(_03599_), + .A1(_03598_), + .S(_04930_), + .X(_03600_) + ); + sky130_fd_sc_hd__mux2_2 _19654_ ( + .A0(_03603_), + .A1(_03602_), + .S(_04930_), + .X(_03604_) + ); + sky130_fd_sc_hd__mux2_2 _19655_ ( + .A0(_03607_), + .A1(_03606_), + .S(_04930_), + .X(_03608_) + ); + sky130_fd_sc_hd__mux2_2 _19656_ ( + .A0(_03611_), + .A1(_03610_), + .S(_04930_), + .X(_03612_) + ); + sky130_fd_sc_hd__mux2_2 _19657_ ( + .A0(_03615_), + .A1(_03614_), + .S(_04930_), + .X(_03616_) + ); + sky130_fd_sc_hd__mux2_2 _19658_ ( + .A0(_03619_), + .A1(_03618_), + .S(_04930_), + .X(_03620_) + ); + sky130_fd_sc_hd__mux2_2 _19659_ ( + .A0(_03623_), + .A1(_03622_), + .S(_04930_), + .X(_03624_) + ); + sky130_fd_sc_hd__mux2_2 _19660_ ( + .A0(_03626_), + .A1(_03562_), + .S(_05063_), + .X(_03627_) + ); + sky130_fd_sc_hd__mux2_2 _19661_ ( + .A0(_03630_), + .A1(_03567_), + .S(_05063_), + .X(_03631_) + ); + sky130_fd_sc_hd__mux2_2 _19662_ ( + .A0(_03632_), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[17] ), + .S(_05063_), + .X(_03633_) + ); + sky130_fd_sc_hd__mux2_2 _19663_ ( + .A0(_03634_), + .A1(_03514_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(_03635_) + ); + sky130_fd_sc_hd__mux2_2 _19664_ ( + .A0(_03514_), + .A1(_03517_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC_LESS_UNSIGNED ), + .X(_03637_) + ); + sky130_fd_sc_hd__mux2_2 _19665_ ( + .A0(_03637_), + .A1(_03635_), + .S(_03636_), + .X(_03638_) + ); + sky130_fd_sc_hd__mux2_2 _19666_ ( + .A0(_03641_), + .A1(_03639_), + .S(_03640_), + .X(_03642_) + ); + sky130_fd_sc_hd__mux2_2 _19667_ ( + .A0(_03643_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[0] ), + .S(_03511_), + .X(_03644_) + ); + sky130_fd_sc_hd__mux2_2 _19668_ ( + .A0(_05071_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[1] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03645_) + ); + sky130_fd_sc_hd__mux2_2 _19669_ ( + .A0(_03647_), + .A1(_03644_), + .S(_05043_), + .X(_03648_) + ); + sky130_fd_sc_hd__mux2_2 _19670_ ( + .A0(_03649_), + .A1(_03648_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[0] ) + ); + sky130_fd_sc_hd__mux2_2 _19671_ ( + .A0(_03651_), + .A1(_03650_), + .S(_03640_), + .X(_03652_) + ); + sky130_fd_sc_hd__mux2_2 _19672_ ( + .A0(_03653_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[1] ), + .S(_03511_), + .X(_03654_) + ); + sky130_fd_sc_hd__mux2_2 _19673_ ( + .A0(_05066_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[0] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03655_) + ); + sky130_fd_sc_hd__mux2_2 _19674_ ( + .A0(_03633_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[2] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03656_) + ); + sky130_fd_sc_hd__mux2_2 _19675_ ( + .A0(_03656_), + .A1(_03655_), + .S(_03646_), + .X(_03657_) + ); + sky130_fd_sc_hd__mux2_2 _19676_ ( + .A0(_03657_), + .A1(_03654_), + .S(_05043_), + .X(_03658_) + ); + sky130_fd_sc_hd__mux2_2 _19677_ ( + .A0(_03659_), + .A1(_03658_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[1] ) + ); + sky130_fd_sc_hd__mux2_2 _19678_ ( + .A0(_03660_), + .A1(_03633_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[2] ) + ); + sky130_fd_sc_hd__mux2_2 _19679_ ( + .A0(_03662_), + .A1(_03661_), + .S(_03640_), + .X(_03663_) + ); + sky130_fd_sc_hd__mux2_2 _19680_ ( + .A0(_03664_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[2] ), + .S(_03511_), + .X(_03665_) + ); + sky130_fd_sc_hd__mux2_2 _19681_ ( + .A0(_03666_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[3] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03667_) + ); + sky130_fd_sc_hd__mux2_2 _19682_ ( + .A0(_03667_), + .A1(_03645_), + .S(_03646_), + .X(_03668_) + ); + sky130_fd_sc_hd__mux2_2 _19683_ ( + .A0(_03668_), + .A1(_03665_), + .S(_05043_), + .X(_03669_) + ); + sky130_fd_sc_hd__mux2_2 _19684_ ( + .A0(_03670_), + .A1(_03669_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[2] ) + ); + sky130_fd_sc_hd__mux2_2 _19685_ ( + .A0(_03671_), + .A1(_03666_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[3] ) + ); + sky130_fd_sc_hd__mux2_2 _19686_ ( + .A0(_03673_), + .A1(_03672_), + .S(_03640_), + .X(_03674_) + ); + sky130_fd_sc_hd__mux2_2 _19687_ ( + .A0(_03675_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[3] ), + .S(_03511_), + .X(_03676_) + ); + sky130_fd_sc_hd__mux2_2 _19688_ ( + .A0(_03628_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[4] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03677_) + ); + sky130_fd_sc_hd__mux2_2 _19689_ ( + .A0(_03677_), + .A1(_03656_), + .S(_03646_), + .X(_03678_) + ); + sky130_fd_sc_hd__mux2_2 _19690_ ( + .A0(_03678_), + .A1(_03676_), + .S(_05043_), + .X(_03679_) + ); + sky130_fd_sc_hd__mux2_2 _19691_ ( + .A0(_03680_), + .A1(_03679_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[3] ) + ); + sky130_fd_sc_hd__mux2_2 _19692_ ( + .A0(_03681_), + .A1(_03628_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[4] ) + ); + sky130_fd_sc_hd__mux2_2 _19693_ ( + .A0(_03683_), + .A1(_03682_), + .S(_03640_), + .X(_03684_) + ); + sky130_fd_sc_hd__mux2_2 _19694_ ( + .A0(_03685_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[4] ), + .S(_03511_), + .X(_03686_) + ); + sky130_fd_sc_hd__mux2_2 _19695_ ( + .A0(_03621_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[5] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03687_) + ); + sky130_fd_sc_hd__mux2_2 _19696_ ( + .A0(_03687_), + .A1(_03667_), + .S(_03646_), + .X(_03688_) + ); + sky130_fd_sc_hd__mux2_2 _19697_ ( + .A0(_03688_), + .A1(_03686_), + .S(_05043_), + .X(_03689_) + ); + sky130_fd_sc_hd__mux2_2 _19698_ ( + .A0(_03690_), + .A1(_03689_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[4] ) + ); + sky130_fd_sc_hd__mux2_2 _19699_ ( + .A0(_03691_), + .A1(_03621_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[5] ) + ); + sky130_fd_sc_hd__mux2_2 _19700_ ( + .A0(_03693_), + .A1(_03692_), + .S(_03640_), + .X(_03694_) + ); + sky130_fd_sc_hd__mux2_2 _19701_ ( + .A0(_03695_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[5] ), + .S(_03511_), + .X(_03696_) + ); + sky130_fd_sc_hd__mux2_2 _19702_ ( + .A0(_03617_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[6] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03697_) + ); + sky130_fd_sc_hd__mux2_2 _19703_ ( + .A0(_03697_), + .A1(_03677_), + .S(_03646_), + .X(_03698_) + ); + sky130_fd_sc_hd__mux2_2 _19704_ ( + .A0(_03698_), + .A1(_03696_), + .S(_05043_), + .X(_03699_) + ); + sky130_fd_sc_hd__mux2_2 _19705_ ( + .A0(_03700_), + .A1(_03699_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[5] ) + ); + sky130_fd_sc_hd__mux2_2 _19706_ ( + .A0(_03701_), + .A1(_03617_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[6] ) + ); + sky130_fd_sc_hd__mux2_2 _19707_ ( + .A0(_03703_), + .A1(_03702_), + .S(_03640_), + .X(_03704_) + ); + sky130_fd_sc_hd__mux2_2 _19708_ ( + .A0(_03705_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[6] ), + .S(_03511_), + .X(_03706_) + ); + sky130_fd_sc_hd__mux2_2 _19709_ ( + .A0(_03613_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[7] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03707_) + ); + sky130_fd_sc_hd__mux2_2 _19710_ ( + .A0(_03707_), + .A1(_03687_), + .S(_03646_), + .X(_03708_) + ); + sky130_fd_sc_hd__mux2_2 _19711_ ( + .A0(_03708_), + .A1(_03706_), + .S(_05043_), + .X(_03709_) + ); + sky130_fd_sc_hd__mux2_2 _19712_ ( + .A0(_03710_), + .A1(_03709_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[6] ) + ); + sky130_fd_sc_hd__mux2_2 _19713_ ( + .A0(_03711_), + .A1(_03613_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[7] ) + ); + sky130_fd_sc_hd__mux2_2 _19714_ ( + .A0(_03713_), + .A1(_03712_), + .S(_03640_), + .X(_03714_) + ); + sky130_fd_sc_hd__mux2_2 _19715_ ( + .A0(_03715_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[7] ), + .S(_03511_), + .X(_03716_) + ); + sky130_fd_sc_hd__mux2_2 _19716_ ( + .A0(_03609_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[8] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03717_) + ); + sky130_fd_sc_hd__mux2_2 _19717_ ( + .A0(_03717_), + .A1(_03697_), + .S(_03646_), + .X(_03718_) + ); + sky130_fd_sc_hd__mux2_2 _19718_ ( + .A0(_03718_), + .A1(_03716_), + .S(_05043_), + .X(_03719_) + ); + sky130_fd_sc_hd__mux2_2 _19719_ ( + .A0(_03720_), + .A1(_03719_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[7] ) + ); + sky130_fd_sc_hd__mux2_2 _19720_ ( + .A0(_03721_), + .A1(_03609_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[8] ) + ); + sky130_fd_sc_hd__mux2_2 _19721_ ( + .A0(_03723_), + .A1(_03722_), + .S(_03640_), + .X(_03724_) + ); + sky130_fd_sc_hd__mux2_2 _19722_ ( + .A0(_03725_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[8] ), + .S(_03511_), + .X(_03726_) + ); + sky130_fd_sc_hd__mux2_2 _19723_ ( + .A0(_03605_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[9] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03727_) + ); + sky130_fd_sc_hd__mux2_2 _19724_ ( + .A0(_03727_), + .A1(_03707_), + .S(_03646_), + .X(_03728_) + ); + sky130_fd_sc_hd__mux2_2 _19725_ ( + .A0(_03728_), + .A1(_03726_), + .S(_05043_), + .X(_03729_) + ); + sky130_fd_sc_hd__mux2_2 _19726_ ( + .A0(_03730_), + .A1(_03729_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[8] ) + ); + sky130_fd_sc_hd__mux2_2 _19727_ ( + .A0(_03731_), + .A1(_03605_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[9] ) + ); + sky130_fd_sc_hd__mux2_2 _19728_ ( + .A0(_03733_), + .A1(_03732_), + .S(_03640_), + .X(_03734_) + ); + sky130_fd_sc_hd__mux2_2 _19729_ ( + .A0(_03735_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[9] ), + .S(_03511_), + .X(_03736_) + ); + sky130_fd_sc_hd__mux2_2 _19730_ ( + .A0(_03601_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[10] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03737_) + ); + sky130_fd_sc_hd__mux2_2 _19731_ ( + .A0(_03737_), + .A1(_03717_), + .S(_03646_), + .X(_03738_) + ); + sky130_fd_sc_hd__mux2_2 _19732_ ( + .A0(_03738_), + .A1(_03736_), + .S(_05043_), + .X(_03739_) + ); + sky130_fd_sc_hd__mux2_2 _19733_ ( + .A0(_03740_), + .A1(_03739_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[9] ) + ); + sky130_fd_sc_hd__mux2_2 _19734_ ( + .A0(_03741_), + .A1(_03601_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[10] ) + ); + sky130_fd_sc_hd__mux2_2 _19735_ ( + .A0(_03743_), + .A1(_03742_), + .S(_03640_), + .X(_03744_) + ); + sky130_fd_sc_hd__mux2_2 _19736_ ( + .A0(_03745_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[10] ), + .S(_03511_), + .X(_03746_) + ); + sky130_fd_sc_hd__mux2_2 _19737_ ( + .A0(_03597_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[11] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03747_) + ); + sky130_fd_sc_hd__mux2_2 _19738_ ( + .A0(_03747_), + .A1(_03727_), + .S(_03646_), + .X(_03748_) + ); + sky130_fd_sc_hd__mux2_2 _19739_ ( + .A0(_03748_), + .A1(_03746_), + .S(_05043_), + .X(_03749_) + ); + sky130_fd_sc_hd__mux2_2 _19740_ ( + .A0(_03750_), + .A1(_03749_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[10] ) + ); + sky130_fd_sc_hd__mux2_2 _19741_ ( + .A0(_03751_), + .A1(_03597_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[11] ) + ); + sky130_fd_sc_hd__mux2_2 _19742_ ( + .A0(_03753_), + .A1(_03752_), + .S(_03640_), + .X(_03754_) + ); + sky130_fd_sc_hd__mux2_2 _19743_ ( + .A0(_03755_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[11] ), + .S(_03511_), + .X(_03756_) + ); + sky130_fd_sc_hd__mux2_2 _19744_ ( + .A0(_03593_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[12] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03757_) + ); + sky130_fd_sc_hd__mux2_2 _19745_ ( + .A0(_03757_), + .A1(_03737_), + .S(_03646_), + .X(_03758_) + ); + sky130_fd_sc_hd__mux2_2 _19746_ ( + .A0(_03758_), + .A1(_03756_), + .S(_05043_), + .X(_03759_) + ); + sky130_fd_sc_hd__mux2_2 _19747_ ( + .A0(_03760_), + .A1(_03759_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[11] ) + ); + sky130_fd_sc_hd__mux2_2 _19748_ ( + .A0(_03761_), + .A1(_03593_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[12] ) + ); + sky130_fd_sc_hd__mux2_2 _19749_ ( + .A0(_03763_), + .A1(_03762_), + .S(_03640_), + .X(_03764_) + ); + sky130_fd_sc_hd__mux2_2 _19750_ ( + .A0(_03765_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[12] ), + .S(_03511_), + .X(_03766_) + ); + sky130_fd_sc_hd__mux2_2 _19751_ ( + .A0(_03588_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[13] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03767_) + ); + sky130_fd_sc_hd__mux2_2 _19752_ ( + .A0(_03767_), + .A1(_03747_), + .S(_03646_), + .X(_03768_) + ); + sky130_fd_sc_hd__mux2_2 _19753_ ( + .A0(_03768_), + .A1(_03766_), + .S(_05043_), + .X(_03769_) + ); + sky130_fd_sc_hd__mux2_2 _19754_ ( + .A0(_03770_), + .A1(_03769_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[12] ) + ); + sky130_fd_sc_hd__mux2_2 _19755_ ( + .A0(_03771_), + .A1(_03588_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[13] ) + ); + sky130_fd_sc_hd__mux2_2 _19756_ ( + .A0(_03773_), + .A1(_03772_), + .S(_03640_), + .X(_03774_) + ); + sky130_fd_sc_hd__mux2_2 _19757_ ( + .A0(_03775_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[13] ), + .S(_03511_), + .X(_03776_) + ); + sky130_fd_sc_hd__mux2_2 _19758_ ( + .A0(_03584_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[14] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03777_) + ); + sky130_fd_sc_hd__mux2_2 _19759_ ( + .A0(_03777_), + .A1(_03757_), + .S(_03646_), + .X(_03778_) + ); + sky130_fd_sc_hd__mux2_2 _19760_ ( + .A0(_03778_), + .A1(_03776_), + .S(_05043_), + .X(_03779_) + ); + sky130_fd_sc_hd__mux2_2 _19761_ ( + .A0(_03780_), + .A1(_03779_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[13] ) + ); + sky130_fd_sc_hd__mux2_2 _19762_ ( + .A0(_03781_), + .A1(_03584_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[14] ) + ); + sky130_fd_sc_hd__mux2_2 _19763_ ( + .A0(_03783_), + .A1(_03782_), + .S(_03640_), + .X(_03784_) + ); + sky130_fd_sc_hd__mux2_2 _19764_ ( + .A0(_03785_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[14] ), + .S(_03511_), + .X(_03786_) + ); + sky130_fd_sc_hd__mux2_2 _19765_ ( + .A0(_03580_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[15] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03787_) + ); + sky130_fd_sc_hd__mux2_2 _19766_ ( + .A0(_03787_), + .A1(_03767_), + .S(_03646_), + .X(_03788_) + ); + sky130_fd_sc_hd__mux2_2 _19767_ ( + .A0(_03788_), + .A1(_03786_), + .S(_05043_), + .X(_03789_) + ); + sky130_fd_sc_hd__mux2_2 _19768_ ( + .A0(_03790_), + .A1(_03789_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[14] ) + ); + sky130_fd_sc_hd__mux2_2 _19769_ ( + .A0(_03791_), + .A1(_03580_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[15] ) + ); + sky130_fd_sc_hd__mux2_2 _19770_ ( + .A0(_03793_), + .A1(_03792_), + .S(_03640_), + .X(_03794_) + ); + sky130_fd_sc_hd__mux2_2 _19771_ ( + .A0(_03795_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[15] ), + .S(_03511_), + .X(_03796_) + ); + sky130_fd_sc_hd__mux2_2 _19772_ ( + .A0(_03576_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[16] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03797_) + ); + sky130_fd_sc_hd__mux2_2 _19773_ ( + .A0(_03797_), + .A1(_03777_), + .S(_03646_), + .X(_03798_) + ); + sky130_fd_sc_hd__mux2_2 _19774_ ( + .A0(_03798_), + .A1(_03796_), + .S(_05043_), + .X(_03799_) + ); + sky130_fd_sc_hd__mux2_2 _19775_ ( + .A0(_03800_), + .A1(_03799_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[15] ) + ); + sky130_fd_sc_hd__mux2_2 _19776_ ( + .A0(_03801_), + .A1(_03576_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[16] ) + ); + sky130_fd_sc_hd__mux2_2 _19777_ ( + .A0(_03803_), + .A1(_03802_), + .S(_03640_), + .X(_03804_) + ); + sky130_fd_sc_hd__mux2_2 _19778_ ( + .A0(_03805_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[16] ), + .S(_03511_), + .X(_03806_) + ); + sky130_fd_sc_hd__mux2_2 _19779_ ( + .A0(_03572_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[17] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03807_) + ); + sky130_fd_sc_hd__mux2_2 _19780_ ( + .A0(_03807_), + .A1(_03787_), + .S(_03646_), + .X(_03808_) + ); + sky130_fd_sc_hd__mux2_2 _19781_ ( + .A0(_03808_), + .A1(_03806_), + .S(_05043_), + .X(_03809_) + ); + sky130_fd_sc_hd__mux2_2 _19782_ ( + .A0(_03810_), + .A1(_03809_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[16] ) + ); + sky130_fd_sc_hd__mux2_2 _19783_ ( + .A0(_03811_), + .A1(_03572_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[17] ) + ); + sky130_fd_sc_hd__mux2_2 _19784_ ( + .A0(_03813_), + .A1(_03812_), + .S(_03640_), + .X(_03814_) + ); + sky130_fd_sc_hd__mux2_2 _19785_ ( + .A0(_03815_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[17] ), + .S(_03511_), + .X(_03816_) + ); + sky130_fd_sc_hd__mux2_2 _19786_ ( + .A0(_03568_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[18] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03817_) + ); + sky130_fd_sc_hd__mux2_2 _19787_ ( + .A0(_03817_), + .A1(_03797_), + .S(_03646_), + .X(_03818_) + ); + sky130_fd_sc_hd__mux2_2 _19788_ ( + .A0(_03818_), + .A1(_03816_), + .S(_05043_), + .X(_03819_) + ); + sky130_fd_sc_hd__mux2_2 _19789_ ( + .A0(_03820_), + .A1(_03819_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[17] ) + ); + sky130_fd_sc_hd__mux2_2 _19790_ ( + .A0(_03821_), + .A1(_03568_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[18] ) + ); + sky130_fd_sc_hd__mux2_2 _19791_ ( + .A0(_03823_), + .A1(_03822_), + .S(_03640_), + .X(_03824_) + ); + sky130_fd_sc_hd__mux2_2 _19792_ ( + .A0(_03825_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[18] ), + .S(_03511_), + .X(_03826_) + ); + sky130_fd_sc_hd__mux2_2 _19793_ ( + .A0(_03563_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[19] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03827_) + ); + sky130_fd_sc_hd__mux2_2 _19794_ ( + .A0(_03827_), + .A1(_03807_), + .S(_03646_), + .X(_03828_) + ); + sky130_fd_sc_hd__mux2_2 _19795_ ( + .A0(_03828_), + .A1(_03826_), + .S(_05043_), + .X(_03829_) + ); + sky130_fd_sc_hd__mux2_2 _19796_ ( + .A0(_03830_), + .A1(_03829_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[18] ) + ); + sky130_fd_sc_hd__mux2_2 _19797_ ( + .A0(_03831_), + .A1(_03563_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[19] ) + ); + sky130_fd_sc_hd__mux2_2 _19798_ ( + .A0(_03833_), + .A1(_03832_), + .S(_03640_), + .X(_03834_) + ); + sky130_fd_sc_hd__mux2_2 _19799_ ( + .A0(_03835_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[19] ), + .S(_03511_), + .X(_03836_) + ); + sky130_fd_sc_hd__mux2_2 _19800_ ( + .A0(_03558_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[20] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03837_) + ); + sky130_fd_sc_hd__mux2_2 _19801_ ( + .A0(_03837_), + .A1(_03817_), + .S(_03646_), + .X(_03838_) + ); + sky130_fd_sc_hd__mux2_2 _19802_ ( + .A0(_03838_), + .A1(_03836_), + .S(_05043_), + .X(_03839_) + ); + sky130_fd_sc_hd__mux2_2 _19803_ ( + .A0(_03840_), + .A1(_03839_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[19] ) + ); + sky130_fd_sc_hd__mux2_2 _19804_ ( + .A0(_03841_), + .A1(_03558_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[20] ) + ); + sky130_fd_sc_hd__mux2_2 _19805_ ( + .A0(_03843_), + .A1(_03842_), + .S(_03640_), + .X(_03844_) + ); + sky130_fd_sc_hd__mux2_2 _19806_ ( + .A0(_03845_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[20] ), + .S(_03511_), + .X(_03846_) + ); + sky130_fd_sc_hd__mux2_2 _19807_ ( + .A0(_03554_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[21] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03847_) + ); + sky130_fd_sc_hd__mux2_2 _19808_ ( + .A0(_03847_), + .A1(_03827_), + .S(_03646_), + .X(_03848_) + ); + sky130_fd_sc_hd__mux2_2 _19809_ ( + .A0(_03848_), + .A1(_03846_), + .S(_05043_), + .X(_03849_) + ); + sky130_fd_sc_hd__mux2_2 _19810_ ( + .A0(_03850_), + .A1(_03849_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[20] ) + ); + sky130_fd_sc_hd__mux2_2 _19811_ ( + .A0(_03851_), + .A1(_03554_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[21] ) + ); + sky130_fd_sc_hd__mux2_2 _19812_ ( + .A0(_03853_), + .A1(_03852_), + .S(_03640_), + .X(_03854_) + ); + sky130_fd_sc_hd__mux2_2 _19813_ ( + .A0(_03855_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[21] ), + .S(_03511_), + .X(_03856_) + ); + sky130_fd_sc_hd__mux2_2 _19814_ ( + .A0(_03550_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[22] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03857_) + ); + sky130_fd_sc_hd__mux2_2 _19815_ ( + .A0(_03857_), + .A1(_03837_), + .S(_03646_), + .X(_03858_) + ); + sky130_fd_sc_hd__mux2_2 _19816_ ( + .A0(_03858_), + .A1(_03856_), + .S(_05043_), + .X(_03859_) + ); + sky130_fd_sc_hd__mux2_2 _19817_ ( + .A0(_03860_), + .A1(_03859_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[21] ) + ); + sky130_fd_sc_hd__mux2_2 _19818_ ( + .A0(_03861_), + .A1(_03550_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[22] ) + ); + sky130_fd_sc_hd__mux2_2 _19819_ ( + .A0(_03863_), + .A1(_03862_), + .S(_03640_), + .X(_03864_) + ); + sky130_fd_sc_hd__mux2_2 _19820_ ( + .A0(_03865_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[22] ), + .S(_03511_), + .X(_03866_) + ); + sky130_fd_sc_hd__mux2_2 _19821_ ( + .A0(_03546_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[23] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03867_) + ); + sky130_fd_sc_hd__mux2_2 _19822_ ( + .A0(_03867_), + .A1(_03847_), + .S(_03646_), + .X(_03868_) + ); + sky130_fd_sc_hd__mux2_2 _19823_ ( + .A0(_03868_), + .A1(_03866_), + .S(_05043_), + .X(_03869_) + ); + sky130_fd_sc_hd__mux2_2 _19824_ ( + .A0(_03870_), + .A1(_03869_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[22] ) + ); + sky130_fd_sc_hd__mux2_2 _19825_ ( + .A0(_03871_), + .A1(_03546_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[23] ) + ); + sky130_fd_sc_hd__mux2_2 _19826_ ( + .A0(_03873_), + .A1(_03872_), + .S(_03640_), + .X(_03874_) + ); + sky130_fd_sc_hd__mux2_2 _19827_ ( + .A0(_03875_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[23] ), + .S(_03511_), + .X(_03876_) + ); + sky130_fd_sc_hd__mux2_2 _19828_ ( + .A0(_03542_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[24] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03877_) + ); + sky130_fd_sc_hd__mux2_2 _19829_ ( + .A0(_03877_), + .A1(_03857_), + .S(_03646_), + .X(_03878_) + ); + sky130_fd_sc_hd__mux2_2 _19830_ ( + .A0(_03878_), + .A1(_03876_), + .S(_05043_), + .X(_03879_) + ); + sky130_fd_sc_hd__mux2_2 _19831_ ( + .A0(_03880_), + .A1(_03879_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[23] ) + ); + sky130_fd_sc_hd__mux2_2 _19832_ ( + .A0(_03881_), + .A1(_03542_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[24] ) + ); + sky130_fd_sc_hd__mux2_2 _19833_ ( + .A0(_03883_), + .A1(_03882_), + .S(_03640_), + .X(_03884_) + ); + sky130_fd_sc_hd__mux2_2 _19834_ ( + .A0(_03885_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[24] ), + .S(_03511_), + .X(_03886_) + ); + sky130_fd_sc_hd__mux2_2 _19835_ ( + .A0(_03538_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[25] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03887_) + ); + sky130_fd_sc_hd__mux2_2 _19836_ ( + .A0(_03887_), + .A1(_03867_), + .S(_03646_), + .X(_03888_) + ); + sky130_fd_sc_hd__mux2_2 _19837_ ( + .A0(_03888_), + .A1(_03886_), + .S(_05043_), + .X(_03889_) + ); + sky130_fd_sc_hd__mux2_2 _19838_ ( + .A0(_03890_), + .A1(_03889_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[24] ) + ); + sky130_fd_sc_hd__mux2_2 _19839_ ( + .A0(_03891_), + .A1(_03538_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[25] ) + ); + sky130_fd_sc_hd__mux2_2 _19840_ ( + .A0(_03893_), + .A1(_03892_), + .S(_03640_), + .X(_03894_) + ); + sky130_fd_sc_hd__mux2_2 _19841_ ( + .A0(_03895_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[25] ), + .S(_03511_), + .X(_03896_) + ); + sky130_fd_sc_hd__mux2_2 _19842_ ( + .A0(_03534_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[26] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03897_) + ); + sky130_fd_sc_hd__mux2_2 _19843_ ( + .A0(_03897_), + .A1(_03877_), + .S(_03646_), + .X(_03898_) + ); + sky130_fd_sc_hd__mux2_2 _19844_ ( + .A0(_03898_), + .A1(_03896_), + .S(_05043_), + .X(_03899_) + ); + sky130_fd_sc_hd__mux2_2 _19845_ ( + .A0(_03900_), + .A1(_03899_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[25] ) + ); + sky130_fd_sc_hd__mux2_2 _19846_ ( + .A0(_03901_), + .A1(_03534_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[26] ) + ); + sky130_fd_sc_hd__mux2_2 _19847_ ( + .A0(_03903_), + .A1(_03902_), + .S(_03640_), + .X(_03904_) + ); + sky130_fd_sc_hd__mux2_2 _19848_ ( + .A0(_03905_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[26] ), + .S(_03511_), + .X(_03906_) + ); + sky130_fd_sc_hd__mux2_2 _19849_ ( + .A0(_03530_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[27] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03907_) + ); + sky130_fd_sc_hd__mux2_2 _19850_ ( + .A0(_03907_), + .A1(_03887_), + .S(_03646_), + .X(_03908_) + ); + sky130_fd_sc_hd__mux2_2 _19851_ ( + .A0(_03908_), + .A1(_03906_), + .S(_05043_), + .X(_03909_) + ); + sky130_fd_sc_hd__mux2_2 _19852_ ( + .A0(_03910_), + .A1(_03909_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[26] ) + ); + sky130_fd_sc_hd__mux2_2 _19853_ ( + .A0(_03911_), + .A1(_03530_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[27] ) + ); + sky130_fd_sc_hd__mux2_2 _19854_ ( + .A0(_03913_), + .A1(_03912_), + .S(_03640_), + .X(_03914_) + ); + sky130_fd_sc_hd__mux2_2 _19855_ ( + .A0(_03915_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[27] ), + .S(_03511_), + .X(_03916_) + ); + sky130_fd_sc_hd__mux2_2 _19856_ ( + .A0(_03526_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[28] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03917_) + ); + sky130_fd_sc_hd__mux2_2 _19857_ ( + .A0(_03917_), + .A1(_03897_), + .S(_03646_), + .X(_03918_) + ); + sky130_fd_sc_hd__mux2_2 _19858_ ( + .A0(_03918_), + .A1(_03916_), + .S(_05043_), + .X(_03919_) + ); + sky130_fd_sc_hd__mux2_2 _19859_ ( + .A0(_03920_), + .A1(_03919_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[27] ) + ); + sky130_fd_sc_hd__mux2_2 _19860_ ( + .A0(_03921_), + .A1(_03526_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[28] ) + ); + sky130_fd_sc_hd__mux2_2 _19861_ ( + .A0(_03923_), + .A1(_03922_), + .S(_03640_), + .X(_03924_) + ); + sky130_fd_sc_hd__mux2_2 _19862_ ( + .A0(_03925_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[28] ), + .S(_03511_), + .X(_03926_) + ); + sky130_fd_sc_hd__mux2_2 _19863_ ( + .A0(_03522_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[29] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03927_) + ); + sky130_fd_sc_hd__mux2_2 _19864_ ( + .A0(_03927_), + .A1(_03907_), + .S(_03646_), + .X(_03928_) + ); + sky130_fd_sc_hd__mux2_2 _19865_ ( + .A0(_03928_), + .A1(_03926_), + .S(_05043_), + .X(_03929_) + ); + sky130_fd_sc_hd__mux2_2 _19866_ ( + .A0(_03930_), + .A1(_03929_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[28] ) + ); + sky130_fd_sc_hd__mux2_2 _19867_ ( + .A0(_03931_), + .A1(_03522_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[29] ) + ); + sky130_fd_sc_hd__mux2_2 _19868_ ( + .A0(_03933_), + .A1(_03932_), + .S(_03640_), + .X(_03934_) + ); + sky130_fd_sc_hd__mux2_2 _19869_ ( + .A0(_03935_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[29] ), + .S(_03511_), + .X(_03936_) + ); + sky130_fd_sc_hd__mux2_2 _19870_ ( + .A0(_03518_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[30] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03937_) + ); + sky130_fd_sc_hd__mux2_2 _19871_ ( + .A0(_03937_), + .A1(_03917_), + .S(_03646_), + .X(_03938_) + ); + sky130_fd_sc_hd__mux2_2 _19872_ ( + .A0(_03938_), + .A1(_03936_), + .S(_05043_), + .X(_03939_) + ); + sky130_fd_sc_hd__mux2_2 _19873_ ( + .A0(_03940_), + .A1(_03939_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[29] ) + ); + sky130_fd_sc_hd__mux2_2 _19874_ ( + .A0(_03941_), + .A1(_03518_), + .S(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(\soc.core.VexRiscv.dBus_cmd_payload_address[30] ) + ); + sky130_fd_sc_hd__mux2_2 _19875_ ( + .A0(_03943_), + .A1(_03942_), + .S(_03640_), + .X(_03944_) + ); + sky130_fd_sc_hd__mux2_2 _19876_ ( + .A0(_03945_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[30] ), + .S(_03511_), + .X(_03946_) + ); + sky130_fd_sc_hd__mux2_2 _19877_ ( + .A0(_03513_), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[31] ), + .S(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .X(_03947_) + ); + sky130_fd_sc_hd__mux2_2 _19878_ ( + .A0(_03947_), + .A1(_03927_), + .S(_03646_), + .X(_03948_) + ); + sky130_fd_sc_hd__mux2_2 _19879_ ( + .A0(_03948_), + .A1(_03946_), + .S(_05043_), + .X(_03949_) + ); + sky130_fd_sc_hd__mux2_2 _19880_ ( + .A0(_03950_), + .A1(_03949_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[30] ) + ); + sky130_fd_sc_hd__mux2_2 _19881_ ( + .A0(_03951_), + .A1(_03636_), + .S(_03640_), + .X(_03952_) + ); + sky130_fd_sc_hd__mux2_2 _19882_ ( + .A0(_03953_), + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[31] ), + .S(_03511_), + .X(_03954_) + ); + sky130_fd_sc_hd__mux2_2 _19883_ ( + .A0(_03955_), + .A1(_03937_), + .S(_03646_), + .X(_03956_) + ); + sky130_fd_sc_hd__mux2_2 _19884_ ( + .A0(_03956_), + .A1(_03954_), + .S(_05043_), + .X(_03957_) + ); + sky130_fd_sc_hd__mux2_2 _19885_ ( + .A0(_03958_), + .A1(_03957_), + .S(_05084_), + .X(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[31] ) + ); + sky130_fd_sc_hd__mux2_2 _19886_ ( + .A0(_03965_), + .A1(_03961_), + .S(_03962_), + .X(_03966_) + ); + sky130_fd_sc_hd__mux2_2 _19887_ ( + .A0(_03966_), + .A1(_03959_), + .S(_03960_), + .X(_03967_) + ); + sky130_fd_sc_hd__mux2_2 _19888_ ( + .A0(_03971_), + .A1(_03969_), + .S(_03962_), + .X(_03972_) + ); + sky130_fd_sc_hd__mux2_2 _19889_ ( + .A0(_03972_), + .A1(_03968_), + .S(_03960_), + .X(_03973_) + ); + sky130_fd_sc_hd__mux2_2 _19890_ ( + .A0(_03974_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[6] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_03975_) + ); + sky130_fd_sc_hd__mux2_2 _19891_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[4] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[6] ), + .S(_01372_), + .X(_03976_) + ); + sky130_fd_sc_hd__mux2_2 _19892_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[4] ), + .A1(_03976_), + .S(_05061_), + .X(_03977_) + ); + sky130_fd_sc_hd__mux2_2 _19893_ ( + .A0(_03977_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[6] ), + .S(_05093_), + .X(_03978_) + ); + sky130_fd_sc_hd__mux2_2 _19894_ ( + .A0(_03978_), + .A1(_03975_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[6] ) + ); + sky130_fd_sc_hd__mux2_2 _19895_ ( + .A0(_03979_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[7] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_03980_) + ); + sky130_fd_sc_hd__mux2_2 _19896_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[5] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[7] ), + .S(_01372_), + .X(_03981_) + ); + sky130_fd_sc_hd__mux2_2 _19897_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[5] ), + .A1(_03981_), + .S(_05061_), + .X(_03982_) + ); + sky130_fd_sc_hd__mux2_2 _19898_ ( + .A0(_03982_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[7] ), + .S(_05093_), + .X(_03983_) + ); + sky130_fd_sc_hd__mux2_2 _19899_ ( + .A0(_03983_), + .A1(_03980_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[7] ) + ); + sky130_fd_sc_hd__mux2_2 _19900_ ( + .A0(_03984_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[8] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_03985_) + ); + sky130_fd_sc_hd__mux2_2 _19901_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[6] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[8] ), + .S(_01372_), + .X(_03986_) + ); + sky130_fd_sc_hd__mux2_2 _19902_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[6] ), + .A1(_03986_), + .S(_05061_), + .X(_03987_) + ); + sky130_fd_sc_hd__mux2_2 _19903_ ( + .A0(_03987_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[8] ), + .S(_05093_), + .X(_03988_) + ); + sky130_fd_sc_hd__mux2_2 _19904_ ( + .A0(_03988_), + .A1(_03985_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[8] ) + ); + sky130_fd_sc_hd__mux2_2 _19905_ ( + .A0(_03989_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[9] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_03990_) + ); + sky130_fd_sc_hd__mux2_2 _19906_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[7] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[9] ), + .S(_01372_), + .X(_03991_) + ); + sky130_fd_sc_hd__mux2_2 _19907_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[7] ), + .A1(_03991_), + .S(_05061_), + .X(_03992_) + ); + sky130_fd_sc_hd__mux2_2 _19908_ ( + .A0(_03992_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[9] ), + .S(_05093_), + .X(_03993_) + ); + sky130_fd_sc_hd__mux2_2 _19909_ ( + .A0(_03993_), + .A1(_03990_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[9] ) + ); + sky130_fd_sc_hd__mux2_2 _19910_ ( + .A0(_03994_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[10] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_03995_) + ); + sky130_fd_sc_hd__mux2_2 _19911_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[8] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[10] ), + .S(_01372_), + .X(_03996_) + ); + sky130_fd_sc_hd__mux2_2 _19912_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[8] ), + .A1(_03996_), + .S(_05061_), + .X(_03997_) + ); + sky130_fd_sc_hd__mux2_2 _19913_ ( + .A0(_03997_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[10] ), + .S(_05093_), + .X(_03998_) + ); + sky130_fd_sc_hd__mux2_2 _19914_ ( + .A0(_03998_), + .A1(_03995_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[10] ) + ); + sky130_fd_sc_hd__mux2_2 _19915_ ( + .A0(_03999_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[11] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04000_) + ); + sky130_fd_sc_hd__mux2_2 _19916_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[9] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[11] ), + .S(_01372_), + .X(_04001_) + ); + sky130_fd_sc_hd__mux2_2 _19917_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[9] ), + .A1(_04001_), + .S(_05061_), + .X(_04002_) + ); + sky130_fd_sc_hd__mux2_2 _19918_ ( + .A0(_04002_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[11] ), + .S(_05093_), + .X(_04003_) + ); + sky130_fd_sc_hd__mux2_2 _19919_ ( + .A0(_04003_), + .A1(_04000_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[11] ) + ); + sky130_fd_sc_hd__mux2_2 _19920_ ( + .A0(_04004_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[12] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04005_) + ); + sky130_fd_sc_hd__mux2_2 _19921_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[10] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[12] ), + .S(_01372_), + .X(_04006_) + ); + sky130_fd_sc_hd__mux2_2 _19922_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[10] ), + .A1(_04006_), + .S(_05061_), + .X(_04007_) + ); + sky130_fd_sc_hd__mux2_2 _19923_ ( + .A0(_04007_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[12] ), + .S(_05093_), + .X(_04008_) + ); + sky130_fd_sc_hd__mux2_2 _19924_ ( + .A0(_04008_), + .A1(_04005_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[12] ) + ); + sky130_fd_sc_hd__mux2_2 _19925_ ( + .A0(_04009_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[13] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04010_) + ); + sky130_fd_sc_hd__mux2_2 _19926_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[11] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[13] ), + .S(_01372_), + .X(_04011_) + ); + sky130_fd_sc_hd__mux2_2 _19927_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[11] ), + .A1(_04011_), + .S(_05061_), + .X(_04012_) + ); + sky130_fd_sc_hd__mux2_2 _19928_ ( + .A0(_04012_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[13] ), + .S(_05093_), + .X(_04013_) + ); + sky130_fd_sc_hd__mux2_2 _19929_ ( + .A0(_04013_), + .A1(_04010_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[13] ) + ); + sky130_fd_sc_hd__mux2_2 _19930_ ( + .A0(_04014_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[14] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04015_) + ); + sky130_fd_sc_hd__mux2_2 _19931_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[12] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[14] ), + .S(_01372_), + .X(_04016_) + ); + sky130_fd_sc_hd__mux2_2 _19932_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[12] ), + .A1(_04016_), + .S(_05061_), + .X(_04017_) + ); + sky130_fd_sc_hd__mux2_2 _19933_ ( + .A0(_04017_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[14] ), + .S(_05093_), + .X(_04018_) + ); + sky130_fd_sc_hd__mux2_2 _19934_ ( + .A0(_04018_), + .A1(_04015_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[14] ) + ); + sky130_fd_sc_hd__mux2_2 _19935_ ( + .A0(_04019_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[15] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04020_) + ); + sky130_fd_sc_hd__mux2_2 _19936_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[13] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[15] ), + .S(_01372_), + .X(_04021_) + ); + sky130_fd_sc_hd__mux2_2 _19937_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[13] ), + .A1(_04021_), + .S(_05061_), + .X(_04022_) + ); + sky130_fd_sc_hd__mux2_2 _19938_ ( + .A0(_04022_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[15] ), + .S(_05093_), + .X(_04023_) + ); + sky130_fd_sc_hd__mux2_2 _19939_ ( + .A0(_04023_), + .A1(_04020_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[15] ) + ); + sky130_fd_sc_hd__mux2_2 _19940_ ( + .A0(_04024_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[16] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04025_) + ); + sky130_fd_sc_hd__mux2_2 _19941_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[14] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[16] ), + .S(_01372_), + .X(_04026_) + ); + sky130_fd_sc_hd__mux2_2 _19942_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[14] ), + .A1(_04026_), + .S(_05061_), + .X(_04027_) + ); + sky130_fd_sc_hd__mux2_2 _19943_ ( + .A0(_04027_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[16] ), + .S(_05093_), + .X(_04028_) + ); + sky130_fd_sc_hd__mux2_2 _19944_ ( + .A0(_04028_), + .A1(_04025_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[16] ) + ); + sky130_fd_sc_hd__mux2_2 _19945_ ( + .A0(_04029_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[17] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04030_) + ); + sky130_fd_sc_hd__mux2_2 _19946_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[15] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[17] ), + .S(_01372_), + .X(_04031_) + ); + sky130_fd_sc_hd__mux2_2 _19947_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[15] ), + .A1(_04031_), + .S(_05061_), + .X(_04032_) + ); + sky130_fd_sc_hd__mux2_2 _19948_ ( + .A0(_04032_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[17] ), + .S(_05093_), + .X(_04033_) + ); + sky130_fd_sc_hd__mux2_2 _19949_ ( + .A0(_04033_), + .A1(_04030_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[17] ) + ); + sky130_fd_sc_hd__mux2_2 _19950_ ( + .A0(_04034_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[18] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04035_) + ); + sky130_fd_sc_hd__mux2_2 _19951_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[16] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[18] ), + .S(_01372_), + .X(_04036_) + ); + sky130_fd_sc_hd__mux2_2 _19952_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[16] ), + .A1(_04036_), + .S(_05061_), + .X(_04037_) + ); + sky130_fd_sc_hd__mux2_2 _19953_ ( + .A0(_04037_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[18] ), + .S(_05093_), + .X(_04038_) + ); + sky130_fd_sc_hd__mux2_2 _19954_ ( + .A0(_04038_), + .A1(_04035_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[18] ) + ); + sky130_fd_sc_hd__mux2_2 _19955_ ( + .A0(_04039_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[19] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04040_) + ); + sky130_fd_sc_hd__mux2_2 _19956_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[17] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[19] ), + .S(_01372_), + .X(_04041_) + ); + sky130_fd_sc_hd__mux2_2 _19957_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[17] ), + .A1(_04041_), + .S(_05061_), + .X(_04042_) + ); + sky130_fd_sc_hd__mux2_2 _19958_ ( + .A0(_04042_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[19] ), + .S(_05093_), + .X(_04043_) + ); + sky130_fd_sc_hd__mux2_2 _19959_ ( + .A0(_04043_), + .A1(_04040_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[19] ) + ); + sky130_fd_sc_hd__mux2_2 _19960_ ( + .A0(_04044_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[20] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04045_) + ); + sky130_fd_sc_hd__mux2_2 _19961_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[18] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[20] ), + .S(_01372_), + .X(_04046_) + ); + sky130_fd_sc_hd__mux2_2 _19962_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[18] ), + .A1(_04046_), + .S(_05061_), + .X(_04047_) + ); + sky130_fd_sc_hd__mux2_2 _19963_ ( + .A0(_04047_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[20] ), + .S(_05093_), + .X(_04048_) + ); + sky130_fd_sc_hd__mux2_2 _19964_ ( + .A0(_04048_), + .A1(_04045_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[20] ) + ); + sky130_fd_sc_hd__mux2_2 _19965_ ( + .A0(_04049_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[21] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04050_) + ); + sky130_fd_sc_hd__mux2_2 _19966_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[19] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[21] ), + .S(_01372_), + .X(_04051_) + ); + sky130_fd_sc_hd__mux2_2 _19967_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[19] ), + .A1(_04051_), + .S(_05061_), + .X(_04052_) + ); + sky130_fd_sc_hd__mux2_2 _19968_ ( + .A0(_04052_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[21] ), + .S(_05093_), + .X(_04053_) + ); + sky130_fd_sc_hd__mux2_2 _19969_ ( + .A0(_04053_), + .A1(_04050_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[21] ) + ); + sky130_fd_sc_hd__mux2_2 _19970_ ( + .A0(_04054_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[22] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04055_) + ); + sky130_fd_sc_hd__mux2_2 _19971_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[20] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[22] ), + .S(_01372_), + .X(_04056_) + ); + sky130_fd_sc_hd__mux2_2 _19972_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[20] ), + .A1(_04056_), + .S(_05061_), + .X(_04057_) + ); + sky130_fd_sc_hd__mux2_2 _19973_ ( + .A0(_04057_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[22] ), + .S(_05093_), + .X(_04058_) + ); + sky130_fd_sc_hd__mux2_2 _19974_ ( + .A0(_04058_), + .A1(_04055_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[22] ) + ); + sky130_fd_sc_hd__mux2_2 _19975_ ( + .A0(_04059_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[23] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04060_) + ); + sky130_fd_sc_hd__mux2_2 _19976_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[21] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[23] ), + .S(_01372_), + .X(_04061_) + ); + sky130_fd_sc_hd__mux2_2 _19977_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[21] ), + .A1(_04061_), + .S(_05061_), + .X(_04062_) + ); + sky130_fd_sc_hd__mux2_2 _19978_ ( + .A0(_04062_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[23] ), + .S(_05093_), + .X(_04063_) + ); + sky130_fd_sc_hd__mux2_2 _19979_ ( + .A0(_04063_), + .A1(_04060_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[23] ) + ); + sky130_fd_sc_hd__mux2_2 _19980_ ( + .A0(_04064_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[24] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04065_) + ); + sky130_fd_sc_hd__mux2_2 _19981_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[22] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[24] ), + .S(_01372_), + .X(_04066_) + ); + sky130_fd_sc_hd__mux2_2 _19982_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[22] ), + .A1(_04066_), + .S(_05061_), + .X(_04067_) + ); + sky130_fd_sc_hd__mux2_2 _19983_ ( + .A0(_04067_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[24] ), + .S(_05093_), + .X(_04068_) + ); + sky130_fd_sc_hd__mux2_2 _19984_ ( + .A0(_04068_), + .A1(_04065_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[24] ) + ); + sky130_fd_sc_hd__mux2_2 _19985_ ( + .A0(_04069_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[25] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04070_) + ); + sky130_fd_sc_hd__mux2_2 _19986_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[23] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[25] ), + .S(_01372_), + .X(_04071_) + ); + sky130_fd_sc_hd__mux2_2 _19987_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[23] ), + .A1(_04071_), + .S(_05061_), + .X(_04072_) + ); + sky130_fd_sc_hd__mux2_2 _19988_ ( + .A0(_04072_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[25] ), + .S(_05093_), + .X(_04073_) + ); + sky130_fd_sc_hd__mux2_2 _19989_ ( + .A0(_04073_), + .A1(_04070_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[25] ) + ); + sky130_fd_sc_hd__mux2_2 _19990_ ( + .A0(_04074_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[26] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04075_) + ); + sky130_fd_sc_hd__mux2_2 _19991_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[24] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[26] ), + .S(_01372_), + .X(_04076_) + ); + sky130_fd_sc_hd__mux2_2 _19992_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[24] ), + .A1(_04076_), + .S(_05061_), + .X(_04077_) + ); + sky130_fd_sc_hd__mux2_2 _19993_ ( + .A0(_04077_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[26] ), + .S(_05093_), + .X(_04078_) + ); + sky130_fd_sc_hd__mux2_2 _19994_ ( + .A0(_04078_), + .A1(_04075_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[26] ) + ); + sky130_fd_sc_hd__mux2_2 _19995_ ( + .A0(_04079_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04080_) + ); + sky130_fd_sc_hd__mux2_2 _19996_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[25] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[27] ), + .S(_01372_), + .X(_04081_) + ); + sky130_fd_sc_hd__mux2_2 _19997_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[25] ), + .A1(_04081_), + .S(_05061_), + .X(_04082_) + ); + sky130_fd_sc_hd__mux2_2 _19998_ ( + .A0(_04082_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[27] ), + .S(_05093_), + .X(_04083_) + ); + sky130_fd_sc_hd__mux2_2 _19999_ ( + .A0(_04083_), + .A1(_04080_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[27] ) + ); + sky130_fd_sc_hd__mux2_2 _20000_ ( + .A0(_04084_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04085_) + ); + sky130_fd_sc_hd__mux2_2 _20001_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[26] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[28] ), + .S(_01372_), + .X(_04086_) + ); + sky130_fd_sc_hd__mux2_2 _20002_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[26] ), + .A1(_04086_), + .S(_05061_), + .X(_04087_) + ); + sky130_fd_sc_hd__mux2_2 _20003_ ( + .A0(_04087_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[28] ), + .S(_05093_), + .X(_04088_) + ); + sky130_fd_sc_hd__mux2_2 _20004_ ( + .A0(_04088_), + .A1(_04085_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[28] ) + ); + sky130_fd_sc_hd__mux2_2 _20005_ ( + .A0(_04089_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[29] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04090_) + ); + sky130_fd_sc_hd__mux2_2 _20006_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[27] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[29] ), + .S(_01372_), + .X(_04091_) + ); + sky130_fd_sc_hd__mux2_2 _20007_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[27] ), + .A1(_04091_), + .S(_05061_), + .X(_04092_) + ); + sky130_fd_sc_hd__mux2_2 _20008_ ( + .A0(_04092_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[29] ), + .S(_05093_), + .X(_04093_) + ); + sky130_fd_sc_hd__mux2_2 _20009_ ( + .A0(_04093_), + .A1(_04090_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[29] ) + ); + sky130_fd_sc_hd__mux2_2 _20010_ ( + .A0(_04094_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[30] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04095_) + ); + sky130_fd_sc_hd__mux2_2 _20011_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[28] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[30] ), + .S(_01372_), + .X(_04096_) + ); + sky130_fd_sc_hd__mux2_2 _20012_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[28] ), + .A1(_04096_), + .S(_05061_), + .X(_04097_) + ); + sky130_fd_sc_hd__mux2_2 _20013_ ( + .A0(_04097_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[30] ), + .S(_05093_), + .X(_04098_) + ); + sky130_fd_sc_hd__mux2_2 _20014_ ( + .A0(_04098_), + .A1(_04095_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[30] ) + ); + sky130_fd_sc_hd__mux2_2 _20015_ ( + .A0(_04099_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[31] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .X(_04100_) + ); + sky130_fd_sc_hd__mux2_2 _20016_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[29] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[31] ), + .S(_01372_), + .X(_04101_) + ); + sky130_fd_sc_hd__mux2_2 _20017_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtvec_base[29] ), + .A1(_04101_), + .S(_05061_), + .X(_04102_) + ); + sky130_fd_sc_hd__mux2_2 _20018_ ( + .A0(_04102_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[31] ), + .S(_05093_), + .X(_04103_) + ); + sky130_fd_sc_hd__mux2_2 _20019_ ( + .A0(_04103_), + .A1(_04100_), + .S(_05100_), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[31] ) + ); + sky130_fd_sc_hd__mux2_2 _20020_ ( + .A0(_04107_), + .A1(_04105_), + .S(_03962_), + .X(_04108_) + ); + sky130_fd_sc_hd__mux2_2 _20021_ ( + .A0(_04108_), + .A1(_04104_), + .S(_03960_), + .X(_04109_) + ); + sky130_fd_sc_hd__mux2_2 _20022_ ( + .A0(_04113_), + .A1(_04111_), + .S(_03962_), + .X(_04114_) + ); + sky130_fd_sc_hd__mux2_2 _20023_ ( + .A0(_04114_), + .A1(_04110_), + .S(_03960_), + .X(_04115_) + ); + sky130_fd_sc_hd__mux2_2 _20024_ ( + .A0(_04119_), + .A1(_04117_), + .S(_03962_), + .X(_04120_) + ); + sky130_fd_sc_hd__mux2_2 _20025_ ( + .A0(_04120_), + .A1(_04116_), + .S(_03960_), + .X(_04121_) + ); + sky130_fd_sc_hd__mux2_2 _20026_ ( + .A0(_04125_), + .A1(_04123_), + .S(_03962_), + .X(_04126_) + ); + sky130_fd_sc_hd__mux2_2 _20027_ ( + .A0(_04126_), + .A1(_04122_), + .S(_03960_), + .X(_04127_) + ); + sky130_fd_sc_hd__mux2_2 _20028_ ( + .A0(_04131_), + .A1(_04129_), + .S(_03962_), + .X(_04132_) + ); + sky130_fd_sc_hd__mux2_2 _20029_ ( + .A0(_04132_), + .A1(_04128_), + .S(_03960_), + .X(_04133_) + ); + sky130_fd_sc_hd__mux2_2 _20030_ ( + .A0(_04137_), + .A1(_04135_), + .S(_03962_), + .X(_04138_) + ); + sky130_fd_sc_hd__mux2_2 _20031_ ( + .A0(_04138_), + .A1(_04134_), + .S(_03960_), + .X(_04139_) + ); + sky130_fd_sc_hd__mux2_2 _20032_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_03964_), + .X(_04141_) + ); + sky130_fd_sc_hd__mux2_2 _20033_ ( + .A0(_04144_), + .A1(_04142_), + .S(_04143_), + .X(_04145_) + ); + sky130_fd_sc_hd__mux2_2 _20034_ ( + .A0(_04145_), + .A1(_04140_), + .S(_03960_), + .X(_04146_) + ); + sky130_fd_sc_hd__mux2_2 _20035_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_03964_), + .X(_04148_) + ); + sky130_fd_sc_hd__mux2_2 _20036_ ( + .A0(_04150_), + .A1(_04149_), + .S(_04143_), + .X(_04151_) + ); + sky130_fd_sc_hd__mux2_2 _20037_ ( + .A0(_04151_), + .A1(_04147_), + .S(_03960_), + .X(_04152_) + ); + sky130_fd_sc_hd__mux2_2 _20038_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_03964_), + .X(_04154_) + ); + sky130_fd_sc_hd__mux2_2 _20039_ ( + .A0(_04156_), + .A1(_04155_), + .S(_04143_), + .X(_04157_) + ); + sky130_fd_sc_hd__mux2_2 _20040_ ( + .A0(_04157_), + .A1(_04153_), + .S(_03960_), + .X(_04158_) + ); + sky130_fd_sc_hd__mux2_2 _20041_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_03964_), + .X(_04160_) + ); + sky130_fd_sc_hd__mux2_2 _20042_ ( + .A0(_04162_), + .A1(_04161_), + .S(_04143_), + .X(_04163_) + ); + sky130_fd_sc_hd__mux2_2 _20043_ ( + .A0(_04163_), + .A1(_04159_), + .S(_03960_), + .X(_04164_) + ); + sky130_fd_sc_hd__mux2_2 _20044_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_03964_), + .X(_04166_) + ); + sky130_fd_sc_hd__mux2_2 _20045_ ( + .A0(_04168_), + .A1(_04167_), + .S(_04143_), + .X(_04169_) + ); + sky130_fd_sc_hd__mux2_2 _20046_ ( + .A0(_04169_), + .A1(_04165_), + .S(_03960_), + .X(_04170_) + ); + sky130_fd_sc_hd__mux2_2 _20047_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_03964_), + .X(_04172_) + ); + sky130_fd_sc_hd__mux2_2 _20048_ ( + .A0(_04174_), + .A1(_04173_), + .S(_04143_), + .X(_04175_) + ); + sky130_fd_sc_hd__mux2_2 _20049_ ( + .A0(_04175_), + .A1(_04171_), + .S(_03960_), + .X(_04176_) + ); + sky130_fd_sc_hd__mux2_2 _20050_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_03964_), + .X(_04178_) + ); + sky130_fd_sc_hd__mux2_2 _20051_ ( + .A0(_04180_), + .A1(_04179_), + .S(_04143_), + .X(_04181_) + ); + sky130_fd_sc_hd__mux2_2 _20052_ ( + .A0(_04181_), + .A1(_04177_), + .S(_03960_), + .X(_04182_) + ); + sky130_fd_sc_hd__mux2_2 _20053_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_03964_), + .X(_04184_) + ); + sky130_fd_sc_hd__mux2_2 _20054_ ( + .A0(_04186_), + .A1(_04185_), + .S(_04143_), + .X(_04187_) + ); + sky130_fd_sc_hd__mux2_2 _20055_ ( + .A0(_04187_), + .A1(_04183_), + .S(_03960_), + .X(_04188_) + ); + sky130_fd_sc_hd__mux2_2 _20056_ ( + .A0(_04190_), + .A1(_03963_), + .S(_04143_), + .X(_04191_) + ); + sky130_fd_sc_hd__mux2_2 _20057_ ( + .A0(_04191_), + .A1(_04189_), + .S(_03960_), + .X(_04192_) + ); + sky130_fd_sc_hd__mux2_2 _20058_ ( + .A0(_04190_), + .A1(_03970_), + .S(_04143_), + .X(_04194_) + ); + sky130_fd_sc_hd__mux2_2 _20059_ ( + .A0(_04194_), + .A1(_04193_), + .S(_03960_), + .X(_04195_) + ); + sky130_fd_sc_hd__mux2_2 _20060_ ( + .A0(_04190_), + .A1(_04106_), + .S(_04143_), + .X(_04197_) + ); + sky130_fd_sc_hd__mux2_2 _20061_ ( + .A0(_04197_), + .A1(_04196_), + .S(_03960_), + .X(_04198_) + ); + sky130_fd_sc_hd__mux2_2 _20062_ ( + .A0(_04190_), + .A1(_04112_), + .S(_04143_), + .X(_04200_) + ); + sky130_fd_sc_hd__mux2_2 _20063_ ( + .A0(_04200_), + .A1(_04199_), + .S(_03960_), + .X(_04201_) + ); + sky130_fd_sc_hd__mux2_2 _20064_ ( + .A0(_04190_), + .A1(_04118_), + .S(_04143_), + .X(_04203_) + ); + sky130_fd_sc_hd__mux2_2 _20065_ ( + .A0(_04203_), + .A1(_04202_), + .S(_03960_), + .X(_04204_) + ); + sky130_fd_sc_hd__mux2_2 _20066_ ( + .A0(_04190_), + .A1(_04124_), + .S(_04143_), + .X(_04206_) + ); + sky130_fd_sc_hd__mux2_2 _20067_ ( + .A0(_04206_), + .A1(_04205_), + .S(_03960_), + .X(_04207_) + ); + sky130_fd_sc_hd__mux2_2 _20068_ ( + .A0(_04190_), + .A1(_04130_), + .S(_04143_), + .X(_04209_) + ); + sky130_fd_sc_hd__mux2_2 _20069_ ( + .A0(_04209_), + .A1(_04208_), + .S(_03960_), + .X(_04210_) + ); + sky130_fd_sc_hd__mux2_2 _20070_ ( + .A0(_04190_), + .A1(_04136_), + .S(_04143_), + .X(_04212_) + ); + sky130_fd_sc_hd__mux2_2 _20071_ ( + .A0(_04212_), + .A1(_04211_), + .S(_03960_), + .X(_04213_) + ); + sky130_fd_sc_hd__mux2_2 _20072_ ( + .A0(_04214_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_04143_), + .X(_04215_) + ); + sky130_fd_sc_hd__mux2_2 _20073_ ( + .A0(_04215_), + .A1(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[24] ), + .S(_03960_), + .X(_04216_) + ); + sky130_fd_sc_hd__mux2_2 _20074_ ( + .A0(_04214_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_04143_), + .X(_04217_) + ); + sky130_fd_sc_hd__mux2_2 _20075_ ( + .A0(_04217_), + .A1(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[25] ), + .S(_03960_), + .X(_04218_) + ); + sky130_fd_sc_hd__mux2_2 _20076_ ( + .A0(_04214_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_04143_), + .X(_04219_) + ); + sky130_fd_sc_hd__mux2_2 _20077_ ( + .A0(_04219_), + .A1(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[26] ), + .S(_03960_), + .X(_04220_) + ); + sky130_fd_sc_hd__mux2_2 _20078_ ( + .A0(_04214_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_04143_), + .X(_04221_) + ); + sky130_fd_sc_hd__mux2_2 _20079_ ( + .A0(_04221_), + .A1(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[27] ), + .S(_03960_), + .X(_04222_) + ); + sky130_fd_sc_hd__mux2_2 _20080_ ( + .A0(_04214_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_04143_), + .X(_04223_) + ); + sky130_fd_sc_hd__mux2_2 _20081_ ( + .A0(_04223_), + .A1(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[28] ), + .S(_03960_), + .X(_04224_) + ); + sky130_fd_sc_hd__mux2_2 _20082_ ( + .A0(_04214_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_04143_), + .X(_04225_) + ); + sky130_fd_sc_hd__mux2_2 _20083_ ( + .A0(_04225_), + .A1(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[29] ), + .S(_03960_), + .X(_04226_) + ); + sky130_fd_sc_hd__mux2_2 _20084_ ( + .A0(_04214_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_04143_), + .X(_04227_) + ); + sky130_fd_sc_hd__mux2_2 _20085_ ( + .A0(_04227_), + .A1(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[30] ), + .S(_03960_), + .X(_04228_) + ); + sky130_fd_sc_hd__mux2_2 _20086_ ( + .A0(_04214_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_04143_), + .X(_04229_) + ); + sky130_fd_sc_hd__mux2_2 _20087_ ( + .A0(_04229_), + .A1(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[31] ), + .S(_03960_), + .X(_04230_) + ); + sky130_fd_sc_hd__mux2_2 _20088_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_interrupt_code[3] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[0] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(\soc.core.VexRiscv.CsrPlugin_trapCause[3] ) + ); + sky130_fd_sc_hd__mux2_2 _20089_ ( + .A0(_03592_), + .A1(_03649_), + .S(_05065_), + .X(_04231_) + ); + sky130_fd_sc_hd__mux2_2 _20090_ ( + .A0(_05066_), + .A1(_04231_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[0] ) + ); + sky130_fd_sc_hd__mux2_2 _20091_ ( + .A0(_03592_), + .A1(_03659_), + .S(_05070_), + .X(_04232_) + ); + sky130_fd_sc_hd__mux2_2 _20092_ ( + .A0(_05071_), + .A1(_04232_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[1] ) + ); + sky130_fd_sc_hd__mux2_2 _20093_ ( + .A0(_03670_), + .A1(_03592_), + .S(_03633_), + .X(_04233_) + ); + sky130_fd_sc_hd__mux2_2 _20094_ ( + .A0(_03633_), + .A1(_04233_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[2] ) + ); + sky130_fd_sc_hd__mux2_2 _20095_ ( + .A0(_03592_), + .A1(_03680_), + .S(_03631_), + .X(_04234_) + ); + sky130_fd_sc_hd__mux2_2 _20096_ ( + .A0(_03666_), + .A1(_04234_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[3] ) + ); + sky130_fd_sc_hd__mux2_2 _20097_ ( + .A0(_03592_), + .A1(_03690_), + .S(_03627_), + .X(_04235_) + ); + sky130_fd_sc_hd__mux2_2 _20098_ ( + .A0(_03628_), + .A1(_04235_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[4] ) + ); + sky130_fd_sc_hd__mux2_2 _20099_ ( + .A0(_03700_), + .A1(_03592_), + .S(_03621_), + .X(_04236_) + ); + sky130_fd_sc_hd__mux2_2 _20100_ ( + .A0(_03621_), + .A1(_04236_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[5] ) + ); + sky130_fd_sc_hd__mux2_2 _20101_ ( + .A0(_03710_), + .A1(_03592_), + .S(_03617_), + .X(_04237_) + ); + sky130_fd_sc_hd__mux2_2 _20102_ ( + .A0(_03617_), + .A1(_04237_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[6] ) + ); + sky130_fd_sc_hd__mux2_2 _20103_ ( + .A0(_03720_), + .A1(_03592_), + .S(_03613_), + .X(_04238_) + ); + sky130_fd_sc_hd__mux2_2 _20104_ ( + .A0(_03613_), + .A1(_04238_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[7] ) + ); + sky130_fd_sc_hd__mux2_2 _20105_ ( + .A0(_03730_), + .A1(_03592_), + .S(_03609_), + .X(_04239_) + ); + sky130_fd_sc_hd__mux2_2 _20106_ ( + .A0(_03609_), + .A1(_04239_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[8] ) + ); + sky130_fd_sc_hd__mux2_2 _20107_ ( + .A0(_03740_), + .A1(_03592_), + .S(_03605_), + .X(_04240_) + ); + sky130_fd_sc_hd__mux2_2 _20108_ ( + .A0(_03605_), + .A1(_04240_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[9] ) + ); + sky130_fd_sc_hd__mux2_2 _20109_ ( + .A0(_03750_), + .A1(_03592_), + .S(_03601_), + .X(_04241_) + ); + sky130_fd_sc_hd__mux2_2 _20110_ ( + .A0(_03601_), + .A1(_04241_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[10] ) + ); + sky130_fd_sc_hd__mux2_2 _20111_ ( + .A0(_03760_), + .A1(_03592_), + .S(_03597_), + .X(_04242_) + ); + sky130_fd_sc_hd__mux2_2 _20112_ ( + .A0(_03597_), + .A1(_04242_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[11] ) + ); + sky130_fd_sc_hd__mux2_2 _20113_ ( + .A0(_03770_), + .A1(_03592_), + .S(_03593_), + .X(_04243_) + ); + sky130_fd_sc_hd__mux2_2 _20114_ ( + .A0(_03593_), + .A1(_04243_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[12] ) + ); + sky130_fd_sc_hd__mux2_2 _20115_ ( + .A0(_03780_), + .A1(_03592_), + .S(_03588_), + .X(_04244_) + ); + sky130_fd_sc_hd__mux2_2 _20116_ ( + .A0(_03588_), + .A1(_04244_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[13] ) + ); + sky130_fd_sc_hd__mux2_2 _20117_ ( + .A0(_03790_), + .A1(_03592_), + .S(_03584_), + .X(_04245_) + ); + sky130_fd_sc_hd__mux2_2 _20118_ ( + .A0(_03584_), + .A1(_04245_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[14] ) + ); + sky130_fd_sc_hd__mux2_2 _20119_ ( + .A0(_03800_), + .A1(_03592_), + .S(_03580_), + .X(_04246_) + ); + sky130_fd_sc_hd__mux2_2 _20120_ ( + .A0(_03580_), + .A1(_04246_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[15] ) + ); + sky130_fd_sc_hd__mux2_2 _20121_ ( + .A0(_03810_), + .A1(_03592_), + .S(_03576_), + .X(_04247_) + ); + sky130_fd_sc_hd__mux2_2 _20122_ ( + .A0(_03576_), + .A1(_04247_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[16] ) + ); + sky130_fd_sc_hd__mux2_2 _20123_ ( + .A0(_03820_), + .A1(_03592_), + .S(_03572_), + .X(_04248_) + ); + sky130_fd_sc_hd__mux2_2 _20124_ ( + .A0(_03572_), + .A1(_04248_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[17] ) + ); + sky130_fd_sc_hd__mux2_2 _20125_ ( + .A0(_03830_), + .A1(_03592_), + .S(_03568_), + .X(_04249_) + ); + sky130_fd_sc_hd__mux2_2 _20126_ ( + .A0(_03568_), + .A1(_04249_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[18] ) + ); + sky130_fd_sc_hd__mux2_2 _20127_ ( + .A0(_03840_), + .A1(_03592_), + .S(_03563_), + .X(_04250_) + ); + sky130_fd_sc_hd__mux2_2 _20128_ ( + .A0(_03563_), + .A1(_04250_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[19] ) + ); + sky130_fd_sc_hd__mux2_2 _20129_ ( + .A0(_03850_), + .A1(_03592_), + .S(_03558_), + .X(_04251_) + ); + sky130_fd_sc_hd__mux2_2 _20130_ ( + .A0(_03558_), + .A1(_04251_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[20] ) + ); + sky130_fd_sc_hd__mux2_2 _20131_ ( + .A0(_03860_), + .A1(_03592_), + .S(_03554_), + .X(_04252_) + ); + sky130_fd_sc_hd__mux2_2 _20132_ ( + .A0(_03554_), + .A1(_04252_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[21] ) + ); + sky130_fd_sc_hd__mux2_2 _20133_ ( + .A0(_03870_), + .A1(_03592_), + .S(_03550_), + .X(_04253_) + ); + sky130_fd_sc_hd__mux2_2 _20134_ ( + .A0(_03550_), + .A1(_04253_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[22] ) + ); + sky130_fd_sc_hd__mux2_2 _20135_ ( + .A0(_03880_), + .A1(_03592_), + .S(_03546_), + .X(_04254_) + ); + sky130_fd_sc_hd__mux2_2 _20136_ ( + .A0(_03546_), + .A1(_04254_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[23] ) + ); + sky130_fd_sc_hd__mux2_2 _20137_ ( + .A0(_03890_), + .A1(_03592_), + .S(_03542_), + .X(_04255_) + ); + sky130_fd_sc_hd__mux2_2 _20138_ ( + .A0(_03542_), + .A1(_04255_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[24] ) + ); + sky130_fd_sc_hd__mux2_2 _20139_ ( + .A0(_03900_), + .A1(_03592_), + .S(_03538_), + .X(_04256_) + ); + sky130_fd_sc_hd__mux2_2 _20140_ ( + .A0(_03538_), + .A1(_04256_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[25] ) + ); + sky130_fd_sc_hd__mux2_2 _20141_ ( + .A0(_03910_), + .A1(_03592_), + .S(_03534_), + .X(_04257_) + ); + sky130_fd_sc_hd__mux2_2 _20142_ ( + .A0(_03534_), + .A1(_04257_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[26] ) + ); + sky130_fd_sc_hd__mux2_2 _20143_ ( + .A0(_03920_), + .A1(_03592_), + .S(_03530_), + .X(_04258_) + ); + sky130_fd_sc_hd__mux2_2 _20144_ ( + .A0(_03530_), + .A1(_04258_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[27] ) + ); + sky130_fd_sc_hd__mux2_2 _20145_ ( + .A0(_03930_), + .A1(_03592_), + .S(_03526_), + .X(_04259_) + ); + sky130_fd_sc_hd__mux2_2 _20146_ ( + .A0(_03526_), + .A1(_04259_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[28] ) + ); + sky130_fd_sc_hd__mux2_2 _20147_ ( + .A0(_03940_), + .A1(_03592_), + .S(_03522_), + .X(_04260_) + ); + sky130_fd_sc_hd__mux2_2 _20148_ ( + .A0(_03522_), + .A1(_04260_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[29] ) + ); + sky130_fd_sc_hd__mux2_2 _20149_ ( + .A0(_03950_), + .A1(_03592_), + .S(_03518_), + .X(_04261_) + ); + sky130_fd_sc_hd__mux2_2 _20150_ ( + .A0(_03518_), + .A1(_04261_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[30] ) + ); + sky130_fd_sc_hd__mux2_2 _20151_ ( + .A0(_03958_), + .A1(_03592_), + .S(_03513_), + .X(_04262_) + ); + sky130_fd_sc_hd__mux2_2 _20152_ ( + .A0(_03513_), + .A1(_04262_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[31] ) + ); + sky130_fd_sc_hd__mux2_2 _20153_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_haltedByBreak ), + .A1(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[3] ), + .S(\soc.core.VexRiscv._zz_when_DebugPlugin_l244 ), + .X(\soc.core.VexRiscv.debug_bus_rsp_data[3] ) + ); + sky130_fd_sc_hd__mux2_2 _20154_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_resetIt ), + .A1(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[0] ), + .S(\soc.core.VexRiscv._zz_when_DebugPlugin_l244 ), + .X(\soc.core.VexRiscv.debug_bus_rsp_data[0] ) + ); + sky130_fd_sc_hd__mux2_2 _20155_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .A1(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[1] ), + .S(\soc.core.VexRiscv._zz_when_DebugPlugin_l244 ), + .X(\soc.core.VexRiscv.debug_bus_rsp_data[1] ) + ); + sky130_fd_sc_hd__mux2_2 _20156_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_isPipBusy ), + .A1(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[2] ), + .S(\soc.core.VexRiscv._zz_when_DebugPlugin_l244 ), + .X(\soc.core.VexRiscv.debug_bus_rsp_data[2] ) + ); + sky130_fd_sc_hd__mux2_2 _20157_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_stepIt ), + .A1(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[4] ), + .S(\soc.core.VexRiscv._zz_when_DebugPlugin_l244 ), + .X(\soc.core.VexRiscv.debug_bus_rsp_data[4] ) + ); + sky130_fd_sc_hd__mux2_2 _20158_ ( + .A0(_04263_), + .A1(\soc.core.VexRiscv.CsrPlugin_mstatus_MPIE ), + .S(_01372_), + .X(_04264_) + ); + sky130_fd_sc_hd__mux2_2 _20159_ ( + .A0(_04263_), + .A1(_04264_), + .S(_05061_), + .X(_04265_) + ); + sky130_fd_sc_hd__mux2_2 _20160_ ( + .A0(_04265_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[3] ), + .S(_05101_), + .X(_04266_) + ); + sky130_fd_sc_hd__mux2_2 _20161_ ( + .A0(_04265_), + .A1(_04266_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .X(_00356_) + ); + sky130_fd_sc_hd__mux2_2 _20162_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mstatus_MIE ), + .A1(\soc.core.VexRiscv.CsrPlugin_mstatus_MPIE ), + .S(_05092_), + .X(_04267_) + ); + sky130_fd_sc_hd__mux2_2 _20163_ ( + .A0(_04267_), + .A1(_04268_), + .S(_05061_), + .X(_04269_) + ); + sky130_fd_sc_hd__mux2_2 _20164_ ( + .A0(_04269_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[7] ), + .S(_05101_), + .X(_04270_) + ); + sky130_fd_sc_hd__mux2_2 _20165_ ( + .A0(_04269_), + .A1(_04270_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .X(_00355_) + ); + sky130_fd_sc_hd__mux2_2 _20166_ ( + .A0(_04271_), + .A1(_04272_), + .S(_05061_), + .X(_04273_) + ); + sky130_fd_sc_hd__mux2_2 _20167_ ( + .A0(_04273_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[11] ), + .S(_05101_), + .X(_04274_) + ); + sky130_fd_sc_hd__mux2_2 _20168_ ( + .A0(_04273_), + .A1(_04274_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .X(_00353_) + ); + sky130_fd_sc_hd__mux2_2 _20169_ ( + .A0(_04275_), + .A1(_04276_), + .S(_05061_), + .X(_04277_) + ); + sky130_fd_sc_hd__mux2_2 _20170_ ( + .A0(_04277_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[12] ), + .S(_05101_), + .X(_04278_) + ); + sky130_fd_sc_hd__mux2_2 _20171_ ( + .A0(_04277_), + .A1(_04278_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .X(_00354_) + ); + sky130_fd_sc_hd__mux2_2 _20172_ ( + .A0(_04279_), + .A1(_05094_), + .S(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .X(_00352_) + ); + sky130_fd_sc_hd__mux2_2 _20173_ ( + .A0(_04280_), + .A1(_05095_), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_00351_) + ); + sky130_fd_sc_hd__mux2_2 _20174_ ( + .A0(_04281_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[0] ), + .S(_05101_), + .X(_04282_) + ); + sky130_fd_sc_hd__mux2_2 _20175_ ( + .A0(_04281_), + .A1(_04282_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00284_) + ); + sky130_fd_sc_hd__mux2_2 _20176_ ( + .A0(_04283_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[1] ), + .S(_05101_), + .X(_04284_) + ); + sky130_fd_sc_hd__mux2_2 _20177_ ( + .A0(_04283_), + .A1(_04284_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00295_) + ); + sky130_fd_sc_hd__mux2_2 _20178_ ( + .A0(\soc.core.VexRiscv.lastStagePc[2] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[2] ), + .S(_05092_), + .X(_04285_) + ); + sky130_fd_sc_hd__mux2_2 _20179_ ( + .A0(_04285_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[2] ), + .S(_05101_), + .X(_04286_) + ); + sky130_fd_sc_hd__mux2_2 _20180_ ( + .A0(_04285_), + .A1(_04286_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00306_) + ); + sky130_fd_sc_hd__mux2_2 _20181_ ( + .A0(\soc.core.VexRiscv.lastStagePc[3] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[3] ), + .S(_05092_), + .X(_04287_) + ); + sky130_fd_sc_hd__mux2_2 _20182_ ( + .A0(_04287_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[3] ), + .S(_05101_), + .X(_04288_) + ); + sky130_fd_sc_hd__mux2_2 _20183_ ( + .A0(_04287_), + .A1(_04288_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00309_) + ); + sky130_fd_sc_hd__mux2_2 _20184_ ( + .A0(\soc.core.VexRiscv.lastStagePc[4] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[4] ), + .S(_05092_), + .X(_04289_) + ); + sky130_fd_sc_hd__mux2_2 _20185_ ( + .A0(_04289_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[4] ), + .S(_05101_), + .X(_04290_) + ); + sky130_fd_sc_hd__mux2_2 _20186_ ( + .A0(_04289_), + .A1(_04290_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00310_) + ); + sky130_fd_sc_hd__mux2_2 _20187_ ( + .A0(\soc.core.VexRiscv.lastStagePc[5] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[5] ), + .S(_05092_), + .X(_04291_) + ); + sky130_fd_sc_hd__mux2_2 _20188_ ( + .A0(_04291_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[5] ), + .S(_05101_), + .X(_04292_) + ); + sky130_fd_sc_hd__mux2_2 _20189_ ( + .A0(_04291_), + .A1(_04292_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00311_) + ); + sky130_fd_sc_hd__mux2_2 _20190_ ( + .A0(\soc.core.VexRiscv.lastStagePc[6] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[6] ), + .S(_05092_), + .X(_04293_) + ); + sky130_fd_sc_hd__mux2_2 _20191_ ( + .A0(_04293_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[6] ), + .S(_05101_), + .X(_04294_) + ); + sky130_fd_sc_hd__mux2_2 _20192_ ( + .A0(_04293_), + .A1(_04294_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00312_) + ); + sky130_fd_sc_hd__mux2_2 _20193_ ( + .A0(\soc.core.VexRiscv.lastStagePc[7] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[7] ), + .S(_05092_), + .X(_04295_) + ); + sky130_fd_sc_hd__mux2_2 _20194_ ( + .A0(_04295_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[7] ), + .S(_05101_), + .X(_04296_) + ); + sky130_fd_sc_hd__mux2_2 _20195_ ( + .A0(_04295_), + .A1(_04296_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00313_) + ); + sky130_fd_sc_hd__mux2_2 _20196_ ( + .A0(\soc.core.VexRiscv.lastStagePc[8] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[8] ), + .S(_05092_), + .X(_04297_) + ); + sky130_fd_sc_hd__mux2_2 _20197_ ( + .A0(_04297_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[8] ), + .S(_05101_), + .X(_04298_) + ); + sky130_fd_sc_hd__mux2_2 _20198_ ( + .A0(_04297_), + .A1(_04298_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00314_) + ); + sky130_fd_sc_hd__mux2_2 _20199_ ( + .A0(\soc.core.VexRiscv.lastStagePc[9] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[9] ), + .S(_05092_), + .X(_04299_) + ); + sky130_fd_sc_hd__mux2_2 _20200_ ( + .A0(_04299_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[9] ), + .S(_05101_), + .X(_04300_) + ); + sky130_fd_sc_hd__mux2_2 _20201_ ( + .A0(_04299_), + .A1(_04300_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00315_) + ); + sky130_fd_sc_hd__mux2_2 _20202_ ( + .A0(\soc.core.VexRiscv.lastStagePc[10] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[10] ), + .S(_05092_), + .X(_04301_) + ); + sky130_fd_sc_hd__mux2_2 _20203_ ( + .A0(_04301_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[10] ), + .S(_05101_), + .X(_04302_) + ); + sky130_fd_sc_hd__mux2_2 _20204_ ( + .A0(_04301_), + .A1(_04302_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00285_) + ); + sky130_fd_sc_hd__mux2_2 _20205_ ( + .A0(\soc.core.VexRiscv.lastStagePc[11] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[11] ), + .S(_05092_), + .X(_04303_) + ); + sky130_fd_sc_hd__mux2_2 _20206_ ( + .A0(_04303_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[11] ), + .S(_05101_), + .X(_04304_) + ); + sky130_fd_sc_hd__mux2_2 _20207_ ( + .A0(_04303_), + .A1(_04304_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00286_) + ); + sky130_fd_sc_hd__mux2_2 _20208_ ( + .A0(\soc.core.VexRiscv.lastStagePc[12] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[12] ), + .S(_05092_), + .X(_04305_) + ); + sky130_fd_sc_hd__mux2_2 _20209_ ( + .A0(_04305_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[12] ), + .S(_05101_), + .X(_04306_) + ); + sky130_fd_sc_hd__mux2_2 _20210_ ( + .A0(_04305_), + .A1(_04306_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00287_) + ); + sky130_fd_sc_hd__mux2_2 _20211_ ( + .A0(\soc.core.VexRiscv.lastStagePc[13] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[13] ), + .S(_05092_), + .X(_04307_) + ); + sky130_fd_sc_hd__mux2_2 _20212_ ( + .A0(_04307_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[13] ), + .S(_05101_), + .X(_04308_) + ); + sky130_fd_sc_hd__mux2_2 _20213_ ( + .A0(_04307_), + .A1(_04308_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00288_) + ); + sky130_fd_sc_hd__mux2_2 _20214_ ( + .A0(\soc.core.VexRiscv.lastStagePc[14] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[14] ), + .S(_05092_), + .X(_04309_) + ); + sky130_fd_sc_hd__mux2_2 _20215_ ( + .A0(_04309_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[14] ), + .S(_05101_), + .X(_04310_) + ); + sky130_fd_sc_hd__mux2_2 _20216_ ( + .A0(_04309_), + .A1(_04310_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00289_) + ); + sky130_fd_sc_hd__mux2_2 _20217_ ( + .A0(\soc.core.VexRiscv.lastStagePc[15] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[15] ), + .S(_05092_), + .X(_04311_) + ); + sky130_fd_sc_hd__mux2_2 _20218_ ( + .A0(_04311_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[15] ), + .S(_05101_), + .X(_04312_) + ); + sky130_fd_sc_hd__mux2_2 _20219_ ( + .A0(_04311_), + .A1(_04312_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00290_) + ); + sky130_fd_sc_hd__mux2_2 _20220_ ( + .A0(\soc.core.VexRiscv.lastStagePc[16] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[16] ), + .S(_05092_), + .X(_04313_) + ); + sky130_fd_sc_hd__mux2_2 _20221_ ( + .A0(_04313_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[16] ), + .S(_05101_), + .X(_04314_) + ); + sky130_fd_sc_hd__mux2_2 _20222_ ( + .A0(_04313_), + .A1(_04314_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00291_) + ); + sky130_fd_sc_hd__mux2_2 _20223_ ( + .A0(\soc.core.VexRiscv.lastStagePc[17] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[17] ), + .S(_05092_), + .X(_04315_) + ); + sky130_fd_sc_hd__mux2_2 _20224_ ( + .A0(_04315_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[17] ), + .S(_05101_), + .X(_04316_) + ); + sky130_fd_sc_hd__mux2_2 _20225_ ( + .A0(_04315_), + .A1(_04316_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00292_) + ); + sky130_fd_sc_hd__mux2_2 _20226_ ( + .A0(\soc.core.VexRiscv.lastStagePc[18] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[18] ), + .S(_05092_), + .X(_04317_) + ); + sky130_fd_sc_hd__mux2_2 _20227_ ( + .A0(_04317_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[18] ), + .S(_05101_), + .X(_04318_) + ); + sky130_fd_sc_hd__mux2_2 _20228_ ( + .A0(_04317_), + .A1(_04318_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00293_) + ); + sky130_fd_sc_hd__mux2_2 _20229_ ( + .A0(\soc.core.VexRiscv.lastStagePc[19] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[19] ), + .S(_05092_), + .X(_04319_) + ); + sky130_fd_sc_hd__mux2_2 _20230_ ( + .A0(_04319_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[19] ), + .S(_05101_), + .X(_04320_) + ); + sky130_fd_sc_hd__mux2_2 _20231_ ( + .A0(_04319_), + .A1(_04320_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00294_) + ); + sky130_fd_sc_hd__mux2_2 _20232_ ( + .A0(\soc.core.VexRiscv.lastStagePc[20] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[20] ), + .S(_05092_), + .X(_04321_) + ); + sky130_fd_sc_hd__mux2_2 _20233_ ( + .A0(_04321_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[20] ), + .S(_05101_), + .X(_04322_) + ); + sky130_fd_sc_hd__mux2_2 _20234_ ( + .A0(_04321_), + .A1(_04322_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00296_) + ); + sky130_fd_sc_hd__mux2_2 _20235_ ( + .A0(\soc.core.VexRiscv.lastStagePc[21] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[21] ), + .S(_05092_), + .X(_04323_) + ); + sky130_fd_sc_hd__mux2_2 _20236_ ( + .A0(_04323_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[21] ), + .S(_05101_), + .X(_04324_) + ); + sky130_fd_sc_hd__mux2_2 _20237_ ( + .A0(_04323_), + .A1(_04324_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00297_) + ); + sky130_fd_sc_hd__mux2_2 _20238_ ( + .A0(\soc.core.VexRiscv.lastStagePc[22] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[22] ), + .S(_05092_), + .X(_04325_) + ); + sky130_fd_sc_hd__mux2_2 _20239_ ( + .A0(_04325_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[22] ), + .S(_05101_), + .X(_04326_) + ); + sky130_fd_sc_hd__mux2_2 _20240_ ( + .A0(_04325_), + .A1(_04326_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00298_) + ); + sky130_fd_sc_hd__mux2_2 _20241_ ( + .A0(\soc.core.VexRiscv.lastStagePc[23] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[23] ), + .S(_05092_), + .X(_04327_) + ); + sky130_fd_sc_hd__mux2_2 _20242_ ( + .A0(_04327_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[23] ), + .S(_05101_), + .X(_04328_) + ); + sky130_fd_sc_hd__mux2_2 _20243_ ( + .A0(_04327_), + .A1(_04328_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00299_) + ); + sky130_fd_sc_hd__mux2_2 _20244_ ( + .A0(\soc.core.VexRiscv.lastStagePc[24] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[24] ), + .S(_05092_), + .X(_04329_) + ); + sky130_fd_sc_hd__mux2_2 _20245_ ( + .A0(_04329_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[24] ), + .S(_05101_), + .X(_04330_) + ); + sky130_fd_sc_hd__mux2_2 _20246_ ( + .A0(_04329_), + .A1(_04330_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00300_) + ); + sky130_fd_sc_hd__mux2_2 _20247_ ( + .A0(\soc.core.VexRiscv.lastStagePc[25] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[25] ), + .S(_05092_), + .X(_04331_) + ); + sky130_fd_sc_hd__mux2_2 _20248_ ( + .A0(_04331_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[25] ), + .S(_05101_), + .X(_04332_) + ); + sky130_fd_sc_hd__mux2_2 _20249_ ( + .A0(_04331_), + .A1(_04332_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00301_) + ); + sky130_fd_sc_hd__mux2_2 _20250_ ( + .A0(\soc.core.VexRiscv.lastStagePc[26] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[26] ), + .S(_05092_), + .X(_04333_) + ); + sky130_fd_sc_hd__mux2_2 _20251_ ( + .A0(_04333_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[26] ), + .S(_05101_), + .X(_04334_) + ); + sky130_fd_sc_hd__mux2_2 _20252_ ( + .A0(_04333_), + .A1(_04334_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00302_) + ); + sky130_fd_sc_hd__mux2_2 _20253_ ( + .A0(\soc.core.VexRiscv.lastStagePc[27] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[27] ), + .S(_05092_), + .X(_04335_) + ); + sky130_fd_sc_hd__mux2_2 _20254_ ( + .A0(_04335_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[27] ), + .S(_05101_), + .X(_04336_) + ); + sky130_fd_sc_hd__mux2_2 _20255_ ( + .A0(_04335_), + .A1(_04336_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00303_) + ); + sky130_fd_sc_hd__mux2_2 _20256_ ( + .A0(\soc.core.VexRiscv.lastStagePc[28] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[28] ), + .S(_05092_), + .X(_04337_) + ); + sky130_fd_sc_hd__mux2_2 _20257_ ( + .A0(_04337_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[28] ), + .S(_05101_), + .X(_04338_) + ); + sky130_fd_sc_hd__mux2_2 _20258_ ( + .A0(_04337_), + .A1(_04338_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00304_) + ); + sky130_fd_sc_hd__mux2_2 _20259_ ( + .A0(\soc.core.VexRiscv.lastStagePc[29] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[29] ), + .S(_05092_), + .X(_04339_) + ); + sky130_fd_sc_hd__mux2_2 _20260_ ( + .A0(_04339_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[29] ), + .S(_05101_), + .X(_04340_) + ); + sky130_fd_sc_hd__mux2_2 _20261_ ( + .A0(_04339_), + .A1(_04340_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00305_) + ); + sky130_fd_sc_hd__mux2_2 _20262_ ( + .A0(\soc.core.VexRiscv.lastStagePc[30] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[30] ), + .S(_05092_), + .X(_04341_) + ); + sky130_fd_sc_hd__mux2_2 _20263_ ( + .A0(_04341_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[30] ), + .S(_05101_), + .X(_04342_) + ); + sky130_fd_sc_hd__mux2_2 _20264_ ( + .A0(_04341_), + .A1(_04342_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00307_) + ); + sky130_fd_sc_hd__mux2_2 _20265_ ( + .A0(\soc.core.VexRiscv.lastStagePc[31] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mepc[31] ), + .S(_05092_), + .X(_04343_) + ); + sky130_fd_sc_hd__mux2_2 _20266_ ( + .A0(_04343_), + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[31] ), + .S(_05101_), + .X(_04344_) + ); + sky130_fd_sc_hd__mux2_2 _20267_ ( + .A0(_04343_), + .A1(_04344_), + .S(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_00308_) + ); + sky130_fd_sc_hd__mux2_2 _20268_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[0] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04345_) + ); + sky130_fd_sc_hd__mux2_2 _20269_ ( + .A0(_04346_), + .A1(_04345_), + .S(_05091_), + .X(_00252_) + ); + sky130_fd_sc_hd__mux2_2 _20270_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[1] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[1] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04347_) + ); + sky130_fd_sc_hd__mux2_2 _20271_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[1] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[1] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04348_) + ); + sky130_fd_sc_hd__mux2_2 _20272_ ( + .A0(_04348_), + .A1(_04347_), + .S(_05091_), + .X(_00263_) + ); + sky130_fd_sc_hd__mux2_2 _20273_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[2] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04349_) + ); + sky130_fd_sc_hd__mux2_2 _20274_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[2] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[2] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04350_) + ); + sky130_fd_sc_hd__mux2_2 _20275_ ( + .A0(_04350_), + .A1(_04349_), + .S(_05091_), + .X(_00274_) + ); + sky130_fd_sc_hd__mux2_2 _20276_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[3] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04351_) + ); + sky130_fd_sc_hd__mux2_2 _20277_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[3] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[3] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04352_) + ); + sky130_fd_sc_hd__mux2_2 _20278_ ( + .A0(_04352_), + .A1(_04351_), + .S(_05091_), + .X(_00277_) + ); + sky130_fd_sc_hd__mux2_2 _20279_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[4] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04353_) + ); + sky130_fd_sc_hd__mux2_2 _20280_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[4] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[4] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04354_) + ); + sky130_fd_sc_hd__mux2_2 _20281_ ( + .A0(_04354_), + .A1(_04353_), + .S(_05091_), + .X(_00278_) + ); + sky130_fd_sc_hd__mux2_2 _20282_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[5] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04355_) + ); + sky130_fd_sc_hd__mux2_2 _20283_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[5] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[5] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04356_) + ); + sky130_fd_sc_hd__mux2_2 _20284_ ( + .A0(_04356_), + .A1(_04355_), + .S(_05091_), + .X(_00279_) + ); + sky130_fd_sc_hd__mux2_2 _20285_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[6] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04357_) + ); + sky130_fd_sc_hd__mux2_2 _20286_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[6] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[6] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04358_) + ); + sky130_fd_sc_hd__mux2_2 _20287_ ( + .A0(_04358_), + .A1(_04357_), + .S(_05091_), + .X(_00280_) + ); + sky130_fd_sc_hd__mux2_2 _20288_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[7] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04359_) + ); + sky130_fd_sc_hd__mux2_2 _20289_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[7] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[7] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04360_) + ); + sky130_fd_sc_hd__mux2_2 _20290_ ( + .A0(_04360_), + .A1(_04359_), + .S(_05091_), + .X(_00281_) + ); + sky130_fd_sc_hd__mux2_2 _20291_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[8] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04361_) + ); + sky130_fd_sc_hd__mux2_2 _20292_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[8] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[8] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04362_) + ); + sky130_fd_sc_hd__mux2_2 _20293_ ( + .A0(_04362_), + .A1(_04361_), + .S(_05091_), + .X(_00282_) + ); + sky130_fd_sc_hd__mux2_2 _20294_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[9] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04363_) + ); + sky130_fd_sc_hd__mux2_2 _20295_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[9] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[9] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04364_) + ); + sky130_fd_sc_hd__mux2_2 _20296_ ( + .A0(_04364_), + .A1(_04363_), + .S(_05091_), + .X(_00283_) + ); + sky130_fd_sc_hd__mux2_2 _20297_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[10] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[10] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04365_) + ); + sky130_fd_sc_hd__mux2_2 _20298_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[10] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[10] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04366_) + ); + sky130_fd_sc_hd__mux2_2 _20299_ ( + .A0(_04366_), + .A1(_04365_), + .S(_05091_), + .X(_00253_) + ); + sky130_fd_sc_hd__mux2_2 _20300_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[11] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04367_) + ); + sky130_fd_sc_hd__mux2_2 _20301_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[11] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[11] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04368_) + ); + sky130_fd_sc_hd__mux2_2 _20302_ ( + .A0(_04368_), + .A1(_04367_), + .S(_05091_), + .X(_00254_) + ); + sky130_fd_sc_hd__mux2_2 _20303_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04369_) + ); + sky130_fd_sc_hd__mux2_2 _20304_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[12] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[12] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04370_) + ); + sky130_fd_sc_hd__mux2_2 _20305_ ( + .A0(_04370_), + .A1(_04369_), + .S(_05091_), + .X(_00255_) + ); + sky130_fd_sc_hd__mux2_2 _20306_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04371_) + ); + sky130_fd_sc_hd__mux2_2 _20307_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[13] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[13] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04372_) + ); + sky130_fd_sc_hd__mux2_2 _20308_ ( + .A0(_04372_), + .A1(_04371_), + .S(_05091_), + .X(_00256_) + ); + sky130_fd_sc_hd__mux2_2 _20309_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04373_) + ); + sky130_fd_sc_hd__mux2_2 _20310_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[14] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[14] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04374_) + ); + sky130_fd_sc_hd__mux2_2 _20311_ ( + .A0(_04374_), + .A1(_04373_), + .S(_05091_), + .X(_00257_) + ); + sky130_fd_sc_hd__mux2_2 _20312_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[15] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04375_) + ); + sky130_fd_sc_hd__mux2_2 _20313_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[15] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[15] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04376_) + ); + sky130_fd_sc_hd__mux2_2 _20314_ ( + .A0(_04376_), + .A1(_04375_), + .S(_05091_), + .X(_00258_) + ); + sky130_fd_sc_hd__mux2_2 _20315_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[16] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[16] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04377_) + ); + sky130_fd_sc_hd__mux2_2 _20316_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[16] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[16] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04378_) + ); + sky130_fd_sc_hd__mux2_2 _20317_ ( + .A0(_04378_), + .A1(_04377_), + .S(_05091_), + .X(_00259_) + ); + sky130_fd_sc_hd__mux2_2 _20318_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[17] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04379_) + ); + sky130_fd_sc_hd__mux2_2 _20319_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[17] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[17] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04380_) + ); + sky130_fd_sc_hd__mux2_2 _20320_ ( + .A0(_04380_), + .A1(_04379_), + .S(_05091_), + .X(_00260_) + ); + sky130_fd_sc_hd__mux2_2 _20321_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[18] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04381_) + ); + sky130_fd_sc_hd__mux2_2 _20322_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[18] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[18] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04382_) + ); + sky130_fd_sc_hd__mux2_2 _20323_ ( + .A0(_04382_), + .A1(_04381_), + .S(_05091_), + .X(_00261_) + ); + sky130_fd_sc_hd__mux2_2 _20324_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[19] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[19] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04383_) + ); + sky130_fd_sc_hd__mux2_2 _20325_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[19] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[19] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04384_) + ); + sky130_fd_sc_hd__mux2_2 _20326_ ( + .A0(_04384_), + .A1(_04383_), + .S(_05091_), + .X(_00262_) + ); + sky130_fd_sc_hd__mux2_2 _20327_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04385_) + ); + sky130_fd_sc_hd__mux2_2 _20328_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[20] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[20] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04386_) + ); + sky130_fd_sc_hd__mux2_2 _20329_ ( + .A0(_04386_), + .A1(_04385_), + .S(_05091_), + .X(_00264_) + ); + sky130_fd_sc_hd__mux2_2 _20330_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[21] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04387_) + ); + sky130_fd_sc_hd__mux2_2 _20331_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[21] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[21] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04388_) + ); + sky130_fd_sc_hd__mux2_2 _20332_ ( + .A0(_04388_), + .A1(_04387_), + .S(_05091_), + .X(_00265_) + ); + sky130_fd_sc_hd__mux2_2 _20333_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[22] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04389_) + ); + sky130_fd_sc_hd__mux2_2 _20334_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[22] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[22] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04390_) + ); + sky130_fd_sc_hd__mux2_2 _20335_ ( + .A0(_04390_), + .A1(_04389_), + .S(_05091_), + .X(_00266_) + ); + sky130_fd_sc_hd__mux2_2 _20336_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[23] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04391_) + ); + sky130_fd_sc_hd__mux2_2 _20337_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[23] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[23] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04392_) + ); + sky130_fd_sc_hd__mux2_2 _20338_ ( + .A0(_04392_), + .A1(_04391_), + .S(_05091_), + .X(_00267_) + ); + sky130_fd_sc_hd__mux2_2 _20339_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[24] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[24] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04393_) + ); + sky130_fd_sc_hd__mux2_2 _20340_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[24] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[24] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04394_) + ); + sky130_fd_sc_hd__mux2_2 _20341_ ( + .A0(_04394_), + .A1(_04393_), + .S(_05091_), + .X(_00268_) + ); + sky130_fd_sc_hd__mux2_2 _20342_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[25] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04395_) + ); + sky130_fd_sc_hd__mux2_2 _20343_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[25] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[25] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04396_) + ); + sky130_fd_sc_hd__mux2_2 _20344_ ( + .A0(_04396_), + .A1(_04395_), + .S(_05091_), + .X(_00269_) + ); + sky130_fd_sc_hd__mux2_2 _20345_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[26] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04397_) + ); + sky130_fd_sc_hd__mux2_2 _20346_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[26] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[26] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04398_) + ); + sky130_fd_sc_hd__mux2_2 _20347_ ( + .A0(_04398_), + .A1(_04397_), + .S(_05091_), + .X(_00270_) + ); + sky130_fd_sc_hd__mux2_2 _20348_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[27] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04399_) + ); + sky130_fd_sc_hd__mux2_2 _20349_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[27] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[27] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04400_) + ); + sky130_fd_sc_hd__mux2_2 _20350_ ( + .A0(_04400_), + .A1(_04399_), + .S(_05091_), + .X(_00271_) + ); + sky130_fd_sc_hd__mux2_2 _20351_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04401_) + ); + sky130_fd_sc_hd__mux2_2 _20352_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[28] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[28] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04402_) + ); + sky130_fd_sc_hd__mux2_2 _20353_ ( + .A0(_04402_), + .A1(_04401_), + .S(_05091_), + .X(_00272_) + ); + sky130_fd_sc_hd__mux2_2 _20354_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04403_) + ); + sky130_fd_sc_hd__mux2_2 _20355_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[29] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[29] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04404_) + ); + sky130_fd_sc_hd__mux2_2 _20356_ ( + .A0(_04404_), + .A1(_04403_), + .S(_05091_), + .X(_00273_) + ); + sky130_fd_sc_hd__mux2_2 _20357_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04405_) + ); + sky130_fd_sc_hd__mux2_2 _20358_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[30] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[30] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04406_) + ); + sky130_fd_sc_hd__mux2_2 _20359_ ( + .A0(_04406_), + .A1(_04405_), + .S(_05091_), + .X(_00275_) + ); + sky130_fd_sc_hd__mux2_2 _20360_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ), + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .X(_04407_) + ); + sky130_fd_sc_hd__mux2_2 _20361_ ( + .A0(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[31] ), + .A1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[31] ), + .S(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_04408_) + ); + sky130_fd_sc_hd__mux2_2 _20362_ ( + .A0(_04408_), + .A1(_04407_), + .S(_05091_), + .X(_00276_) + ); + sky130_fd_sc_hd__mux2_2 _20363_ ( + .A0(\soc.core.storage[0][0] ), + .A1(\soc.core.storage[1][0] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04409_) + ); + sky130_fd_sc_hd__mux2_2 _20364_ ( + .A0(\soc.core.storage[2][0] ), + .A1(\soc.core.storage[3][0] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04410_) + ); + sky130_fd_sc_hd__mux2_2 _20365_ ( + .A0(_04409_), + .A1(_04410_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04411_) + ); + sky130_fd_sc_hd__mux2_2 _20366_ ( + .A0(\soc.core.storage[4][0] ), + .A1(\soc.core.storage[5][0] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04412_) + ); + sky130_fd_sc_hd__mux2_2 _20367_ ( + .A0(\soc.core.storage[6][0] ), + .A1(\soc.core.storage[7][0] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04413_) + ); + sky130_fd_sc_hd__mux2_2 _20368_ ( + .A0(_04412_), + .A1(_04413_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04414_) + ); + sky130_fd_sc_hd__mux2_2 _20369_ ( + .A0(_04411_), + .A1(_04414_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04415_) + ); + sky130_fd_sc_hd__mux2_2 _20370_ ( + .A0(\soc.core.storage[8][0] ), + .A1(\soc.core.storage[9][0] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04416_) + ); + sky130_fd_sc_hd__mux2_2 _20371_ ( + .A0(\soc.core.storage[10][0] ), + .A1(\soc.core.storage[11][0] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04417_) + ); + sky130_fd_sc_hd__mux2_2 _20372_ ( + .A0(_04416_), + .A1(_04417_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04418_) + ); + sky130_fd_sc_hd__mux2_2 _20373_ ( + .A0(\soc.core.storage[12][0] ), + .A1(\soc.core.storage[13][0] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04419_) + ); + sky130_fd_sc_hd__mux2_2 _20374_ ( + .A0(\soc.core.storage[14][0] ), + .A1(\soc.core.storage[15][0] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04420_) + ); + sky130_fd_sc_hd__mux2_2 _20375_ ( + .A0(_04419_), + .A1(_04420_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04421_) + ); + sky130_fd_sc_hd__mux2_2 _20376_ ( + .A0(_04418_), + .A1(_04421_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04422_) + ); + sky130_fd_sc_hd__mux2_2 _20377_ ( + .A0(_04415_), + .A1(_04422_), + .S(\soc.core.uart_tx_fifo_consume[3] ), + .X(_00123_) + ); + sky130_fd_sc_hd__mux2_2 _20378_ ( + .A0(\soc.core.storage[0][1] ), + .A1(\soc.core.storage[1][1] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04423_) + ); + sky130_fd_sc_hd__mux2_2 _20379_ ( + .A0(\soc.core.storage[2][1] ), + .A1(\soc.core.storage[3][1] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04424_) + ); + sky130_fd_sc_hd__mux2_2 _20380_ ( + .A0(_04423_), + .A1(_04424_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04425_) + ); + sky130_fd_sc_hd__mux2_2 _20381_ ( + .A0(\soc.core.storage[4][1] ), + .A1(\soc.core.storage[5][1] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04426_) + ); + sky130_fd_sc_hd__mux2_2 _20382_ ( + .A0(\soc.core.storage[6][1] ), + .A1(\soc.core.storage[7][1] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04427_) + ); + sky130_fd_sc_hd__mux2_2 _20383_ ( + .A0(_04426_), + .A1(_04427_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04428_) + ); + sky130_fd_sc_hd__mux2_2 _20384_ ( + .A0(_04425_), + .A1(_04428_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04429_) + ); + sky130_fd_sc_hd__mux2_2 _20385_ ( + .A0(\soc.core.storage[8][1] ), + .A1(\soc.core.storage[9][1] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04430_) + ); + sky130_fd_sc_hd__mux2_2 _20386_ ( + .A0(\soc.core.storage[10][1] ), + .A1(\soc.core.storage[11][1] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04431_) + ); + sky130_fd_sc_hd__mux2_2 _20387_ ( + .A0(_04430_), + .A1(_04431_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04432_) + ); + sky130_fd_sc_hd__mux2_2 _20388_ ( + .A0(\soc.core.storage[12][1] ), + .A1(\soc.core.storage[13][1] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04433_) + ); + sky130_fd_sc_hd__mux2_2 _20389_ ( + .A0(\soc.core.storage[14][1] ), + .A1(\soc.core.storage[15][1] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04434_) + ); + sky130_fd_sc_hd__mux2_2 _20390_ ( + .A0(_04433_), + .A1(_04434_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04435_) + ); + sky130_fd_sc_hd__mux2_2 _20391_ ( + .A0(_04432_), + .A1(_04435_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04436_) + ); + sky130_fd_sc_hd__mux2_2 _20392_ ( + .A0(_04429_), + .A1(_04436_), + .S(\soc.core.uart_tx_fifo_consume[3] ), + .X(_00124_) + ); + sky130_fd_sc_hd__mux2_2 _20393_ ( + .A0(\soc.core.storage[0][2] ), + .A1(\soc.core.storage[1][2] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04437_) + ); + sky130_fd_sc_hd__mux2_2 _20394_ ( + .A0(\soc.core.storage[2][2] ), + .A1(\soc.core.storage[3][2] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04438_) + ); + sky130_fd_sc_hd__mux2_2 _20395_ ( + .A0(_04437_), + .A1(_04438_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04439_) + ); + sky130_fd_sc_hd__mux2_2 _20396_ ( + .A0(\soc.core.storage[4][2] ), + .A1(\soc.core.storage[5][2] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04440_) + ); + sky130_fd_sc_hd__mux2_2 _20397_ ( + .A0(\soc.core.storage[6][2] ), + .A1(\soc.core.storage[7][2] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04441_) + ); + sky130_fd_sc_hd__mux2_2 _20398_ ( + .A0(_04440_), + .A1(_04441_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04442_) + ); + sky130_fd_sc_hd__mux2_2 _20399_ ( + .A0(_04439_), + .A1(_04442_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04443_) + ); + sky130_fd_sc_hd__mux2_2 _20400_ ( + .A0(\soc.core.storage[8][2] ), + .A1(\soc.core.storage[9][2] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04444_) + ); + sky130_fd_sc_hd__mux2_2 _20401_ ( + .A0(\soc.core.storage[10][2] ), + .A1(\soc.core.storage[11][2] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04445_) + ); + sky130_fd_sc_hd__mux2_2 _20402_ ( + .A0(_04444_), + .A1(_04445_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04446_) + ); + sky130_fd_sc_hd__mux2_2 _20403_ ( + .A0(\soc.core.storage[12][2] ), + .A1(\soc.core.storage[13][2] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04447_) + ); + sky130_fd_sc_hd__mux2_2 _20404_ ( + .A0(\soc.core.storage[14][2] ), + .A1(\soc.core.storage[15][2] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04448_) + ); + sky130_fd_sc_hd__mux2_2 _20405_ ( + .A0(_04447_), + .A1(_04448_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04449_) + ); + sky130_fd_sc_hd__mux2_2 _20406_ ( + .A0(_04446_), + .A1(_04449_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04450_) + ); + sky130_fd_sc_hd__mux2_2 _20407_ ( + .A0(_04443_), + .A1(_04450_), + .S(\soc.core.uart_tx_fifo_consume[3] ), + .X(_00125_) + ); + sky130_fd_sc_hd__mux2_2 _20408_ ( + .A0(\soc.core.storage[0][3] ), + .A1(\soc.core.storage[1][3] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04451_) + ); + sky130_fd_sc_hd__mux2_2 _20409_ ( + .A0(\soc.core.storage[2][3] ), + .A1(\soc.core.storage[3][3] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04452_) + ); + sky130_fd_sc_hd__mux2_2 _20410_ ( + .A0(_04451_), + .A1(_04452_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04453_) + ); + sky130_fd_sc_hd__mux2_2 _20411_ ( + .A0(\soc.core.storage[4][3] ), + .A1(\soc.core.storage[5][3] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04454_) + ); + sky130_fd_sc_hd__mux2_2 _20412_ ( + .A0(\soc.core.storage[6][3] ), + .A1(\soc.core.storage[7][3] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04455_) + ); + sky130_fd_sc_hd__mux2_2 _20413_ ( + .A0(_04454_), + .A1(_04455_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04456_) + ); + sky130_fd_sc_hd__mux2_2 _20414_ ( + .A0(_04453_), + .A1(_04456_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04457_) + ); + sky130_fd_sc_hd__mux2_2 _20415_ ( + .A0(\soc.core.storage[8][3] ), + .A1(\soc.core.storage[9][3] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04458_) + ); + sky130_fd_sc_hd__mux2_2 _20416_ ( + .A0(\soc.core.storage[10][3] ), + .A1(\soc.core.storage[11][3] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04459_) + ); + sky130_fd_sc_hd__mux2_2 _20417_ ( + .A0(_04458_), + .A1(_04459_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04460_) + ); + sky130_fd_sc_hd__mux2_2 _20418_ ( + .A0(\soc.core.storage[12][3] ), + .A1(\soc.core.storage[13][3] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04461_) + ); + sky130_fd_sc_hd__mux2_2 _20419_ ( + .A0(\soc.core.storage[14][3] ), + .A1(\soc.core.storage[15][3] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04462_) + ); + sky130_fd_sc_hd__mux2_2 _20420_ ( + .A0(_04461_), + .A1(_04462_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04463_) + ); + sky130_fd_sc_hd__mux2_2 _20421_ ( + .A0(_04460_), + .A1(_04463_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04464_) + ); + sky130_fd_sc_hd__mux2_2 _20422_ ( + .A0(_04457_), + .A1(_04464_), + .S(\soc.core.uart_tx_fifo_consume[3] ), + .X(_00126_) + ); + sky130_fd_sc_hd__mux2_2 _20423_ ( + .A0(\soc.core.storage[0][4] ), + .A1(\soc.core.storage[1][4] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04465_) + ); + sky130_fd_sc_hd__mux2_2 _20424_ ( + .A0(\soc.core.storage[2][4] ), + .A1(\soc.core.storage[3][4] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04466_) + ); + sky130_fd_sc_hd__mux2_2 _20425_ ( + .A0(_04465_), + .A1(_04466_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04467_) + ); + sky130_fd_sc_hd__mux2_2 _20426_ ( + .A0(\soc.core.storage[4][4] ), + .A1(\soc.core.storage[5][4] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04468_) + ); + sky130_fd_sc_hd__mux2_2 _20427_ ( + .A0(\soc.core.storage[6][4] ), + .A1(\soc.core.storage[7][4] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04469_) + ); + sky130_fd_sc_hd__mux2_2 _20428_ ( + .A0(_04468_), + .A1(_04469_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04470_) + ); + sky130_fd_sc_hd__mux2_2 _20429_ ( + .A0(_04467_), + .A1(_04470_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04471_) + ); + sky130_fd_sc_hd__mux2_2 _20430_ ( + .A0(\soc.core.storage[8][4] ), + .A1(\soc.core.storage[9][4] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04472_) + ); + sky130_fd_sc_hd__mux2_2 _20431_ ( + .A0(\soc.core.storage[10][4] ), + .A1(\soc.core.storage[11][4] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04473_) + ); + sky130_fd_sc_hd__mux2_2 _20432_ ( + .A0(_04472_), + .A1(_04473_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04474_) + ); + sky130_fd_sc_hd__mux2_2 _20433_ ( + .A0(\soc.core.storage[12][4] ), + .A1(\soc.core.storage[13][4] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04475_) + ); + sky130_fd_sc_hd__mux2_2 _20434_ ( + .A0(\soc.core.storage[14][4] ), + .A1(\soc.core.storage[15][4] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04476_) + ); + sky130_fd_sc_hd__mux2_2 _20435_ ( + .A0(_04475_), + .A1(_04476_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04477_) + ); + sky130_fd_sc_hd__mux2_2 _20436_ ( + .A0(_04474_), + .A1(_04477_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04478_) + ); + sky130_fd_sc_hd__mux2_2 _20437_ ( + .A0(_04471_), + .A1(_04478_), + .S(\soc.core.uart_tx_fifo_consume[3] ), + .X(_00127_) + ); + sky130_fd_sc_hd__mux2_2 _20438_ ( + .A0(\soc.core.storage[0][5] ), + .A1(\soc.core.storage[1][5] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04479_) + ); + sky130_fd_sc_hd__mux2_2 _20439_ ( + .A0(\soc.core.storage[2][5] ), + .A1(\soc.core.storage[3][5] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04480_) + ); + sky130_fd_sc_hd__mux2_2 _20440_ ( + .A0(_04479_), + .A1(_04480_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04481_) + ); + sky130_fd_sc_hd__mux2_2 _20441_ ( + .A0(\soc.core.storage[4][5] ), + .A1(\soc.core.storage[5][5] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04482_) + ); + sky130_fd_sc_hd__mux2_2 _20442_ ( + .A0(\soc.core.storage[6][5] ), + .A1(\soc.core.storage[7][5] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04483_) + ); + sky130_fd_sc_hd__mux2_2 _20443_ ( + .A0(_04482_), + .A1(_04483_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04484_) + ); + sky130_fd_sc_hd__mux2_2 _20444_ ( + .A0(_04481_), + .A1(_04484_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04485_) + ); + sky130_fd_sc_hd__mux2_2 _20445_ ( + .A0(\soc.core.storage[8][5] ), + .A1(\soc.core.storage[9][5] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04486_) + ); + sky130_fd_sc_hd__mux2_2 _20446_ ( + .A0(\soc.core.storage[10][5] ), + .A1(\soc.core.storage[11][5] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04487_) + ); + sky130_fd_sc_hd__mux2_2 _20447_ ( + .A0(_04486_), + .A1(_04487_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04488_) + ); + sky130_fd_sc_hd__mux2_2 _20448_ ( + .A0(\soc.core.storage[12][5] ), + .A1(\soc.core.storage[13][5] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04489_) + ); + sky130_fd_sc_hd__mux2_2 _20449_ ( + .A0(\soc.core.storage[14][5] ), + .A1(\soc.core.storage[15][5] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04490_) + ); + sky130_fd_sc_hd__mux2_2 _20450_ ( + .A0(_04489_), + .A1(_04490_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04491_) + ); + sky130_fd_sc_hd__mux2_2 _20451_ ( + .A0(_04488_), + .A1(_04491_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04492_) + ); + sky130_fd_sc_hd__mux2_2 _20452_ ( + .A0(_04485_), + .A1(_04492_), + .S(\soc.core.uart_tx_fifo_consume[3] ), + .X(_00128_) + ); + sky130_fd_sc_hd__mux2_2 _20453_ ( + .A0(\soc.core.storage[0][6] ), + .A1(\soc.core.storage[1][6] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04493_) + ); + sky130_fd_sc_hd__mux2_2 _20454_ ( + .A0(\soc.core.storage[2][6] ), + .A1(\soc.core.storage[3][6] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04494_) + ); + sky130_fd_sc_hd__mux2_2 _20455_ ( + .A0(_04493_), + .A1(_04494_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04495_) + ); + sky130_fd_sc_hd__mux2_2 _20456_ ( + .A0(\soc.core.storage[4][6] ), + .A1(\soc.core.storage[5][6] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04496_) + ); + sky130_fd_sc_hd__mux2_2 _20457_ ( + .A0(\soc.core.storage[6][6] ), + .A1(\soc.core.storage[7][6] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04497_) + ); + sky130_fd_sc_hd__mux2_2 _20458_ ( + .A0(_04496_), + .A1(_04497_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04498_) + ); + sky130_fd_sc_hd__mux2_2 _20459_ ( + .A0(_04495_), + .A1(_04498_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04499_) + ); + sky130_fd_sc_hd__mux2_2 _20460_ ( + .A0(\soc.core.storage[8][6] ), + .A1(\soc.core.storage[9][6] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04500_) + ); + sky130_fd_sc_hd__mux2_2 _20461_ ( + .A0(\soc.core.storage[10][6] ), + .A1(\soc.core.storage[11][6] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04501_) + ); + sky130_fd_sc_hd__mux2_2 _20462_ ( + .A0(_04500_), + .A1(_04501_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04502_) + ); + sky130_fd_sc_hd__mux2_2 _20463_ ( + .A0(\soc.core.storage[12][6] ), + .A1(\soc.core.storage[13][6] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04503_) + ); + sky130_fd_sc_hd__mux2_2 _20464_ ( + .A0(\soc.core.storage[14][6] ), + .A1(\soc.core.storage[15][6] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04504_) + ); + sky130_fd_sc_hd__mux2_2 _20465_ ( + .A0(_04503_), + .A1(_04504_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04505_) + ); + sky130_fd_sc_hd__mux2_2 _20466_ ( + .A0(_04502_), + .A1(_04505_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04506_) + ); + sky130_fd_sc_hd__mux2_2 _20467_ ( + .A0(_04499_), + .A1(_04506_), + .S(\soc.core.uart_tx_fifo_consume[3] ), + .X(_00129_) + ); + sky130_fd_sc_hd__mux2_2 _20468_ ( + .A0(\soc.core.storage[0][7] ), + .A1(\soc.core.storage[1][7] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04507_) + ); + sky130_fd_sc_hd__mux2_2 _20469_ ( + .A0(\soc.core.storage[2][7] ), + .A1(\soc.core.storage[3][7] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04508_) + ); + sky130_fd_sc_hd__mux2_2 _20470_ ( + .A0(_04507_), + .A1(_04508_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04509_) + ); + sky130_fd_sc_hd__mux2_2 _20471_ ( + .A0(\soc.core.storage[4][7] ), + .A1(\soc.core.storage[5][7] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04510_) + ); + sky130_fd_sc_hd__mux2_2 _20472_ ( + .A0(\soc.core.storage[6][7] ), + .A1(\soc.core.storage[7][7] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04511_) + ); + sky130_fd_sc_hd__mux2_2 _20473_ ( + .A0(_04510_), + .A1(_04511_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04512_) + ); + sky130_fd_sc_hd__mux2_2 _20474_ ( + .A0(_04509_), + .A1(_04512_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04513_) + ); + sky130_fd_sc_hd__mux2_2 _20475_ ( + .A0(\soc.core.storage[8][7] ), + .A1(\soc.core.storage[9][7] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04514_) + ); + sky130_fd_sc_hd__mux2_2 _20476_ ( + .A0(\soc.core.storage[10][7] ), + .A1(\soc.core.storage[11][7] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04515_) + ); + sky130_fd_sc_hd__mux2_2 _20477_ ( + .A0(_04514_), + .A1(_04515_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04516_) + ); + sky130_fd_sc_hd__mux2_2 _20478_ ( + .A0(\soc.core.storage[12][7] ), + .A1(\soc.core.storage[13][7] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04517_) + ); + sky130_fd_sc_hd__mux2_2 _20479_ ( + .A0(\soc.core.storage[14][7] ), + .A1(\soc.core.storage[15][7] ), + .S(\soc.core.uart_tx_fifo_consume[0] ), + .X(_04518_) + ); + sky130_fd_sc_hd__mux2_2 _20480_ ( + .A0(_04517_), + .A1(_04518_), + .S(\soc.core.uart_tx_fifo_consume[1] ), + .X(_04519_) + ); + sky130_fd_sc_hd__mux2_2 _20481_ ( + .A0(_04516_), + .A1(_04519_), + .S(\soc.core.uart_tx_fifo_consume[2] ), + .X(_04520_) + ); + sky130_fd_sc_hd__mux2_2 _20482_ ( + .A0(_04513_), + .A1(_04520_), + .S(\soc.core.uart_tx_fifo_consume[3] ), + .X(_00130_) + ); + sky130_fd_sc_hd__mux2_2 _20483_ ( + .A0(_04521_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[2] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00336_) + ); + sky130_fd_sc_hd__mux2_2 _20484_ ( + .A0(_04522_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[3] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00339_) + ); + sky130_fd_sc_hd__mux2_2 _20485_ ( + .A0(_04523_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[4] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00340_) + ); + sky130_fd_sc_hd__mux2_2 _20486_ ( + .A0(_04524_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[5] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00341_) + ); + sky130_fd_sc_hd__mux2_2 _20487_ ( + .A0(_04525_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[6] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00342_) + ); + sky130_fd_sc_hd__mux2_2 _20488_ ( + .A0(_04526_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[7] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00343_) + ); + sky130_fd_sc_hd__mux2_2 _20489_ ( + .A0(_04527_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[8] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00344_) + ); + sky130_fd_sc_hd__mux2_2 _20490_ ( + .A0(_04528_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[9] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00345_) + ); + sky130_fd_sc_hd__mux2_2 _20491_ ( + .A0(_04529_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[10] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00316_) + ); + sky130_fd_sc_hd__mux2_2 _20492_ ( + .A0(_04530_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[11] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00317_) + ); + sky130_fd_sc_hd__mux2_2 _20493_ ( + .A0(_04531_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[12] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00318_) + ); + sky130_fd_sc_hd__mux2_2 _20494_ ( + .A0(_04532_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[13] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00319_) + ); + sky130_fd_sc_hd__mux2_2 _20495_ ( + .A0(_04533_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[14] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00320_) + ); + sky130_fd_sc_hd__mux2_2 _20496_ ( + .A0(_04534_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[15] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00321_) + ); + sky130_fd_sc_hd__mux2_2 _20497_ ( + .A0(_04535_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[16] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00322_) + ); + sky130_fd_sc_hd__mux2_2 _20498_ ( + .A0(_04536_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[17] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00323_) + ); + sky130_fd_sc_hd__mux2_2 _20499_ ( + .A0(_04537_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[18] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00324_) + ); + sky130_fd_sc_hd__mux2_2 _20500_ ( + .A0(_04538_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[19] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00325_) + ); + sky130_fd_sc_hd__mux2_2 _20501_ ( + .A0(_04539_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[20] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00326_) + ); + sky130_fd_sc_hd__mux2_2 _20502_ ( + .A0(_04540_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[21] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00327_) + ); + sky130_fd_sc_hd__mux2_2 _20503_ ( + .A0(_04541_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[22] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00328_) + ); + sky130_fd_sc_hd__mux2_2 _20504_ ( + .A0(_04542_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[23] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00329_) + ); + sky130_fd_sc_hd__mux2_2 _20505_ ( + .A0(_04216_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[24] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00330_) + ); + sky130_fd_sc_hd__mux2_2 _20506_ ( + .A0(_04218_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[25] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00331_) + ); + sky130_fd_sc_hd__mux2_2 _20507_ ( + .A0(_04220_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[26] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00332_) + ); + sky130_fd_sc_hd__mux2_2 _20508_ ( + .A0(_04222_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[27] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00333_) + ); + sky130_fd_sc_hd__mux2_2 _20509_ ( + .A0(_04224_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[28] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00334_) + ); + sky130_fd_sc_hd__mux2_2 _20510_ ( + .A0(_04226_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[29] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00335_) + ); + sky130_fd_sc_hd__mux2_2 _20511_ ( + .A0(_04228_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[30] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00337_) + ); + sky130_fd_sc_hd__mux2_2 _20512_ ( + .A0(_04230_), + .A1(\soc.core.VexRiscv._zz_execute_SRC2[31] ), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00338_) + ); + sky130_fd_sc_hd__mux2_2 _20513_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .A1(_04543_), + .S(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_wr ), + .X(_04544_) + ); + sky130_fd_sc_hd__mux2_2 _20514_ ( + .A0(_04544_), + .A1(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .S(_05102_), + .X(_04545_) + ); + sky130_fd_sc_hd__mux2_2 _20515_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .A1(_04545_), + .S(\soc.core.mgmtsoc_vexriscv_i_cmd_valid ), + .X(_04546_) + ); + sky130_fd_sc_hd__mux2_2 _20516_ ( + .A0(_04546_), + .A1(_04547_), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_04548_) + ); + sky130_fd_sc_hd__mux2_2 _20517_ ( + .A0(_04548_), + .A1(_04549_), + .S(_05099_), + .X(_00350_) + ); + sky130_fd_sc_hd__mux2_2 _20518_ ( + .A0(_04550_), + .A1(_04551_), + .S(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_wr ), + .X(_04552_) + ); + sky130_fd_sc_hd__mux2_2 _20519_ ( + .A0(_04552_), + .A1(_04550_), + .S(_05102_), + .X(_04553_) + ); + sky130_fd_sc_hd__mux2_2 _20520_ ( + .A0(_04550_), + .A1(_04553_), + .S(\soc.core.mgmtsoc_vexriscv_i_cmd_valid ), + .X(_00349_) + ); + sky130_fd_sc_hd__mux2_2 _20521_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_haltedByBreak ), + .A1(_04554_), + .S(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_wr ), + .X(_04555_) + ); + sky130_fd_sc_hd__mux2_2 _20522_ ( + .A0(_04555_), + .A1(\soc.core.VexRiscv.DebugPlugin_haltedByBreak ), + .S(_05102_), + .X(_04556_) + ); + sky130_fd_sc_hd__mux2_2 _20523_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_haltedByBreak ), + .A1(_04556_), + .S(\soc.core.mgmtsoc_vexriscv_i_cmd_valid ), + .X(_04557_) + ); + sky130_fd_sc_hd__mux2_2 _20524_ ( + .A0(_04557_), + .A1(_04558_), + .S(\soc.core.VexRiscv.execute_arbitration_haltByOther ), + .X(_00348_) + ); + sky130_fd_sc_hd__mux2_2 _20525_ ( + .A0(_04560_), + .A1(\pll.ext_trim[0] ), + .S(\pll.dco ), + .X(\pll.itrim[0] ) + ); + sky130_fd_sc_hd__mux2_2 _20526_ ( + .A0(_04561_), + .A1(\pll.ext_trim[1] ), + .S(\pll.dco ), + .X(\pll.itrim[1] ) + ); + sky130_fd_sc_hd__mux2_2 _20527_ ( + .A0(_04562_), + .A1(\pll.ext_trim[2] ), + .S(\pll.dco ), + .X(\pll.itrim[2] ) + ); + sky130_fd_sc_hd__mux2_2 _20528_ ( + .A0(_04563_), + .A1(\pll.ext_trim[3] ), + .S(\pll.dco ), + .X(\pll.itrim[3] ) + ); + sky130_fd_sc_hd__mux2_2 _20529_ ( + .A0(_04564_), + .A1(\pll.ext_trim[4] ), + .S(\pll.dco ), + .X(\pll.itrim[4] ) + ); + sky130_fd_sc_hd__mux2_2 _20530_ ( + .A0(_04565_), + .A1(\pll.ext_trim[5] ), + .S(\pll.dco ), + .X(\pll.itrim[5] ) + ); + sky130_fd_sc_hd__mux2_2 _20531_ ( + .A0(_04566_), + .A1(\pll.ext_trim[6] ), + .S(\pll.dco ), + .X(\pll.itrim[6] ) + ); + sky130_fd_sc_hd__mux2_2 _20532_ ( + .A0(_04567_), + .A1(\pll.ext_trim[7] ), + .S(\pll.dco ), + .X(\pll.itrim[7] ) + ); + sky130_fd_sc_hd__mux2_2 _20533_ ( + .A0(_04568_), + .A1(\pll.ext_trim[8] ), + .S(\pll.dco ), + .X(\pll.itrim[8] ) + ); + sky130_fd_sc_hd__mux2_2 _20534_ ( + .A0(_04569_), + .A1(\pll.ext_trim[9] ), + .S(\pll.dco ), + .X(\pll.itrim[9] ) + ); + sky130_fd_sc_hd__mux2_2 _20535_ ( + .A0(_04570_), + .A1(\pll.ext_trim[10] ), + .S(\pll.dco ), + .X(\pll.itrim[10] ) + ); + sky130_fd_sc_hd__mux2_2 _20536_ ( + .A0(_04571_), + .A1(\pll.ext_trim[11] ), + .S(\pll.dco ), + .X(\pll.itrim[11] ) + ); + sky130_fd_sc_hd__mux2_2 _20537_ ( + .A0(_04572_), + .A1(\pll.ext_trim[12] ), + .S(\pll.dco ), + .X(\pll.itrim[12] ) + ); + sky130_fd_sc_hd__mux2_2 _20538_ ( + .A0(_04573_), + .A1(\pll.ext_trim[13] ), + .S(\pll.dco ), + .X(\pll.itrim[13] ) + ); + sky130_fd_sc_hd__mux2_2 _20539_ ( + .A0(_04575_), + .A1(\pll.ext_trim[14] ), + .S(\pll.dco ), + .X(\pll.itrim[14] ) + ); + sky130_fd_sc_hd__mux2_2 _20540_ ( + .A0(_04576_), + .A1(\pll.ext_trim[15] ), + .S(\pll.dco ), + .X(\pll.itrim[15] ) + ); + sky130_fd_sc_hd__mux2_2 _20541_ ( + .A0(_04574_), + .A1(_04559_), + .S(\pll.pll_control.tint[4] ), + .X(_04577_) + ); + sky130_fd_sc_hd__mux2_2 _20542_ ( + .A0(_04578_), + .A1(\pll.ext_trim[16] ), + .S(\pll.dco ), + .X(\pll.itrim[16] ) + ); + sky130_fd_sc_hd__mux2_2 _20543_ ( + .A0(_04579_), + .A1(\pll.ext_trim[17] ), + .S(\pll.dco ), + .X(\pll.itrim[17] ) + ); + sky130_fd_sc_hd__mux2_2 _20544_ ( + .A0(_04580_), + .A1(\pll.ext_trim[18] ), + .S(\pll.dco ), + .X(\pll.itrim[18] ) + ); + sky130_fd_sc_hd__mux2_2 _20545_ ( + .A0(_04581_), + .A1(\pll.ext_trim[19] ), + .S(\pll.dco ), + .X(\pll.itrim[19] ) + ); + sky130_fd_sc_hd__mux2_2 _20546_ ( + .A0(_04582_), + .A1(\pll.ext_trim[20] ), + .S(\pll.dco ), + .X(\pll.itrim[20] ) + ); + sky130_fd_sc_hd__mux2_2 _20547_ ( + .A0(_04583_), + .A1(\pll.ext_trim[21] ), + .S(\pll.dco ), + .X(\pll.itrim[21] ) + ); + sky130_fd_sc_hd__mux2_2 _20548_ ( + .A0(_04584_), + .A1(\pll.ext_trim[22] ), + .S(\pll.dco ), + .X(\pll.itrim[22] ) + ); + sky130_fd_sc_hd__mux2_2 _20549_ ( + .A0(_04585_), + .A1(\pll.ext_trim[23] ), + .S(\pll.dco ), + .X(\pll.itrim[23] ) + ); + sky130_fd_sc_hd__mux2_2 _20550_ ( + .A0(_04586_), + .A1(\pll.ext_trim[24] ), + .S(\pll.dco ), + .X(\pll.itrim[24] ) + ); + sky130_fd_sc_hd__mux2_2 _20551_ ( + .A0(_04587_), + .A1(\pll.ext_trim[25] ), + .S(\pll.dco ), + .X(\pll.itrim[25] ) + ); + sky130_fd_sc_hd__mux2_2 _20552_ ( + .A0(_04588_), + .A1(\mgmt_io_out_hk[0] ), + .S(_04589_), + .X(_04590_) + ); + sky130_fd_sc_hd__mux2_2 _20553_ ( + .A0(\mgmt_io_out_hk[0] ), + .A1(_04590_), + .S(\mgmt_io_oeb_hk[0] ), + .X(_04591_) + ); + sky130_fd_sc_hd__mux2_2 _20554_ ( + .A0(\user_io_out[0] ), + .A1(_04591_), + .S(\gpio_control_bidir_1[0].mgmt_ena ), + .X(\gpio_control_bidir_1[0].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20555_ ( + .A0(\user_io_oeb[0] ), + .A1(_04592_), + .S(\gpio_control_bidir_1[0].mgmt_ena ), + .X(\gpio_control_bidir_1[0].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20556_ ( + .A0(_04593_), + .A1(\mgmt_io_out_hk[1] ), + .S(_04594_), + .X(_04595_) + ); + sky130_fd_sc_hd__mux2_2 _20557_ ( + .A0(\mgmt_io_out_hk[1] ), + .A1(_04595_), + .S(\mgmt_io_oeb_hk[1] ), + .X(_04596_) + ); + sky130_fd_sc_hd__mux2_2 _20558_ ( + .A0(\user_io_out[1] ), + .A1(_04596_), + .S(\gpio_control_bidir_1[1].mgmt_ena ), + .X(\gpio_control_bidir_1[1].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20559_ ( + .A0(\user_io_oeb[1] ), + .A1(_04597_), + .S(\gpio_control_bidir_1[1].mgmt_ena ), + .X(\gpio_control_bidir_1[1].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20560_ ( + .A0(_04598_), + .A1(\mgmt_io_out_hk[2] ), + .S(_04599_), + .X(_04600_) + ); + sky130_fd_sc_hd__mux2_2 _20561_ ( + .A0(\mgmt_io_out_hk[2] ), + .A1(_04600_), + .S(\gpio_control_in_1a[0].mgmt_gpio_oeb ), + .X(_04601_) + ); + sky130_fd_sc_hd__mux2_2 _20562_ ( + .A0(\user_io_out[2] ), + .A1(_04601_), + .S(\gpio_control_in_1a[0].mgmt_ena ), + .X(\gpio_control_in_1a[0].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20563_ ( + .A0(\user_io_oeb[2] ), + .A1(_04602_), + .S(\gpio_control_in_1a[0].mgmt_ena ), + .X(\gpio_control_in_1a[0].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20564_ ( + .A0(_04603_), + .A1(\mgmt_io_out_hk[3] ), + .S(_04604_), + .X(_04605_) + ); + sky130_fd_sc_hd__mux2_2 _20565_ ( + .A0(\mgmt_io_out_hk[3] ), + .A1(_04605_), + .S(\gpio_control_in_1a[1].mgmt_gpio_oeb ), + .X(_04606_) + ); + sky130_fd_sc_hd__mux2_2 _20566_ ( + .A0(\user_io_out[3] ), + .A1(_04606_), + .S(\gpio_control_in_1a[1].mgmt_ena ), + .X(\gpio_control_in_1a[1].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20567_ ( + .A0(\user_io_oeb[3] ), + .A1(_04607_), + .S(\gpio_control_in_1a[1].mgmt_ena ), + .X(\gpio_control_in_1a[1].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20568_ ( + .A0(_04608_), + .A1(\mgmt_io_out_hk[4] ), + .S(_04609_), + .X(_04610_) + ); + sky130_fd_sc_hd__mux2_2 _20569_ ( + .A0(\mgmt_io_out_hk[4] ), + .A1(_04610_), + .S(\gpio_control_in_1a[2].mgmt_gpio_oeb ), + .X(_04611_) + ); + sky130_fd_sc_hd__mux2_2 _20570_ ( + .A0(\user_io_out[4] ), + .A1(_04611_), + .S(\gpio_control_in_1a[2].mgmt_ena ), + .X(\gpio_control_in_1a[2].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20571_ ( + .A0(\user_io_oeb[4] ), + .A1(_04612_), + .S(\gpio_control_in_1a[2].mgmt_ena ), + .X(\gpio_control_in_1a[2].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20572_ ( + .A0(_04613_), + .A1(\mgmt_io_out_hk[5] ), + .S(_04614_), + .X(_04615_) + ); + sky130_fd_sc_hd__mux2_2 _20573_ ( + .A0(\mgmt_io_out_hk[5] ), + .A1(_04615_), + .S(\gpio_control_in_1a[3].mgmt_gpio_oeb ), + .X(_04616_) + ); + sky130_fd_sc_hd__mux2_2 _20574_ ( + .A0(\user_io_out[5] ), + .A1(_04616_), + .S(\gpio_control_in_1a[3].mgmt_ena ), + .X(\gpio_control_in_1a[3].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20575_ ( + .A0(\user_io_oeb[5] ), + .A1(_04617_), + .S(\gpio_control_in_1a[3].mgmt_ena ), + .X(\gpio_control_in_1a[3].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20576_ ( + .A0(_04618_), + .A1(\mgmt_io_out_hk[6] ), + .S(_04619_), + .X(_04620_) + ); + sky130_fd_sc_hd__mux2_2 _20577_ ( + .A0(\mgmt_io_out_hk[6] ), + .A1(_04620_), + .S(\gpio_control_in_1a[4].mgmt_gpio_oeb ), + .X(_04621_) + ); + sky130_fd_sc_hd__mux2_2 _20578_ ( + .A0(\user_io_out[6] ), + .A1(_04621_), + .S(\gpio_control_in_1a[4].mgmt_ena ), + .X(\gpio_control_in_1a[4].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20579_ ( + .A0(\user_io_oeb[6] ), + .A1(_04622_), + .S(\gpio_control_in_1a[4].mgmt_ena ), + .X(\gpio_control_in_1a[4].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20580_ ( + .A0(_04623_), + .A1(\mgmt_io_out_hk[7] ), + .S(_04624_), + .X(_04625_) + ); + sky130_fd_sc_hd__mux2_2 _20581_ ( + .A0(\mgmt_io_out_hk[7] ), + .A1(_04625_), + .S(\gpio_control_in_1a[5].mgmt_gpio_oeb ), + .X(_04626_) + ); + sky130_fd_sc_hd__mux2_2 _20582_ ( + .A0(\user_io_out[7] ), + .A1(_04626_), + .S(\gpio_control_in_1a[5].mgmt_ena ), + .X(\gpio_control_in_1a[5].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20583_ ( + .A0(\user_io_oeb[7] ), + .A1(_04627_), + .S(\gpio_control_in_1a[5].mgmt_ena ), + .X(\gpio_control_in_1a[5].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20584_ ( + .A0(_04628_), + .A1(\mgmt_io_out_hk[8] ), + .S(_04629_), + .X(_04630_) + ); + sky130_fd_sc_hd__mux2_2 _20585_ ( + .A0(\mgmt_io_out_hk[8] ), + .A1(_04630_), + .S(\gpio_control_in_1[0].mgmt_gpio_oeb ), + .X(_04631_) + ); + sky130_fd_sc_hd__mux2_2 _20586_ ( + .A0(\user_io_out[8] ), + .A1(_04631_), + .S(\gpio_control_in_1[0].mgmt_ena ), + .X(\gpio_control_in_1[0].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20587_ ( + .A0(\user_io_oeb[8] ), + .A1(_04632_), + .S(\gpio_control_in_1[0].mgmt_ena ), + .X(\gpio_control_in_1[0].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20588_ ( + .A0(_04633_), + .A1(\mgmt_io_out_hk[9] ), + .S(_04634_), + .X(_04635_) + ); + sky130_fd_sc_hd__mux2_2 _20589_ ( + .A0(\mgmt_io_out_hk[9] ), + .A1(_04635_), + .S(\gpio_control_in_1[1].mgmt_gpio_oeb ), + .X(_04636_) + ); + sky130_fd_sc_hd__mux2_2 _20590_ ( + .A0(\user_io_out[9] ), + .A1(_04636_), + .S(\gpio_control_in_1[1].mgmt_ena ), + .X(\gpio_control_in_1[1].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20591_ ( + .A0(\user_io_oeb[9] ), + .A1(_04637_), + .S(\gpio_control_in_1[1].mgmt_ena ), + .X(\gpio_control_in_1[1].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20592_ ( + .A0(_04638_), + .A1(\mgmt_io_out_hk[10] ), + .S(_04639_), + .X(_04640_) + ); + sky130_fd_sc_hd__mux2_2 _20593_ ( + .A0(\mgmt_io_out_hk[10] ), + .A1(_04640_), + .S(\gpio_control_in_1[2].mgmt_gpio_oeb ), + .X(_04641_) + ); + sky130_fd_sc_hd__mux2_2 _20594_ ( + .A0(\user_io_out[10] ), + .A1(_04641_), + .S(\gpio_control_in_1[2].mgmt_ena ), + .X(\gpio_control_in_1[2].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20595_ ( + .A0(\user_io_oeb[10] ), + .A1(_04642_), + .S(\gpio_control_in_1[2].mgmt_ena ), + .X(\gpio_control_in_1[2].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20596_ ( + .A0(_04643_), + .A1(\mgmt_io_out_hk[11] ), + .S(_04644_), + .X(_04645_) + ); + sky130_fd_sc_hd__mux2_2 _20597_ ( + .A0(\mgmt_io_out_hk[11] ), + .A1(_04645_), + .S(\gpio_control_in_1[3].mgmt_gpio_oeb ), + .X(_04646_) + ); + sky130_fd_sc_hd__mux2_2 _20598_ ( + .A0(\user_io_out[11] ), + .A1(_04646_), + .S(\gpio_control_in_1[3].mgmt_ena ), + .X(\gpio_control_in_1[3].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20599_ ( + .A0(\user_io_oeb[11] ), + .A1(_04647_), + .S(\gpio_control_in_1[3].mgmt_ena ), + .X(\gpio_control_in_1[3].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20600_ ( + .A0(_04648_), + .A1(\mgmt_io_out_hk[12] ), + .S(_04649_), + .X(_04650_) + ); + sky130_fd_sc_hd__mux2_2 _20601_ ( + .A0(\mgmt_io_out_hk[12] ), + .A1(_04650_), + .S(\gpio_control_in_1[4].mgmt_gpio_oeb ), + .X(_04651_) + ); + sky130_fd_sc_hd__mux2_2 _20602_ ( + .A0(\user_io_out[12] ), + .A1(_04651_), + .S(\gpio_control_in_1[4].mgmt_ena ), + .X(\gpio_control_in_1[4].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20603_ ( + .A0(\user_io_oeb[12] ), + .A1(_04652_), + .S(\gpio_control_in_1[4].mgmt_ena ), + .X(\gpio_control_in_1[4].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20604_ ( + .A0(_04653_), + .A1(\mgmt_io_out_hk[13] ), + .S(_04654_), + .X(_04655_) + ); + sky130_fd_sc_hd__mux2_2 _20605_ ( + .A0(\mgmt_io_out_hk[13] ), + .A1(_04655_), + .S(\gpio_control_in_1[5].mgmt_gpio_oeb ), + .X(_04656_) + ); + sky130_fd_sc_hd__mux2_2 _20606_ ( + .A0(\user_io_out[13] ), + .A1(_04656_), + .S(\gpio_control_in_1[5].mgmt_ena ), + .X(\gpio_control_in_1[5].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20607_ ( + .A0(\user_io_oeb[13] ), + .A1(_04657_), + .S(\gpio_control_in_1[5].mgmt_ena ), + .X(\gpio_control_in_1[5].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20608_ ( + .A0(_04658_), + .A1(\mgmt_io_out_hk[14] ), + .S(_04659_), + .X(_04660_) + ); + sky130_fd_sc_hd__mux2_2 _20609_ ( + .A0(\mgmt_io_out_hk[14] ), + .A1(_04660_), + .S(\gpio_control_in_1[6].mgmt_gpio_oeb ), + .X(_04661_) + ); + sky130_fd_sc_hd__mux2_2 _20610_ ( + .A0(\user_io_out[14] ), + .A1(_04661_), + .S(\gpio_control_in_1[6].mgmt_ena ), + .X(\gpio_control_in_1[6].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20611_ ( + .A0(\user_io_oeb[14] ), + .A1(_04662_), + .S(\gpio_control_in_1[6].mgmt_ena ), + .X(\gpio_control_in_1[6].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20612_ ( + .A0(_04663_), + .A1(\mgmt_io_out_hk[15] ), + .S(_04664_), + .X(_04665_) + ); + sky130_fd_sc_hd__mux2_2 _20613_ ( + .A0(\mgmt_io_out_hk[15] ), + .A1(_04665_), + .S(\gpio_control_in_1[7].mgmt_gpio_oeb ), + .X(_04666_) + ); + sky130_fd_sc_hd__mux2_2 _20614_ ( + .A0(\user_io_out[15] ), + .A1(_04666_), + .S(\gpio_control_in_1[7].mgmt_ena ), + .X(\gpio_control_in_1[7].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20615_ ( + .A0(\user_io_oeb[15] ), + .A1(_04667_), + .S(\gpio_control_in_1[7].mgmt_ena ), + .X(\gpio_control_in_1[7].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20616_ ( + .A0(_04668_), + .A1(\mgmt_io_out_hk[16] ), + .S(_04669_), + .X(_04670_) + ); + sky130_fd_sc_hd__mux2_2 _20617_ ( + .A0(\mgmt_io_out_hk[16] ), + .A1(_04670_), + .S(\gpio_control_in_1[8].mgmt_gpio_oeb ), + .X(_04671_) + ); + sky130_fd_sc_hd__mux2_2 _20618_ ( + .A0(\user_io_out[16] ), + .A1(_04671_), + .S(\gpio_control_in_1[8].mgmt_ena ), + .X(\gpio_control_in_1[8].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20619_ ( + .A0(\user_io_oeb[16] ), + .A1(_04672_), + .S(\gpio_control_in_1[8].mgmt_ena ), + .X(\gpio_control_in_1[8].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20620_ ( + .A0(_04673_), + .A1(\mgmt_io_out_hk[17] ), + .S(_04674_), + .X(_04675_) + ); + sky130_fd_sc_hd__mux2_2 _20621_ ( + .A0(\mgmt_io_out_hk[17] ), + .A1(_04675_), + .S(\gpio_control_in_1[9].mgmt_gpio_oeb ), + .X(_04676_) + ); + sky130_fd_sc_hd__mux2_2 _20622_ ( + .A0(\user_io_out[17] ), + .A1(_04676_), + .S(\gpio_control_in_1[9].mgmt_ena ), + .X(\gpio_control_in_1[9].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20623_ ( + .A0(\user_io_oeb[17] ), + .A1(_04677_), + .S(\gpio_control_in_1[9].mgmt_ena ), + .X(\gpio_control_in_1[9].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20624_ ( + .A0(_04678_), + .A1(\mgmt_io_out_hk[18] ), + .S(_04679_), + .X(_04680_) + ); + sky130_fd_sc_hd__mux2_2 _20625_ ( + .A0(\mgmt_io_out_hk[18] ), + .A1(_04680_), + .S(\gpio_control_in_1[10].mgmt_gpio_oeb ), + .X(_04681_) + ); + sky130_fd_sc_hd__mux2_2 _20626_ ( + .A0(\user_io_out[18] ), + .A1(_04681_), + .S(\gpio_control_in_1[10].mgmt_ena ), + .X(\gpio_control_in_1[10].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20627_ ( + .A0(\user_io_oeb[18] ), + .A1(_04682_), + .S(\gpio_control_in_1[10].mgmt_ena ), + .X(\gpio_control_in_1[10].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20628_ ( + .A0(_04683_), + .A1(\mgmt_gpio_out_buf[16] ), + .S(_04684_), + .X(_04685_) + ); + sky130_fd_sc_hd__mux2_2 _20629_ ( + .A0(\mgmt_gpio_out_buf[16] ), + .A1(_04685_), + .S(\mgmt_gpio_oeb_buf[0] ), + .X(_04686_) + ); + sky130_fd_sc_hd__mux2_2 _20630_ ( + .A0(\user_io_out[35] ), + .A1(_04686_), + .S(\gpio_control_bidir_2[0].mgmt_ena ), + .X(\gpio_control_bidir_2[0].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20631_ ( + .A0(\user_io_oeb[35] ), + .A1(_04687_), + .S(\gpio_control_bidir_2[0].mgmt_ena ), + .X(\gpio_control_bidir_2[0].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20632_ ( + .A0(_04688_), + .A1(\mgmt_gpio_out_buf[17] ), + .S(_04689_), + .X(_04690_) + ); + sky130_fd_sc_hd__mux2_2 _20633_ ( + .A0(\mgmt_gpio_out_buf[17] ), + .A1(_04690_), + .S(\mgmt_gpio_oeb_buf[1] ), + .X(_04691_) + ); + sky130_fd_sc_hd__mux2_2 _20634_ ( + .A0(\user_io_out[36] ), + .A1(_04691_), + .S(\gpio_control_bidir_2[1].mgmt_ena ), + .X(\gpio_control_bidir_2[1].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20635_ ( + .A0(\user_io_oeb[36] ), + .A1(_04692_), + .S(\gpio_control_bidir_2[1].mgmt_ena ), + .X(\gpio_control_bidir_2[1].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20636_ ( + .A0(_04693_), + .A1(\mgmt_gpio_out_buf[18] ), + .S(_04694_), + .X(_04695_) + ); + sky130_fd_sc_hd__mux2_2 _20637_ ( + .A0(\mgmt_gpio_out_buf[18] ), + .A1(_04695_), + .S(\mgmt_gpio_oeb_buf[2] ), + .X(_04696_) + ); + sky130_fd_sc_hd__mux2_2 _20638_ ( + .A0(\user_io_out[37] ), + .A1(_04696_), + .S(\gpio_control_bidir_2[2].mgmt_ena ), + .X(\gpio_control_bidir_2[2].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20639_ ( + .A0(\user_io_oeb[37] ), + .A1(_04697_), + .S(\gpio_control_bidir_2[2].mgmt_ena ), + .X(\gpio_control_bidir_2[2].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20640_ ( + .A0(_04698_), + .A1(\mgmt_gpio_out_buf[0] ), + .S(_04699_), + .X(_04700_) + ); + sky130_fd_sc_hd__mux2_2 _20641_ ( + .A0(\mgmt_gpio_out_buf[0] ), + .A1(_04700_), + .S(\gpio_control_in_2[0].mgmt_gpio_oeb ), + .X(_04701_) + ); + sky130_fd_sc_hd__mux2_2 _20642_ ( + .A0(\user_io_out[19] ), + .A1(_04701_), + .S(\gpio_control_in_2[0].mgmt_ena ), + .X(\gpio_control_in_2[0].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20643_ ( + .A0(\user_io_oeb[19] ), + .A1(_04702_), + .S(\gpio_control_in_2[0].mgmt_ena ), + .X(\gpio_control_in_2[0].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20644_ ( + .A0(_04703_), + .A1(\mgmt_gpio_out_buf[1] ), + .S(_04704_), + .X(_04705_) + ); + sky130_fd_sc_hd__mux2_2 _20645_ ( + .A0(\mgmt_gpio_out_buf[1] ), + .A1(_04705_), + .S(\gpio_control_in_2[1].mgmt_gpio_oeb ), + .X(_04706_) + ); + sky130_fd_sc_hd__mux2_2 _20646_ ( + .A0(\user_io_out[20] ), + .A1(_04706_), + .S(\gpio_control_in_2[1].mgmt_ena ), + .X(\gpio_control_in_2[1].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20647_ ( + .A0(\user_io_oeb[20] ), + .A1(_04707_), + .S(\gpio_control_in_2[1].mgmt_ena ), + .X(\gpio_control_in_2[1].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20648_ ( + .A0(_04708_), + .A1(\mgmt_gpio_out_buf[2] ), + .S(_04709_), + .X(_04710_) + ); + sky130_fd_sc_hd__mux2_2 _20649_ ( + .A0(\mgmt_gpio_out_buf[2] ), + .A1(_04710_), + .S(\gpio_control_in_2[2].mgmt_gpio_oeb ), + .X(_04711_) + ); + sky130_fd_sc_hd__mux2_2 _20650_ ( + .A0(\user_io_out[21] ), + .A1(_04711_), + .S(\gpio_control_in_2[2].mgmt_ena ), + .X(\gpio_control_in_2[2].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20651_ ( + .A0(\user_io_oeb[21] ), + .A1(_04712_), + .S(\gpio_control_in_2[2].mgmt_ena ), + .X(\gpio_control_in_2[2].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20652_ ( + .A0(_04713_), + .A1(\mgmt_gpio_out_buf[3] ), + .S(_04714_), + .X(_04715_) + ); + sky130_fd_sc_hd__mux2_2 _20653_ ( + .A0(\mgmt_gpio_out_buf[3] ), + .A1(_04715_), + .S(\gpio_control_in_2[3].mgmt_gpio_oeb ), + .X(_04716_) + ); + sky130_fd_sc_hd__mux2_2 _20654_ ( + .A0(\user_io_out[22] ), + .A1(_04716_), + .S(\gpio_control_in_2[3].mgmt_ena ), + .X(\gpio_control_in_2[3].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20655_ ( + .A0(\user_io_oeb[22] ), + .A1(_04717_), + .S(\gpio_control_in_2[3].mgmt_ena ), + .X(\gpio_control_in_2[3].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20656_ ( + .A0(_04718_), + .A1(\mgmt_gpio_out_buf[4] ), + .S(_04719_), + .X(_04720_) + ); + sky130_fd_sc_hd__mux2_2 _20657_ ( + .A0(\mgmt_gpio_out_buf[4] ), + .A1(_04720_), + .S(\gpio_control_in_2[4].mgmt_gpio_oeb ), + .X(_04721_) + ); + sky130_fd_sc_hd__mux2_2 _20658_ ( + .A0(\user_io_out[23] ), + .A1(_04721_), + .S(\gpio_control_in_2[4].mgmt_ena ), + .X(\gpio_control_in_2[4].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20659_ ( + .A0(\user_io_oeb[23] ), + .A1(_04722_), + .S(\gpio_control_in_2[4].mgmt_ena ), + .X(\gpio_control_in_2[4].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20660_ ( + .A0(_04723_), + .A1(\mgmt_gpio_out_buf[5] ), + .S(_04724_), + .X(_04725_) + ); + sky130_fd_sc_hd__mux2_2 _20661_ ( + .A0(\mgmt_gpio_out_buf[5] ), + .A1(_04725_), + .S(\gpio_control_in_2[5].mgmt_gpio_oeb ), + .X(_04726_) + ); + sky130_fd_sc_hd__mux2_2 _20662_ ( + .A0(\user_io_out[24] ), + .A1(_04726_), + .S(\gpio_control_in_2[5].mgmt_ena ), + .X(\gpio_control_in_2[5].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20663_ ( + .A0(\user_io_oeb[24] ), + .A1(_04727_), + .S(\gpio_control_in_2[5].mgmt_ena ), + .X(\gpio_control_in_2[5].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20664_ ( + .A0(_04728_), + .A1(\mgmt_gpio_out_buf[6] ), + .S(_04729_), + .X(_04730_) + ); + sky130_fd_sc_hd__mux2_2 _20665_ ( + .A0(\mgmt_gpio_out_buf[6] ), + .A1(_04730_), + .S(\gpio_control_in_2[6].mgmt_gpio_oeb ), + .X(_04731_) + ); + sky130_fd_sc_hd__mux2_2 _20666_ ( + .A0(\user_io_out[25] ), + .A1(_04731_), + .S(\gpio_control_in_2[6].mgmt_ena ), + .X(\gpio_control_in_2[6].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20667_ ( + .A0(\user_io_oeb[25] ), + .A1(_04732_), + .S(\gpio_control_in_2[6].mgmt_ena ), + .X(\gpio_control_in_2[6].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20668_ ( + .A0(_04733_), + .A1(\mgmt_gpio_out_buf[7] ), + .S(_04734_), + .X(_04735_) + ); + sky130_fd_sc_hd__mux2_2 _20669_ ( + .A0(\mgmt_gpio_out_buf[7] ), + .A1(_04735_), + .S(\gpio_control_in_2[7].mgmt_gpio_oeb ), + .X(_04736_) + ); + sky130_fd_sc_hd__mux2_2 _20670_ ( + .A0(\user_io_out[26] ), + .A1(_04736_), + .S(\gpio_control_in_2[7].mgmt_ena ), + .X(\gpio_control_in_2[7].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20671_ ( + .A0(\user_io_oeb[26] ), + .A1(_04737_), + .S(\gpio_control_in_2[7].mgmt_ena ), + .X(\gpio_control_in_2[7].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20672_ ( + .A0(_04738_), + .A1(\mgmt_gpio_out_buf[8] ), + .S(_04739_), + .X(_04740_) + ); + sky130_fd_sc_hd__mux2_2 _20673_ ( + .A0(\mgmt_gpio_out_buf[8] ), + .A1(_04740_), + .S(\gpio_control_in_2[8].mgmt_gpio_oeb ), + .X(_04741_) + ); + sky130_fd_sc_hd__mux2_2 _20674_ ( + .A0(\user_io_out[27] ), + .A1(_04741_), + .S(\gpio_control_in_2[8].mgmt_ena ), + .X(\gpio_control_in_2[8].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20675_ ( + .A0(\user_io_oeb[27] ), + .A1(_04742_), + .S(\gpio_control_in_2[8].mgmt_ena ), + .X(\gpio_control_in_2[8].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20676_ ( + .A0(_04743_), + .A1(\mgmt_gpio_out_buf[9] ), + .S(_04744_), + .X(_04745_) + ); + sky130_fd_sc_hd__mux2_2 _20677_ ( + .A0(\mgmt_gpio_out_buf[9] ), + .A1(_04745_), + .S(\gpio_control_in_2[9].mgmt_gpio_oeb ), + .X(_04746_) + ); + sky130_fd_sc_hd__mux2_2 _20678_ ( + .A0(\user_io_out[28] ), + .A1(_04746_), + .S(\gpio_control_in_2[9].mgmt_ena ), + .X(\gpio_control_in_2[9].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20679_ ( + .A0(\user_io_oeb[28] ), + .A1(_04747_), + .S(\gpio_control_in_2[9].mgmt_ena ), + .X(\gpio_control_in_2[9].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20680_ ( + .A0(_04748_), + .A1(\mgmt_gpio_out_buf[10] ), + .S(_04749_), + .X(_04750_) + ); + sky130_fd_sc_hd__mux2_2 _20681_ ( + .A0(\mgmt_gpio_out_buf[10] ), + .A1(_04750_), + .S(\gpio_control_in_2[10].mgmt_gpio_oeb ), + .X(_04751_) + ); + sky130_fd_sc_hd__mux2_2 _20682_ ( + .A0(\user_io_out[29] ), + .A1(_04751_), + .S(\gpio_control_in_2[10].mgmt_ena ), + .X(\gpio_control_in_2[10].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20683_ ( + .A0(\user_io_oeb[29] ), + .A1(_04752_), + .S(\gpio_control_in_2[10].mgmt_ena ), + .X(\gpio_control_in_2[10].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20684_ ( + .A0(_04753_), + .A1(\mgmt_gpio_out_buf[11] ), + .S(_04754_), + .X(_04755_) + ); + sky130_fd_sc_hd__mux2_2 _20685_ ( + .A0(\mgmt_gpio_out_buf[11] ), + .A1(_04755_), + .S(\gpio_control_in_2[11].mgmt_gpio_oeb ), + .X(_04756_) + ); + sky130_fd_sc_hd__mux2_2 _20686_ ( + .A0(\user_io_out[30] ), + .A1(_04756_), + .S(\gpio_control_in_2[11].mgmt_ena ), + .X(\gpio_control_in_2[11].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20687_ ( + .A0(\user_io_oeb[30] ), + .A1(_04757_), + .S(\gpio_control_in_2[11].mgmt_ena ), + .X(\gpio_control_in_2[11].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20688_ ( + .A0(_04758_), + .A1(\mgmt_gpio_out_buf[12] ), + .S(_04759_), + .X(_04760_) + ); + sky130_fd_sc_hd__mux2_2 _20689_ ( + .A0(\mgmt_gpio_out_buf[12] ), + .A1(_04760_), + .S(\gpio_control_in_2[12].mgmt_gpio_oeb ), + .X(_04761_) + ); + sky130_fd_sc_hd__mux2_2 _20690_ ( + .A0(\user_io_out[31] ), + .A1(_04761_), + .S(\gpio_control_in_2[12].mgmt_ena ), + .X(\gpio_control_in_2[12].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20691_ ( + .A0(\user_io_oeb[31] ), + .A1(_04762_), + .S(\gpio_control_in_2[12].mgmt_ena ), + .X(\gpio_control_in_2[12].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20692_ ( + .A0(_04763_), + .A1(\mgmt_gpio_out_buf[13] ), + .S(_04764_), + .X(_04765_) + ); + sky130_fd_sc_hd__mux2_2 _20693_ ( + .A0(\mgmt_gpio_out_buf[13] ), + .A1(_04765_), + .S(\gpio_control_in_2[13].mgmt_gpio_oeb ), + .X(_04766_) + ); + sky130_fd_sc_hd__mux2_2 _20694_ ( + .A0(\user_io_out[32] ), + .A1(_04766_), + .S(\gpio_control_in_2[13].mgmt_ena ), + .X(\gpio_control_in_2[13].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20695_ ( + .A0(\user_io_oeb[32] ), + .A1(_04767_), + .S(\gpio_control_in_2[13].mgmt_ena ), + .X(\gpio_control_in_2[13].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20696_ ( + .A0(_04768_), + .A1(\mgmt_gpio_out_buf[14] ), + .S(_04769_), + .X(_04770_) + ); + sky130_fd_sc_hd__mux2_2 _20697_ ( + .A0(\mgmt_gpio_out_buf[14] ), + .A1(_04770_), + .S(\gpio_control_in_2[14].mgmt_gpio_oeb ), + .X(_04771_) + ); + sky130_fd_sc_hd__mux2_2 _20698_ ( + .A0(\user_io_out[33] ), + .A1(_04771_), + .S(\gpio_control_in_2[14].mgmt_ena ), + .X(\gpio_control_in_2[14].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20699_ ( + .A0(\user_io_oeb[33] ), + .A1(_04772_), + .S(\gpio_control_in_2[14].mgmt_ena ), + .X(\gpio_control_in_2[14].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20700_ ( + .A0(_04773_), + .A1(\mgmt_gpio_out_buf[15] ), + .S(_04774_), + .X(_04775_) + ); + sky130_fd_sc_hd__mux2_2 _20701_ ( + .A0(\mgmt_gpio_out_buf[15] ), + .A1(_04775_), + .S(\gpio_control_in_2[15].mgmt_gpio_oeb ), + .X(_04776_) + ); + sky130_fd_sc_hd__mux2_2 _20702_ ( + .A0(\user_io_out[34] ), + .A1(_04776_), + .S(\gpio_control_in_2[15].mgmt_ena ), + .X(\gpio_control_in_2[15].pad_gpio_out ) + ); + sky130_fd_sc_hd__mux2_2 _20703_ ( + .A0(\user_io_oeb[34] ), + .A1(_04777_), + .S(\gpio_control_in_2[15].mgmt_ena ), + .X(\gpio_control_in_2[15].pad_gpio_outenb ) + ); + sky130_fd_sc_hd__mux2_2 _20704_ ( + .A0(_04778_), + .A1(_05109_), + .S(_04779_), + .X(\soc.core.mgmtsoc_litespimmap_burst_cs_litespi_next_value0 ) + ); + sky130_fd_sc_hd__mux2_2 _20705_ ( + .A0(_04782_), + .A1(_04780_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[0] ) + ); + sky130_fd_sc_hd__mux2_2 _20706_ ( + .A0(_04784_), + .A1(_04783_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[1] ) + ); + sky130_fd_sc_hd__mux2_2 _20707_ ( + .A0(_04786_), + .A1(_04785_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[2] ) + ); + sky130_fd_sc_hd__mux2_2 _20708_ ( + .A0(_04788_), + .A1(_04787_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[3] ) + ); + sky130_fd_sc_hd__mux2_2 _20709_ ( + .A0(_04790_), + .A1(_04789_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[4] ) + ); + sky130_fd_sc_hd__mux2_2 _20710_ ( + .A0(_04792_), + .A1(_04791_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[5] ) + ); + sky130_fd_sc_hd__mux2_2 _20711_ ( + .A0(_04794_), + .A1(_04793_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[6] ) + ); + sky130_fd_sc_hd__mux2_2 _20712_ ( + .A0(_04795_), + .A1(_04793_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[7] ) + ); + sky130_fd_sc_hd__mux2_2 _20713_ ( + .A0(_05044_), + .A1(_05132_), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .X(_04796_) + ); + sky130_fd_sc_hd__mux2_2 _20714_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .A1(_03592_), + .S(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .X(_04798_) + ); + sky130_fd_sc_hd__mux2_2 _20715_ ( + .A0(_04799_), + .A1(_04797_), + .S(_04798_), + .X(_04800_) + ); + sky130_fd_sc_hd__mux2_2 _20716_ ( + .A0(_04803_), + .A1(_04800_), + .S(_04804_), + .X(\soc.core.VexRiscv._zz_execute_BRANCH_DO_1 ) + ); + sky130_fd_sc_hd__mux2_2 _20717_ ( + .A0(_04806_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[8] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[8] ) + ); + sky130_fd_sc_hd__mux2_2 _20718_ ( + .A0(_04807_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[9] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[9] ) + ); + sky130_fd_sc_hd__mux2_2 _20719_ ( + .A0(_04808_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[10] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[10] ) + ); + sky130_fd_sc_hd__mux2_2 _20720_ ( + .A0(_04809_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[11] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[11] ) + ); + sky130_fd_sc_hd__mux2_2 _20721_ ( + .A0(_04810_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[12] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[12] ) + ); + sky130_fd_sc_hd__mux2_2 _20722_ ( + .A0(_04811_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[13] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[13] ) + ); + sky130_fd_sc_hd__mux2_2 _20723_ ( + .A0(_04812_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[14] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[14] ) + ); + sky130_fd_sc_hd__mux2_2 _20724_ ( + .A0(_04813_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[15] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[15] ) + ); + sky130_fd_sc_hd__mux2_2 _20725_ ( + .A0(_04814_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[16] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[16] ) + ); + sky130_fd_sc_hd__mux2_2 _20726_ ( + .A0(_04815_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[17] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[17] ) + ); + sky130_fd_sc_hd__mux2_2 _20727_ ( + .A0(_04816_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[18] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[18] ) + ); + sky130_fd_sc_hd__mux2_2 _20728_ ( + .A0(_04817_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[19] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[19] ) + ); + sky130_fd_sc_hd__mux2_2 _20729_ ( + .A0(_04818_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[20] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[20] ) + ); + sky130_fd_sc_hd__mux2_2 _20730_ ( + .A0(_04819_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[21] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[21] ) + ); + sky130_fd_sc_hd__mux2_2 _20731_ ( + .A0(_04820_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[22] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[22] ) + ); + sky130_fd_sc_hd__mux2_2 _20732_ ( + .A0(_04821_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[23] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[23] ) + ); + sky130_fd_sc_hd__mux2_2 _20733_ ( + .A0(_04806_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[24] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[24] ) + ); + sky130_fd_sc_hd__mux2_2 _20734_ ( + .A0(_04807_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[25] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[25] ) + ); + sky130_fd_sc_hd__mux2_2 _20735_ ( + .A0(_04808_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[26] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[26] ) + ); + sky130_fd_sc_hd__mux2_2 _20736_ ( + .A0(_04809_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[27] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[27] ) + ); + sky130_fd_sc_hd__mux2_2 _20737_ ( + .A0(_04810_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[28] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[28] ) + ); + sky130_fd_sc_hd__mux2_2 _20738_ ( + .A0(_04811_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[29] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[29] ) + ); + sky130_fd_sc_hd__mux2_2 _20739_ ( + .A0(_04812_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[30] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[30] ) + ); + sky130_fd_sc_hd__mux2_2 _20740_ ( + .A0(_04813_), + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[31] ), + .S(_04805_), + .X(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[31] ) + ); + sky130_fd_sc_hd__mux2_2 _20741_ ( + .A0(_04824_), + .A1(_04823_), + .S(_04822_), + .X(\soc.core.litespi_next_state[1] ) + ); + sky130_fd_sc_hd__mux2_2 _20742_ ( + .A0(_04825_), + .A1(_04823_), + .S(_04822_), + .X(\soc.core.litespi_next_state[2] ) + ); + sky130_fd_sc_hd__mux2_2 _20743_ ( + .A0(_03060_), + .A1(_05117_), + .S(_04781_), + .X(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ) + ); + sky130_fd_sc_hd__mux2_2 _20744_ ( + .A0(\soc.core.uartwishbonebridge_state[0] ), + .A1(_04959_), + .S(\soc.core.uartwishbonebridge_state[1] ), + .X(_04826_) + ); + sky130_fd_sc_hd__mux2_2 _20745_ ( + .A0(\soc.core.uartwishbonebridge_state[2] ), + .A1(_05124_), + .S(\soc.core.uartwishbonebridge_state[0] ), + .X(_04827_) + ); + sky130_fd_sc_hd__mux2_2 _20746_ ( + .A0(_03033_), + .A1(_03034_), + .S(\soc.core.spi_master_mosi_sel[2] ), + .X(_04830_) + ); + sky130_fd_sc_hd__mux2_2 _20747_ ( + .A0(_04832_), + .A1(\soc.core.spi_master_mosi_data[7] ), + .S(_04831_), + .X(\soc.core.sync_array_muxed ) + ); + sky130_fd_sc_hd__mux2_2 _20748_ ( + .A0(_04834_), + .A1(\soc.core.VexRiscv.dBusWishbone_CYC ), + .S(_04833_), + .X(_10886_) + ); + sky130_fd_sc_hd__mux2_2 _20749_ ( + .A0(_04835_), + .A1(_04961_), + .S(_04833_), + .X(_10887_) + ); + sky130_fd_sc_hd__mux2_2 _20750_ ( + .A0(\soc.core.litespi_state[0] ), + .A1(_05106_), + .S(\soc.core.litespi_state[2] ), + .X(_04837_) + ); + sky130_fd_sc_hd__mux2_2 _20751_ ( + .A0(_04838_), + .A1(_04836_), + .S(\soc.core.litespi_grant ), + .X(_00139_) + ); + sky130_fd_sc_hd__mux2_2 _20752_ ( + .A0(_05134_), + .A1(_05004_), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .X(_04839_) + ); + sky130_fd_sc_hd__mux2_2 _20753_ ( + .A0(_04828_), + .A1(_04840_), + .S(_04829_), + .X(_04841_) + ); + sky130_fd_sc_hd__mux2_2 _20754_ ( + .A0(_04842_), + .A1(_05028_), + .S(_04802_), + .X(_04843_) + ); + sky130_fd_sc_hd__mux2_2 _20755_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[2] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[2] ), + .S(_04801_), + .X(_04844_) + ); + sky130_fd_sc_hd__mux2_2 _20756_ ( + .A0(_04845_), + .A1(_05031_), + .S(_04802_), + .X(_04846_) + ); + sky130_fd_sc_hd__mux2_2 _20757_ ( + .A0(_05034_), + .A1(_03629_), + .S(_04801_), + .X(_04847_) + ); + sky130_fd_sc_hd__mux2_2 _20758_ ( + .A0(_04848_), + .A1(_05035_), + .S(_04802_), + .X(_04849_) + ); + sky130_fd_sc_hd__mux2_2 _20759_ ( + .A0(_05038_), + .A1(_03625_), + .S(_04801_), + .X(_04850_) + ); + sky130_fd_sc_hd__mux2_2 _20760_ ( + .A0(_04851_), + .A1(_05039_), + .S(_04802_), + .X(_04852_) + ); + sky130_fd_sc_hd__mux2_2 _20761_ ( + .A0(_03622_), + .A1(_04853_), + .S(_04801_), + .X(_04854_) + ); + sky130_fd_sc_hd__mux2_2 _20762_ ( + .A0(_03618_), + .A1(_04855_), + .S(_04801_), + .X(_04856_) + ); + sky130_fd_sc_hd__mux2_2 _20763_ ( + .A0(_03614_), + .A1(_04857_), + .S(_04801_), + .X(_04858_) + ); + sky130_fd_sc_hd__mux2_2 _20764_ ( + .A0(_03610_), + .A1(_04859_), + .S(_04801_), + .X(_04860_) + ); + sky130_fd_sc_hd__mux2_2 _20765_ ( + .A0(_03606_), + .A1(_04861_), + .S(_04801_), + .X(_04862_) + ); + sky130_fd_sc_hd__mux2_2 _20766_ ( + .A0(_03602_), + .A1(_04863_), + .S(_04801_), + .X(_04864_) + ); + sky130_fd_sc_hd__mux2_2 _20767_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[11] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[11] ), + .S(_04801_), + .X(_04865_) + ); + sky130_fd_sc_hd__mux2_2 _20768_ ( + .A0(_04866_), + .A1(_04929_), + .S(_04802_), + .X(_04867_) + ); + sky130_fd_sc_hd__mux2_2 _20769_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[12] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[12] ), + .S(_04801_), + .X(_04868_) + ); + sky130_fd_sc_hd__mux2_2 _20770_ ( + .A0(_04869_), + .A1(_03512_), + .S(_04802_), + .X(_04870_) + ); + sky130_fd_sc_hd__mux2_2 _20771_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[13] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[13] ), + .S(_04801_), + .X(_04871_) + ); + sky130_fd_sc_hd__mux2_2 _20772_ ( + .A0(_04872_), + .A1(_03512_), + .S(_04802_), + .X(_04873_) + ); + sky130_fd_sc_hd__mux2_2 _20773_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[14] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[14] ), + .S(_04801_), + .X(_04874_) + ); + sky130_fd_sc_hd__mux2_2 _20774_ ( + .A0(_04875_), + .A1(_03512_), + .S(_04802_), + .X(_04876_) + ); + sky130_fd_sc_hd__mux2_2 _20775_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[15] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[15] ), + .S(_04801_), + .X(_04877_) + ); + sky130_fd_sc_hd__mux2_2 _20776_ ( + .A0(_04878_), + .A1(_03512_), + .S(_04802_), + .X(_04879_) + ); + sky130_fd_sc_hd__mux2_2 _20777_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[16] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[16] ), + .S(_04801_), + .X(_04880_) + ); + sky130_fd_sc_hd__mux2_2 _20778_ ( + .A0(_04881_), + .A1(_03512_), + .S(_04802_), + .X(_04882_) + ); + sky130_fd_sc_hd__mux2_2 _20779_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[17] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[17] ), + .S(_04801_), + .X(_04883_) + ); + sky130_fd_sc_hd__mux2_2 _20780_ ( + .A0(_04884_), + .A1(_03512_), + .S(_04802_), + .X(_04885_) + ); + sky130_fd_sc_hd__mux2_2 _20781_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[18] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[18] ), + .S(_04801_), + .X(_04886_) + ); + sky130_fd_sc_hd__mux2_2 _20782_ ( + .A0(_04887_), + .A1(_03512_), + .S(_04802_), + .X(_04888_) + ); + sky130_fd_sc_hd__mux2_2 _20783_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[19] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[19] ), + .S(_04801_), + .X(_04889_) + ); + sky130_fd_sc_hd__mux2_2 _20784_ ( + .A0(_04890_), + .A1(_03512_), + .S(_04802_), + .X(_04891_) + ); + sky130_fd_sc_hd__mux2_2 _20785_ ( + .A0(_03559_), + .A1(_04892_), + .S(_04801_), + .X(_04893_) + ); + sky130_fd_sc_hd__mux2_2 _20786_ ( + .A0(_03555_), + .A1(_04894_), + .S(_04801_), + .X(_04895_) + ); + sky130_fd_sc_hd__mux2_2 _20787_ ( + .A0(_03551_), + .A1(_04896_), + .S(_04801_), + .X(_04897_) + ); + sky130_fd_sc_hd__mux2_2 _20788_ ( + .A0(_03547_), + .A1(_04898_), + .S(_04801_), + .X(_04899_) + ); + sky130_fd_sc_hd__mux2_2 _20789_ ( + .A0(_03543_), + .A1(_04900_), + .S(_04801_), + .X(_04901_) + ); + sky130_fd_sc_hd__mux2_2 _20790_ ( + .A0(_03539_), + .A1(_04902_), + .S(_04801_), + .X(_04903_) + ); + sky130_fd_sc_hd__mux2_2 _20791_ ( + .A0(_03535_), + .A1(_04904_), + .S(_04801_), + .X(_04905_) + ); + sky130_fd_sc_hd__mux2_2 _20792_ ( + .A0(_03531_), + .A1(_04906_), + .S(_04801_), + .X(_04907_) + ); + sky130_fd_sc_hd__mux2_2 _20793_ ( + .A0(_03527_), + .A1(_04908_), + .S(_04801_), + .X(_04909_) + ); + sky130_fd_sc_hd__mux2_2 _20794_ ( + .A0(_03523_), + .A1(_04910_), + .S(_04801_), + .X(_04911_) + ); + sky130_fd_sc_hd__mux2_2 _20795_ ( + .A0(_03519_), + .A1(_04912_), + .S(_04801_), + .X(_04913_) + ); + sky130_fd_sc_hd__mux2_2 _20796_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[31] ), + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[31] ), + .S(_04801_), + .X(_04914_) + ); + sky130_fd_sc_hd__inv_4 _20797_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[8] ), + .Y(_10888_) + ); + sky130_fd_sc_hd__inv_4 _20798_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[7] ), + .Y(_10889_) + ); + sky130_fd_sc_hd__inv_4 _20799_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[6] ), + .Y(_10890_) + ); + sky130_fd_sc_hd__inv_4 _20800_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[5] ), + .Y(_10891_) + ); + sky130_fd_sc_hd__inv_4 _20801_ ( + .A(\soc.core.VexRiscv.dBusWishbone_CYC ), + .Y(_04961_) + ); + sky130_fd_sc_hd__inv_4 _20802_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[0] ), + .Y(_05134_) + ); + sky130_fd_sc_hd__inv_4 _20803_ ( + .A(\soc.core.count[15] ), + .Y(_10892_) + ); + sky130_fd_sc_hd__inv_4 _20804_ ( + .A(\soc.core.count[14] ), + .Y(_10893_) + ); + sky130_fd_sc_hd__inv_4 _20805_ ( + .A(\soc.core.uartwishbonebridge_state[2] ), + .Y(_04959_) + ); + sky130_fd_sc_hd__inv_4 _20806_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .Y(_05124_) + ); + sky130_fd_sc_hd__inv_4 _20807_ ( + .A(\soc.core.litespi_state[0] ), + .Y(_05110_) + ); + sky130_fd_sc_hd__inv_4 _20808_ ( + .A(\soc.core.gpio_oe_storage ), + .Y(gpio_outenb_core) + ); + sky130_fd_sc_hd__inv_4 _20809_ ( + .A(\soc.core.gpio_ien_storage ), + .Y(gpio_inenb_core) + ); + sky130_fd_sc_hd__inv_4 _20810_ ( + .A(\soc.core.dbg_uart_address[29] ), + .Y(_04915_) + ); + sky130_fd_sc_hd__inv_4 _20811_ ( + .A(\soc.core.dbg_uart_address[28] ), + .Y(_04920_) + ); + sky130_fd_sc_hd__inv_4 _20812_ ( + .A(\soc.core.dbg_uart_address[27] ), + .Y(_04923_) + ); + sky130_fd_sc_hd__inv_4 _20813_ ( + .A(\soc.core.dbg_uart_address[26] ), + .Y(_04926_) + ); + sky130_fd_sc_hd__inv_4 _20814_ ( + .A(\soc.core.dbg_uart_address[25] ), + .Y(_04979_) + ); + sky130_fd_sc_hd__inv_4 _20815_ ( + .A(\soc.core.dbg_uart_address[24] ), + .Y(_04934_) + ); + sky130_fd_sc_hd__inv_4 _20816_ ( + .A(\soc.core.dbg_uart_address[23] ), + .Y(_04937_) + ); + sky130_fd_sc_hd__inv_4 _20817_ ( + .A(\soc.core.dbg_uart_address[22] ), + .Y(_04976_) + ); + sky130_fd_sc_hd__inv_4 _20818_ ( + .A(\soc.core.dbg_uart_address[21] ), + .Y(_04973_) + ); + sky130_fd_sc_hd__inv_4 _20819_ ( + .A(\soc.core.dbg_uart_address[20] ), + .Y(_04970_) + ); + sky130_fd_sc_hd__inv_4 _20820_ ( + .A(\soc.core.dbg_uart_address[19] ), + .Y(_04967_) + ); + sky130_fd_sc_hd__inv_4 _20821_ ( + .A(\soc.core.dbg_uart_address[18] ), + .Y(_04964_) + ); + sky130_fd_sc_hd__inv_4 _20822_ ( + .A(\soc.core.dbg_uart_address[17] ), + .Y(_04943_) + ); + sky130_fd_sc_hd__inv_4 _20823_ ( + .A(\soc.core.dbg_uart_address[16] ), + .Y(_04946_) + ); + sky130_fd_sc_hd__inv_4 _20824_ ( + .A(\soc.core.dbg_uart_address[15] ), + .Y(_04949_) + ); + sky130_fd_sc_hd__inv_4 _20825_ ( + .A(\soc.core.dbg_uart_address[14] ), + .Y(_04952_) + ); + sky130_fd_sc_hd__inv_4 _20826_ ( + .A(\soc.core.dbg_uart_address[13] ), + .Y(_04991_) + ); + sky130_fd_sc_hd__inv_4 _20827_ ( + .A(\soc.core.dbg_uart_address[12] ), + .Y(_04988_) + ); + sky130_fd_sc_hd__inv_4 _20828_ ( + .A(\soc.core.dbg_uart_address[11] ), + .Y(_04985_) + ); + sky130_fd_sc_hd__inv_4 _20829_ ( + .A(\soc.core.dbg_uart_address[10] ), + .Y(_04982_) + ); + sky130_fd_sc_hd__inv_4 _20830_ ( + .A(\soc.core.dbg_uart_address[9] ), + .Y(_04955_) + ); + sky130_fd_sc_hd__inv_4 _20831_ ( + .A(\soc.core.dbg_uart_address[8] ), + .Y(_04940_) + ); + sky130_fd_sc_hd__inv_4 _20832_ ( + .A(\soc.core.dbg_uart_address[7] ), + .Y(_05016_) + ); + sky130_fd_sc_hd__inv_4 _20833_ ( + .A(\soc.core.dbg_uart_address[6] ), + .Y(_05013_) + ); + sky130_fd_sc_hd__inv_4 _20834_ ( + .A(\soc.core.dbg_uart_address[5] ), + .Y(_05010_) + ); + sky130_fd_sc_hd__inv_4 _20835_ ( + .A(\soc.core.dbg_uart_address[4] ), + .Y(_05007_) + ); + sky130_fd_sc_hd__inv_4 _20836_ ( + .A(\soc.core.dbg_uart_address[3] ), + .Y(_05003_) + ); + sky130_fd_sc_hd__inv_4 _20837_ ( + .A(\soc.core.dbg_uart_address[2] ), + .Y(_05000_) + ); + sky130_fd_sc_hd__inv_4 _20838_ ( + .A(\soc.core.dbg_uart_address[1] ), + .Y(_04997_) + ); + sky130_fd_sc_hd__inv_4 _20839_ ( + .A(\soc.core.dbg_uart_address[0] ), + .Y(_04994_) + ); + sky130_fd_sc_hd__inv_4 _20840_ ( + .A(\soc.core.uart_tx_fifo_level0[3] ), + .Y(_10894_) + ); + sky130_fd_sc_hd__inv_4 _20841_ ( + .A(\soc.core.uart_tx_fifo_level0[2] ), + .Y(_10895_) + ); + sky130_fd_sc_hd__inv_4 _20842_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .Y(_03493_) + ); + sky130_fd_sc_hd__inv_4 _20843_ ( + .A(\soc.core.spimaster_storage[6] ), + .Y(_10896_) + ); + sky130_fd_sc_hd__inv_4 _20844_ ( + .A(\soc.core.spimaster_storage[5] ), + .Y(_10897_) + ); + sky130_fd_sc_hd__inv_4 _20845_ ( + .A(\soc.core.spimaster_storage[4] ), + .Y(_10898_) + ); + sky130_fd_sc_hd__inv_4 _20846_ ( + .A(\soc.core.spimaster_storage[3] ), + .Y(_10899_) + ); + sky130_fd_sc_hd__inv_4 _20847_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_mask[0] ), + .Y(_04836_) + ); + sky130_fd_sc_hd__inv_4 _20848_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[3] ), + .Y(_03049_) + ); + sky130_fd_sc_hd__inv_4 _20849_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[2] ), + .Y(_03045_) + ); + sky130_fd_sc_hd__inv_4 _20850_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[31] ), + .Y(_03411_) + ); + sky130_fd_sc_hd__inv_4 _20851_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[30] ), + .Y(_03399_) + ); + sky130_fd_sc_hd__inv_4 _20852_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[29] ), + .Y(_03387_) + ); + sky130_fd_sc_hd__inv_4 _20853_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[28] ), + .Y(_03375_) + ); + sky130_fd_sc_hd__inv_4 _20854_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[27] ), + .Y(_03363_) + ); + sky130_fd_sc_hd__inv_4 _20855_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[26] ), + .Y(_03351_) + ); + sky130_fd_sc_hd__inv_4 _20856_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[25] ), + .Y(_03339_) + ); + sky130_fd_sc_hd__inv_4 _20857_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[24] ), + .Y(_03327_) + ); + sky130_fd_sc_hd__inv_4 _20858_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[23] ), + .Y(_03315_) + ); + sky130_fd_sc_hd__inv_4 _20859_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[22] ), + .Y(_03303_) + ); + sky130_fd_sc_hd__inv_4 _20860_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[21] ), + .Y(_03291_) + ); + sky130_fd_sc_hd__inv_4 _20861_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[20] ), + .Y(_03279_) + ); + sky130_fd_sc_hd__inv_4 _20862_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[19] ), + .Y(_03267_) + ); + sky130_fd_sc_hd__inv_4 _20863_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[18] ), + .Y(_03255_) + ); + sky130_fd_sc_hd__inv_4 _20864_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[17] ), + .Y(_03243_) + ); + sky130_fd_sc_hd__inv_4 _20865_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[16] ), + .Y(_03231_) + ); + sky130_fd_sc_hd__inv_4 _20866_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[15] ), + .Y(_03219_) + ); + sky130_fd_sc_hd__inv_4 _20867_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[14] ), + .Y(_03208_) + ); + sky130_fd_sc_hd__inv_4 _20868_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[13] ), + .Y(_03197_) + ); + sky130_fd_sc_hd__inv_4 _20869_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[12] ), + .Y(_03186_) + ); + sky130_fd_sc_hd__inv_4 _20870_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[11] ), + .Y(_03175_) + ); + sky130_fd_sc_hd__inv_4 _20871_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[10] ), + .Y(_03164_) + ); + sky130_fd_sc_hd__inv_4 _20872_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[9] ), + .Y(_03153_) + ); + sky130_fd_sc_hd__inv_4 _20873_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[8] ), + .Y(_03142_) + ); + sky130_fd_sc_hd__inv_4 _20874_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[7] ), + .Y(_03130_) + ); + sky130_fd_sc_hd__inv_4 _20875_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[6] ), + .Y(_03119_) + ); + sky130_fd_sc_hd__inv_4 _20876_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[5] ), + .Y(_03108_) + ); + sky130_fd_sc_hd__inv_4 _20877_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[4] ), + .Y(_03097_) + ); + sky130_fd_sc_hd__inv_4 _20878_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[3] ), + .Y(_03085_) + ); + sky130_fd_sc_hd__inv_4 _20879_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[2] ), + .Y(_03074_) + ); + sky130_fd_sc_hd__inv_4 _20880_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[1] ), + .Y(_03063_) + ); + sky130_fd_sc_hd__inv_4 _20881_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[0] ), + .Y(_03036_) + ); + sky130_fd_sc_hd__inv_4 _20882_ ( + .A(\soc.core.mgmtsoc_master_cs_storage ), + .Y(_05128_) + ); + sky130_fd_sc_hd__inv_4 _20883_ ( + .A(\soc.core.mgmtsoc_value[0] ), + .Y(_02825_) + ); + sky130_fd_sc_hd__inv_4 _20884_ ( + .A(\soc.core.mgmtsoc_bus_errors[11] ), + .Y(_10900_) + ); + sky130_fd_sc_hd__inv_4 _20885_ ( + .A(\soc.core.mgmtsoc_bus_errors[10] ), + .Y(_10901_) + ); + sky130_fd_sc_hd__inv_4 _20886_ ( + .A(\pll.pll_control.tint[3] ), + .Y(_10902_) + ); + sky130_fd_sc_hd__inv_4 _20887_ ( + .A(\pll.pll_control.tint[0] ), + .Y(_10903_) + ); + sky130_fd_sc_hd__inv_4 _20888_ ( + .A(_04919_), + .Y(\mgmt_buffers.mprj_adr_o_core[31] ) + ); + sky130_fd_sc_hd__inv_4 _20889_ ( + .A(_04922_), + .Y(\mgmt_buffers.mprj_adr_o_core[30] ) + ); + sky130_fd_sc_hd__inv_4 _20890_ ( + .A(_04925_), + .Y(\mgmt_buffers.mprj_adr_o_core[29] ) + ); + sky130_fd_sc_hd__inv_4 _20891_ ( + .A(_04928_), + .Y(\mgmt_buffers.mprj_adr_o_core[28] ) + ); + sky130_fd_sc_hd__inv_4 _20892_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ), + .Y(_04929_) + ); + sky130_fd_sc_hd__inv_4 _20893_ ( + .A(_04936_), + .Y(\mgmt_buffers.mprj_adr_o_core[26] ) + ); + sky130_fd_sc_hd__inv_4 _20894_ ( + .A(_04939_), + .Y(\mgmt_buffers.mprj_adr_o_core[25] ) + ); + sky130_fd_sc_hd__inv_4 _20895_ ( + .A(_04942_), + .Y(\mgmt_buffers.mprj_adr_o_core[10] ) + ); + sky130_fd_sc_hd__inv_4 _20896_ ( + .A(_04945_), + .Y(\mgmt_buffers.mprj_adr_o_core[19] ) + ); + sky130_fd_sc_hd__inv_4 _20897_ ( + .A(_04948_), + .Y(\mgmt_buffers.mprj_adr_o_core[18] ) + ); + sky130_fd_sc_hd__inv_4 _20898_ ( + .A(_04951_), + .Y(\mgmt_buffers.mprj_adr_o_core[17] ) + ); + sky130_fd_sc_hd__inv_4 _20899_ ( + .A(_04954_), + .Y(\mgmt_buffers.mprj_adr_o_core[16] ) + ); + sky130_fd_sc_hd__inv_4 _20900_ ( + .A(_04957_), + .Y(\mgmt_buffers.mprj_adr_o_core[11] ) + ); + sky130_fd_sc_hd__inv_4 _20901_ ( + .A(_04969_), + .Y(\mgmt_buffers.mprj_adr_o_core[21] ) + ); + sky130_fd_sc_hd__inv_4 _20902_ ( + .A(_04966_), + .Y(\mgmt_buffers.mprj_adr_o_core[20] ) + ); + sky130_fd_sc_hd__inv_4 _20903_ ( + .A(_04975_), + .Y(\mgmt_buffers.mprj_adr_o_core[23] ) + ); + sky130_fd_sc_hd__inv_4 _20904_ ( + .A(_04972_), + .Y(\mgmt_buffers.mprj_adr_o_core[22] ) + ); + sky130_fd_sc_hd__inv_4 _20905_ ( + .A(_04978_), + .Y(\mgmt_buffers.mprj_adr_o_core[24] ) + ); + sky130_fd_sc_hd__inv_4 _20906_ ( + .A(_04981_), + .Y(\mgmt_buffers.mprj_adr_o_core[27] ) + ); + sky130_fd_sc_hd__inv_4 _20907_ ( + .A(_04963_), + .Y(\mgmt_buffers.mprj_stb_o_core ) + ); + sky130_fd_sc_hd__inv_4 _20908_ ( + .A(_04984_), + .Y(\mgmt_buffers.mprj_adr_o_core[12] ) + ); + sky130_fd_sc_hd__inv_4 _20909_ ( + .A(_04987_), + .Y(\mgmt_buffers.mprj_adr_o_core[13] ) + ); + sky130_fd_sc_hd__inv_4 _20910_ ( + .A(_04990_), + .Y(\mgmt_buffers.mprj_adr_o_core[14] ) + ); + sky130_fd_sc_hd__inv_4 _20911_ ( + .A(_04993_), + .Y(\mgmt_buffers.mprj_adr_o_core[15] ) + ); + sky130_fd_sc_hd__inv_4 _20912_ ( + .A(_04996_), + .Y(\mgmt_buffers.mprj_adr_o_core[2] ) + ); + sky130_fd_sc_hd__inv_4 _20913_ ( + .A(_04999_), + .Y(\mgmt_buffers.mprj_adr_o_core[3] ) + ); + sky130_fd_sc_hd__inv_4 _20914_ ( + .A(_05002_), + .Y(\mgmt_buffers.mprj_adr_o_core[4] ) + ); + sky130_fd_sc_hd__inv_4 _20915_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .Y(_05004_) + ); + sky130_fd_sc_hd__inv_4 _20916_ ( + .A(_05006_), + .Y(\mgmt_buffers.mprj_adr_o_core[5] ) + ); + sky130_fd_sc_hd__inv_4 _20917_ ( + .A(_05009_), + .Y(\mgmt_buffers.mprj_adr_o_core[6] ) + ); + sky130_fd_sc_hd__inv_4 _20918_ ( + .A(_05012_), + .Y(\mgmt_buffers.mprj_adr_o_core[7] ) + ); + sky130_fd_sc_hd__inv_4 _20919_ ( + .A(_05015_), + .Y(\mgmt_buffers.mprj_adr_o_core[8] ) + ); + sky130_fd_sc_hd__inv_4 _20920_ ( + .A(_05018_), + .Y(\mgmt_buffers.mprj_adr_o_core[9] ) + ); + sky130_fd_sc_hd__inv_4 _20921_ ( + .A(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[0] ), + .Y(_05020_) + ); + sky130_fd_sc_hd__inv_4 _20922_ ( + .A(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[1] ), + .Y(_05026_) + ); + sky130_fd_sc_hd__inv_4 _20923_ ( + .A(\soc.core.int_rst ), + .Y(_10904_) + ); + sky130_fd_sc_hd__inv_4 _20924_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ), + .Y(_05028_) + ); + sky130_fd_sc_hd__inv_4 _20925_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[2] ), + .Y(_05030_) + ); + sky130_fd_sc_hd__inv_4 _20926_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ), + .Y(_05031_) + ); + sky130_fd_sc_hd__inv_4 _20927_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[3] ), + .Y(_05034_) + ); + sky130_fd_sc_hd__inv_4 _20928_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[10] ), + .Y(_05035_) + ); + sky130_fd_sc_hd__inv_4 _20929_ ( + .A(_05037_), + .Y(_05079_) + ); + sky130_fd_sc_hd__inv_4 _20930_ ( + .A(_05033_), + .Y(_05077_) + ); + sky130_fd_sc_hd__inv_4 _20931_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[4] ), + .Y(_05038_) + ); + sky130_fd_sc_hd__inv_4 _20932_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ), + .Y(_05039_) + ); + sky130_fd_sc_hd__inv_4 _20933_ ( + .A(_05041_), + .Y(_05042_) + ); + sky130_fd_sc_hd__inv_4 _20934_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ), + .Y(_00394_) + ); + sky130_fd_sc_hd__inv_4 _20935_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[16] ), + .Y(_00398_) + ); + sky130_fd_sc_hd__inv_4 _20936_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ), + .Y(_00403_) + ); + sky130_fd_sc_hd__inv_4 _20937_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ), + .Y(_00410_) + ); + sky130_fd_sc_hd__inv_4 _20938_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[19] ), + .Y(_00421_) + ); + sky130_fd_sc_hd__inv_4 _20939_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .Y(_01850_) + ); + sky130_fd_sc_hd__inv_4 _20940_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .Y(_01854_) + ); + sky130_fd_sc_hd__inv_4 _20941_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .Y(_01859_) + ); + sky130_fd_sc_hd__inv_4 _20942_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .Y(_01866_) + ); + sky130_fd_sc_hd__inv_4 _20943_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[24] ), + .Y(_01877_) + ); + sky130_fd_sc_hd__inv_4 _20944_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .Y(_03592_) + ); + sky130_fd_sc_hd__inv_4 _20945_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[15] ), + .Y(_05062_) + ); + sky130_fd_sc_hd__inv_4 _20946_ ( + .A(_05065_), + .Y(_05066_) + ); + sky130_fd_sc_hd__inv_4 _20947_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .Y(_05072_) + ); + sky130_fd_sc_hd__inv_4 _20948_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[16] ), + .Y(_05068_) + ); + sky130_fd_sc_hd__inv_4 _20949_ ( + .A(_05070_), + .Y(_05071_) + ); + sky130_fd_sc_hd__inv_4 _20950_ ( + .A(\soc.core.spi_master_clk_divider1[0] ), + .Y(_02889_) + ); + sky130_fd_sc_hd__inv_4 _20951_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ), + .Y(_10905_) + ); + sky130_fd_sc_hd__inv_4 _20952_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[9] ), + .Y(_10906_) + ); + sky130_fd_sc_hd__inv_4 _20953_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ), + .Y(_10907_) + ); + sky130_fd_sc_hd__inv_4 _20954_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[11] ), + .Y(_10908_) + ); + sky130_fd_sc_hd__inv_4 _20955_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .Y(_10909_) + ); + sky130_fd_sc_hd__inv_4 _20956_ ( + .A(\pll.div[3] ), + .Y(_10910_) + ); + sky130_fd_sc_hd__inv_4 _20957_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[15] ), + .Y(_00395_) + ); + sky130_fd_sc_hd__inv_4 _20958_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[16] ), + .Y(_00399_) + ); + sky130_fd_sc_hd__inv_4 _20959_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[17] ), + .Y(_00404_) + ); + sky130_fd_sc_hd__inv_4 _20960_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[18] ), + .Y(_00411_) + ); + sky130_fd_sc_hd__inv_4 _20961_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[19] ), + .Y(_00422_) + ); + sky130_fd_sc_hd__inv_4 _20962_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[5] ), + .Y(_01369_) + ); + sky130_fd_sc_hd__inv_4 _20963_ ( + .A(_01375_), + .Y(_01376_) + ); + sky130_fd_sc_hd__inv_4 _20964_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[2] ), + .Y(_01378_) + ); + sky130_fd_sc_hd__inv_4 _20965_ ( + .A(_01383_), + .Y(_01384_) + ); + sky130_fd_sc_hd__inv_4 _20966_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[3] ), + .Y(_01386_) + ); + sky130_fd_sc_hd__inv_4 _20967_ ( + .A(_01391_), + .Y(_01392_) + ); + sky130_fd_sc_hd__inv_4 _20968_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[4] ), + .Y(_01394_) + ); + sky130_fd_sc_hd__inv_4 _20969_ ( + .A(_01399_), + .Y(_01400_) + ); + sky130_fd_sc_hd__inv_4 _20970_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[20] ), + .Y(_01851_) + ); + sky130_fd_sc_hd__inv_4 _20971_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[21] ), + .Y(_01855_) + ); + sky130_fd_sc_hd__inv_4 _20972_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[22] ), + .Y(_01860_) + ); + sky130_fd_sc_hd__inv_4 _20973_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[23] ), + .Y(_01867_) + ); + sky130_fd_sc_hd__inv_4 _20974_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[24] ), + .Y(_01878_) + ); + sky130_fd_sc_hd__inv_4 _20975_ ( + .A(\soc.core.dbg_uart_rx_phase[16] ), + .Y(_10911_) + ); + sky130_fd_sc_hd__inv_4 _20976_ ( + .A(\soc.core.dbg_uart_rx_phase[8] ), + .Y(_10912_) + ); + sky130_fd_sc_hd__inv_4 _20977_ ( + .A(\soc.core.dbg_uart_rx_phase[7] ), + .Y(_10913_) + ); + sky130_fd_sc_hd__inv_4 _20978_ ( + .A(\soc.core.dbg_uart_rx_phase[6] ), + .Y(_10914_) + ); + sky130_fd_sc_hd__inv_4 _20979_ ( + .A(\soc.core.dbg_uart_tx_phase[8] ), + .Y(_10915_) + ); + sky130_fd_sc_hd__inv_4 _20980_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .Y(_03512_) + ); + sky130_fd_sc_hd__inv_4 _20981_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[31] ), + .Y(_03515_) + ); + sky130_fd_sc_hd__inv_4 _20982_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[30] ), + .Y(_04912_) + ); + sky130_fd_sc_hd__inv_4 _20983_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[30] ), + .Y(_03519_) + ); + sky130_fd_sc_hd__inv_4 _20984_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[29] ), + .Y(_04910_) + ); + sky130_fd_sc_hd__inv_4 _20985_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[29] ), + .Y(_03523_) + ); + sky130_fd_sc_hd__inv_4 _20986_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[28] ), + .Y(_04908_) + ); + sky130_fd_sc_hd__inv_4 _20987_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[28] ), + .Y(_03527_) + ); + sky130_fd_sc_hd__inv_4 _20988_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[27] ), + .Y(_04906_) + ); + sky130_fd_sc_hd__inv_4 _20989_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[27] ), + .Y(_03531_) + ); + sky130_fd_sc_hd__inv_4 _20990_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[26] ), + .Y(_04904_) + ); + sky130_fd_sc_hd__inv_4 _20991_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[26] ), + .Y(_03535_) + ); + sky130_fd_sc_hd__inv_4 _20992_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[25] ), + .Y(_04902_) + ); + sky130_fd_sc_hd__inv_4 _20993_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[25] ), + .Y(_03539_) + ); + sky130_fd_sc_hd__inv_4 _20994_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[24] ), + .Y(_04900_) + ); + sky130_fd_sc_hd__inv_4 _20995_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[24] ), + .Y(_03543_) + ); + sky130_fd_sc_hd__inv_4 _20996_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[23] ), + .Y(_04898_) + ); + sky130_fd_sc_hd__inv_4 _20997_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[23] ), + .Y(_03547_) + ); + sky130_fd_sc_hd__inv_4 _20998_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[22] ), + .Y(_04896_) + ); + sky130_fd_sc_hd__inv_4 _20999_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[22] ), + .Y(_03551_) + ); + sky130_fd_sc_hd__inv_4 _21000_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[21] ), + .Y(_04894_) + ); + sky130_fd_sc_hd__inv_4 _21001_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[21] ), + .Y(_03555_) + ); + sky130_fd_sc_hd__inv_4 _21002_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[20] ), + .Y(_04892_) + ); + sky130_fd_sc_hd__inv_4 _21003_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[20] ), + .Y(_03559_) + ); + sky130_fd_sc_hd__inv_4 _21004_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[19] ), + .Y(_03562_) + ); + sky130_fd_sc_hd__inv_4 _21005_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[19] ), + .Y(_03564_) + ); + sky130_fd_sc_hd__inv_4 _21006_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[18] ), + .Y(_03567_) + ); + sky130_fd_sc_hd__inv_4 _21007_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[18] ), + .Y(_03569_) + ); + sky130_fd_sc_hd__inv_4 _21008_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[17] ), + .Y(_03573_) + ); + sky130_fd_sc_hd__inv_4 _21009_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[16] ), + .Y(_03577_) + ); + sky130_fd_sc_hd__inv_4 _21010_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[15] ), + .Y(_03581_) + ); + sky130_fd_sc_hd__inv_4 _21011_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[14] ), + .Y(_03585_) + ); + sky130_fd_sc_hd__inv_4 _21012_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[13] ), + .Y(_03589_) + ); + sky130_fd_sc_hd__inv_4 _21013_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[12] ), + .Y(_03594_) + ); + sky130_fd_sc_hd__inv_4 _21014_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[11] ), + .Y(_03598_) + ); + sky130_fd_sc_hd__inv_4 _21015_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[10] ), + .Y(_04863_) + ); + sky130_fd_sc_hd__inv_4 _21016_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[10] ), + .Y(_03602_) + ); + sky130_fd_sc_hd__inv_4 _21017_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[9] ), + .Y(_04861_) + ); + sky130_fd_sc_hd__inv_4 _21018_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[9] ), + .Y(_03606_) + ); + sky130_fd_sc_hd__inv_4 _21019_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[8] ), + .Y(_04859_) + ); + sky130_fd_sc_hd__inv_4 _21020_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[8] ), + .Y(_03610_) + ); + sky130_fd_sc_hd__inv_4 _21021_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[7] ), + .Y(_04857_) + ); + sky130_fd_sc_hd__inv_4 _21022_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[7] ), + .Y(_03614_) + ); + sky130_fd_sc_hd__inv_4 _21023_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[6] ), + .Y(_04855_) + ); + sky130_fd_sc_hd__inv_4 _21024_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[6] ), + .Y(_03618_) + ); + sky130_fd_sc_hd__inv_4 _21025_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[5] ), + .Y(_04853_) + ); + sky130_fd_sc_hd__inv_4 _21026_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2[5] ), + .Y(_03622_) + ); + sky130_fd_sc_hd__inv_4 _21027_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[4] ), + .Y(_03625_) + ); + sky130_fd_sc_hd__inv_4 _21028_ ( + .A(_03627_), + .Y(_03628_) + ); + sky130_fd_sc_hd__inv_4 _21029_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[3] ), + .Y(_03629_) + ); + sky130_fd_sc_hd__inv_4 _21030_ ( + .A(_03631_), + .Y(_03666_) + ); + sky130_fd_sc_hd__inv_4 _21031_ ( + .A(_03638_), + .Y(_04797_) + ); + sky130_fd_sc_hd__inv_4 _21032_ ( + .A(_03635_), + .Y(\soc.core.VexRiscv.dBus_cmd_payload_address[31] ) + ); + sky130_fd_sc_hd__inv_4 _21033_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[0] ), + .Y(_03959_) + ); + sky130_fd_sc_hd__inv_4 _21034_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .Y(_03961_) + ); + sky130_fd_sc_hd__inv_4 _21035_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .Y(_03963_) + ); + sky130_fd_sc_hd__inv_4 _21036_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[1] ), + .Y(_03968_) + ); + sky130_fd_sc_hd__inv_4 _21037_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .Y(_03969_) + ); + sky130_fd_sc_hd__inv_4 _21038_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .Y(_03970_) + ); + sky130_fd_sc_hd__inv_4 _21039_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[2] ), + .Y(_04104_) + ); + sky130_fd_sc_hd__inv_4 _21040_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .Y(_04105_) + ); + sky130_fd_sc_hd__inv_4 _21041_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .Y(_04106_) + ); + sky130_fd_sc_hd__inv_4 _21042_ ( + .A(_04109_), + .Y(_04521_) + ); + sky130_fd_sc_hd__inv_4 _21043_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[3] ), + .Y(_04110_) + ); + sky130_fd_sc_hd__inv_4 _21044_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .Y(_04111_) + ); + sky130_fd_sc_hd__inv_4 _21045_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .Y(_04112_) + ); + sky130_fd_sc_hd__inv_4 _21046_ ( + .A(_04115_), + .Y(_04522_) + ); + sky130_fd_sc_hd__inv_4 _21047_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[4] ), + .Y(_04116_) + ); + sky130_fd_sc_hd__inv_4 _21048_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .Y(_04117_) + ); + sky130_fd_sc_hd__inv_4 _21049_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .Y(_04118_) + ); + sky130_fd_sc_hd__inv_4 _21050_ ( + .A(_04121_), + .Y(_04523_) + ); + sky130_fd_sc_hd__inv_4 _21051_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[5] ), + .Y(_04122_) + ); + sky130_fd_sc_hd__inv_4 _21052_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .Y(_04123_) + ); + sky130_fd_sc_hd__inv_4 _21053_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .Y(_04124_) + ); + sky130_fd_sc_hd__inv_4 _21054_ ( + .A(_04127_), + .Y(_04524_) + ); + sky130_fd_sc_hd__inv_4 _21055_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[6] ), + .Y(_04128_) + ); + sky130_fd_sc_hd__inv_4 _21056_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .Y(_04129_) + ); + sky130_fd_sc_hd__inv_4 _21057_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .Y(_04130_) + ); + sky130_fd_sc_hd__inv_4 _21058_ ( + .A(_04133_), + .Y(_04525_) + ); + sky130_fd_sc_hd__inv_4 _21059_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[7] ), + .Y(_04134_) + ); + sky130_fd_sc_hd__inv_4 _21060_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .Y(_04135_) + ); + sky130_fd_sc_hd__inv_4 _21061_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .Y(_04136_) + ); + sky130_fd_sc_hd__inv_4 _21062_ ( + .A(_04139_), + .Y(_04526_) + ); + sky130_fd_sc_hd__inv_4 _21063_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[8] ), + .Y(_04140_) + ); + sky130_fd_sc_hd__inv_4 _21064_ ( + .A(_04141_), + .Y(_04142_) + ); + sky130_fd_sc_hd__inv_4 _21065_ ( + .A(_04146_), + .Y(_04527_) + ); + sky130_fd_sc_hd__inv_4 _21066_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[9] ), + .Y(_04147_) + ); + sky130_fd_sc_hd__inv_4 _21067_ ( + .A(_04148_), + .Y(_04149_) + ); + sky130_fd_sc_hd__inv_4 _21068_ ( + .A(_04152_), + .Y(_04528_) + ); + sky130_fd_sc_hd__inv_4 _21069_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[10] ), + .Y(_04153_) + ); + sky130_fd_sc_hd__inv_4 _21070_ ( + .A(_04154_), + .Y(_04155_) + ); + sky130_fd_sc_hd__inv_4 _21071_ ( + .A(_04158_), + .Y(_04529_) + ); + sky130_fd_sc_hd__inv_4 _21072_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[11] ), + .Y(_04159_) + ); + sky130_fd_sc_hd__inv_4 _21073_ ( + .A(_04160_), + .Y(_04161_) + ); + sky130_fd_sc_hd__inv_4 _21074_ ( + .A(_04164_), + .Y(_04530_) + ); + sky130_fd_sc_hd__inv_4 _21075_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[12] ), + .Y(_04165_) + ); + sky130_fd_sc_hd__inv_4 _21076_ ( + .A(_04166_), + .Y(_04167_) + ); + sky130_fd_sc_hd__inv_4 _21077_ ( + .A(_04170_), + .Y(_04531_) + ); + sky130_fd_sc_hd__inv_4 _21078_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[13] ), + .Y(_04171_) + ); + sky130_fd_sc_hd__inv_4 _21079_ ( + .A(_04172_), + .Y(_04173_) + ); + sky130_fd_sc_hd__inv_4 _21080_ ( + .A(_04176_), + .Y(_04532_) + ); + sky130_fd_sc_hd__inv_4 _21081_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[14] ), + .Y(_04177_) + ); + sky130_fd_sc_hd__inv_4 _21082_ ( + .A(_04178_), + .Y(_04179_) + ); + sky130_fd_sc_hd__inv_4 _21083_ ( + .A(_04182_), + .Y(_04533_) + ); + sky130_fd_sc_hd__inv_4 _21084_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[15] ), + .Y(_04183_) + ); + sky130_fd_sc_hd__inv_4 _21085_ ( + .A(_04184_), + .Y(_04185_) + ); + sky130_fd_sc_hd__inv_4 _21086_ ( + .A(_04188_), + .Y(_04534_) + ); + sky130_fd_sc_hd__inv_4 _21087_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[16] ), + .Y(_04189_) + ); + sky130_fd_sc_hd__inv_4 _21088_ ( + .A(_04192_), + .Y(_04535_) + ); + sky130_fd_sc_hd__inv_4 _21089_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[17] ), + .Y(_04193_) + ); + sky130_fd_sc_hd__inv_4 _21090_ ( + .A(_04195_), + .Y(_04536_) + ); + sky130_fd_sc_hd__inv_4 _21091_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[18] ), + .Y(_04196_) + ); + sky130_fd_sc_hd__inv_4 _21092_ ( + .A(_04198_), + .Y(_04537_) + ); + sky130_fd_sc_hd__inv_4 _21093_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[19] ), + .Y(_04199_) + ); + sky130_fd_sc_hd__inv_4 _21094_ ( + .A(_04201_), + .Y(_04538_) + ); + sky130_fd_sc_hd__inv_4 _21095_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[20] ), + .Y(_04202_) + ); + sky130_fd_sc_hd__inv_4 _21096_ ( + .A(_04204_), + .Y(_04539_) + ); + sky130_fd_sc_hd__inv_4 _21097_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[21] ), + .Y(_04205_) + ); + sky130_fd_sc_hd__inv_4 _21098_ ( + .A(_04207_), + .Y(_04540_) + ); + sky130_fd_sc_hd__inv_4 _21099_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[22] ), + .Y(_04208_) + ); + sky130_fd_sc_hd__inv_4 _21100_ ( + .A(_04210_), + .Y(_04541_) + ); + sky130_fd_sc_hd__inv_4 _21101_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[23] ), + .Y(_04211_) + ); + sky130_fd_sc_hd__inv_4 _21102_ ( + .A(_04213_), + .Y(_04542_) + ); + sky130_fd_sc_hd__inv_4 _21103_ ( + .A(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .Y(_04802_) + ); + sky130_fd_sc_hd__inv_4 _21104_ ( + .A(\soc.core.spi_cs_n ), + .Y(\soc.core.spi_sdoenb ) + ); + sky130_fd_sc_hd__inv_4 _21105_ ( + .A(\mgmt_buffers.caravel_rstn ), + .Y(\soc.core.core_rst ) + ); + sky130_fd_sc_hd__inv_4 _21106_ ( + .A(\mgmt_buffers.user_irq_bar[0] ), + .Y(\mgmt_buffers.user_irq[0] ) + ); + sky130_fd_sc_hd__inv_4 _21107_ ( + .A(\mgmt_buffers.user_irq_bar[1] ), + .Y(\mgmt_buffers.user_irq[1] ) + ); + sky130_fd_sc_hd__inv_4 _21108_ ( + .A(\mgmt_buffers.user_irq_bar[2] ), + .Y(\mgmt_buffers.user_irq[2] ) + ); + sky130_fd_sc_hd__inv_4 _21109_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[0] ), + .Y(\mgmt_buffers.la_data_in_mprj[0] ) + ); + sky130_fd_sc_hd__inv_4 _21110_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[1] ), + .Y(\mgmt_buffers.la_data_in_mprj[1] ) + ); + sky130_fd_sc_hd__inv_4 _21111_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[2] ), + .Y(\mgmt_buffers.la_data_in_mprj[2] ) + ); + sky130_fd_sc_hd__inv_4 _21112_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[3] ), + .Y(\mgmt_buffers.la_data_in_mprj[3] ) + ); + sky130_fd_sc_hd__inv_4 _21113_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[4] ), + .Y(\mgmt_buffers.la_data_in_mprj[4] ) + ); + sky130_fd_sc_hd__inv_4 _21114_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[5] ), + .Y(\mgmt_buffers.la_data_in_mprj[5] ) + ); + sky130_fd_sc_hd__inv_4 _21115_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[6] ), + .Y(\mgmt_buffers.la_data_in_mprj[6] ) + ); + sky130_fd_sc_hd__inv_4 _21116_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[7] ), + .Y(\mgmt_buffers.la_data_in_mprj[7] ) + ); + sky130_fd_sc_hd__inv_4 _21117_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[8] ), + .Y(\mgmt_buffers.la_data_in_mprj[8] ) + ); + sky130_fd_sc_hd__inv_4 _21118_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[9] ), + .Y(\mgmt_buffers.la_data_in_mprj[9] ) + ); + sky130_fd_sc_hd__inv_4 _21119_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[10] ), + .Y(\mgmt_buffers.la_data_in_mprj[10] ) + ); + sky130_fd_sc_hd__inv_4 _21120_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[11] ), + .Y(\mgmt_buffers.la_data_in_mprj[11] ) + ); + sky130_fd_sc_hd__inv_4 _21121_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[12] ), + .Y(\mgmt_buffers.la_data_in_mprj[12] ) + ); + sky130_fd_sc_hd__inv_4 _21122_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[13] ), + .Y(\mgmt_buffers.la_data_in_mprj[13] ) + ); + sky130_fd_sc_hd__inv_4 _21123_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[14] ), + .Y(\mgmt_buffers.la_data_in_mprj[14] ) + ); + sky130_fd_sc_hd__inv_4 _21124_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[15] ), + .Y(\mgmt_buffers.la_data_in_mprj[15] ) + ); + sky130_fd_sc_hd__inv_4 _21125_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[16] ), + .Y(\mgmt_buffers.la_data_in_mprj[16] ) + ); + sky130_fd_sc_hd__inv_4 _21126_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[17] ), + .Y(\mgmt_buffers.la_data_in_mprj[17] ) + ); + sky130_fd_sc_hd__inv_4 _21127_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[18] ), + .Y(\mgmt_buffers.la_data_in_mprj[18] ) + ); + sky130_fd_sc_hd__inv_4 _21128_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[19] ), + .Y(\mgmt_buffers.la_data_in_mprj[19] ) + ); + sky130_fd_sc_hd__inv_4 _21129_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[20] ), + .Y(\mgmt_buffers.la_data_in_mprj[20] ) + ); + sky130_fd_sc_hd__inv_4 _21130_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[21] ), + .Y(\mgmt_buffers.la_data_in_mprj[21] ) + ); + sky130_fd_sc_hd__inv_4 _21131_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[22] ), + .Y(\mgmt_buffers.la_data_in_mprj[22] ) + ); + sky130_fd_sc_hd__inv_4 _21132_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[23] ), + .Y(\mgmt_buffers.la_data_in_mprj[23] ) + ); + sky130_fd_sc_hd__inv_4 _21133_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[24] ), + .Y(\mgmt_buffers.la_data_in_mprj[24] ) + ); + sky130_fd_sc_hd__inv_4 _21134_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[25] ), + .Y(\mgmt_buffers.la_data_in_mprj[25] ) + ); + sky130_fd_sc_hd__inv_4 _21135_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[26] ), + .Y(\mgmt_buffers.la_data_in_mprj[26] ) + ); + sky130_fd_sc_hd__inv_4 _21136_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[27] ), + .Y(\mgmt_buffers.la_data_in_mprj[27] ) + ); + sky130_fd_sc_hd__inv_4 _21137_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[28] ), + .Y(\mgmt_buffers.la_data_in_mprj[28] ) + ); + sky130_fd_sc_hd__inv_4 _21138_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[29] ), + .Y(\mgmt_buffers.la_data_in_mprj[29] ) + ); + sky130_fd_sc_hd__inv_4 _21139_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[30] ), + .Y(\mgmt_buffers.la_data_in_mprj[30] ) + ); + sky130_fd_sc_hd__inv_4 _21140_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[31] ), + .Y(\mgmt_buffers.la_data_in_mprj[31] ) + ); + sky130_fd_sc_hd__inv_4 _21141_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[32] ), + .Y(\mgmt_buffers.la_data_in_mprj[32] ) + ); + sky130_fd_sc_hd__inv_4 _21142_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[33] ), + .Y(\mgmt_buffers.la_data_in_mprj[33] ) + ); + sky130_fd_sc_hd__inv_4 _21143_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[34] ), + .Y(\mgmt_buffers.la_data_in_mprj[34] ) + ); + sky130_fd_sc_hd__inv_4 _21144_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[35] ), + .Y(\mgmt_buffers.la_data_in_mprj[35] ) + ); + sky130_fd_sc_hd__inv_4 _21145_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[36] ), + .Y(\mgmt_buffers.la_data_in_mprj[36] ) + ); + sky130_fd_sc_hd__inv_4 _21146_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[37] ), + .Y(\mgmt_buffers.la_data_in_mprj[37] ) + ); + sky130_fd_sc_hd__inv_4 _21147_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[38] ), + .Y(\mgmt_buffers.la_data_in_mprj[38] ) + ); + sky130_fd_sc_hd__inv_4 _21148_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[39] ), + .Y(\mgmt_buffers.la_data_in_mprj[39] ) + ); + sky130_fd_sc_hd__inv_4 _21149_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[40] ), + .Y(\mgmt_buffers.la_data_in_mprj[40] ) + ); + sky130_fd_sc_hd__inv_4 _21150_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[41] ), + .Y(\mgmt_buffers.la_data_in_mprj[41] ) + ); + sky130_fd_sc_hd__inv_4 _21151_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[42] ), + .Y(\mgmt_buffers.la_data_in_mprj[42] ) + ); + sky130_fd_sc_hd__inv_4 _21152_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[43] ), + .Y(\mgmt_buffers.la_data_in_mprj[43] ) + ); + sky130_fd_sc_hd__inv_4 _21153_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[44] ), + .Y(\mgmt_buffers.la_data_in_mprj[44] ) + ); + sky130_fd_sc_hd__inv_4 _21154_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[45] ), + .Y(\mgmt_buffers.la_data_in_mprj[45] ) + ); + sky130_fd_sc_hd__inv_4 _21155_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[46] ), + .Y(\mgmt_buffers.la_data_in_mprj[46] ) + ); + sky130_fd_sc_hd__inv_4 _21156_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[47] ), + .Y(\mgmt_buffers.la_data_in_mprj[47] ) + ); + sky130_fd_sc_hd__inv_4 _21157_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[48] ), + .Y(\mgmt_buffers.la_data_in_mprj[48] ) + ); + sky130_fd_sc_hd__inv_4 _21158_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[49] ), + .Y(\mgmt_buffers.la_data_in_mprj[49] ) + ); + sky130_fd_sc_hd__inv_4 _21159_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[50] ), + .Y(\mgmt_buffers.la_data_in_mprj[50] ) + ); + sky130_fd_sc_hd__inv_4 _21160_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[51] ), + .Y(\mgmt_buffers.la_data_in_mprj[51] ) + ); + sky130_fd_sc_hd__inv_4 _21161_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[52] ), + .Y(\mgmt_buffers.la_data_in_mprj[52] ) + ); + sky130_fd_sc_hd__inv_4 _21162_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[53] ), + .Y(\mgmt_buffers.la_data_in_mprj[53] ) + ); + sky130_fd_sc_hd__inv_4 _21163_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[54] ), + .Y(\mgmt_buffers.la_data_in_mprj[54] ) + ); + sky130_fd_sc_hd__inv_4 _21164_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[55] ), + .Y(\mgmt_buffers.la_data_in_mprj[55] ) + ); + sky130_fd_sc_hd__inv_4 _21165_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[56] ), + .Y(\mgmt_buffers.la_data_in_mprj[56] ) + ); + sky130_fd_sc_hd__inv_4 _21166_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[57] ), + .Y(\mgmt_buffers.la_data_in_mprj[57] ) + ); + sky130_fd_sc_hd__inv_4 _21167_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[58] ), + .Y(\mgmt_buffers.la_data_in_mprj[58] ) + ); + sky130_fd_sc_hd__inv_4 _21168_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[59] ), + .Y(\mgmt_buffers.la_data_in_mprj[59] ) + ); + sky130_fd_sc_hd__inv_4 _21169_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[60] ), + .Y(\mgmt_buffers.la_data_in_mprj[60] ) + ); + sky130_fd_sc_hd__inv_4 _21170_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[61] ), + .Y(\mgmt_buffers.la_data_in_mprj[61] ) + ); + sky130_fd_sc_hd__inv_4 _21171_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[62] ), + .Y(\mgmt_buffers.la_data_in_mprj[62] ) + ); + sky130_fd_sc_hd__inv_4 _21172_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[63] ), + .Y(\mgmt_buffers.la_data_in_mprj[63] ) + ); + sky130_fd_sc_hd__inv_4 _21173_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[64] ), + .Y(\mgmt_buffers.la_data_in_mprj[64] ) + ); + sky130_fd_sc_hd__inv_4 _21174_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[65] ), + .Y(\mgmt_buffers.la_data_in_mprj[65] ) + ); + sky130_fd_sc_hd__inv_4 _21175_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[66] ), + .Y(\mgmt_buffers.la_data_in_mprj[66] ) + ); + sky130_fd_sc_hd__inv_4 _21176_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[67] ), + .Y(\mgmt_buffers.la_data_in_mprj[67] ) + ); + sky130_fd_sc_hd__inv_4 _21177_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[68] ), + .Y(\mgmt_buffers.la_data_in_mprj[68] ) + ); + sky130_fd_sc_hd__inv_4 _21178_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[69] ), + .Y(\mgmt_buffers.la_data_in_mprj[69] ) + ); + sky130_fd_sc_hd__inv_4 _21179_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[70] ), + .Y(\mgmt_buffers.la_data_in_mprj[70] ) + ); + sky130_fd_sc_hd__inv_4 _21180_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[71] ), + .Y(\mgmt_buffers.la_data_in_mprj[71] ) + ); + sky130_fd_sc_hd__inv_4 _21181_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[72] ), + .Y(\mgmt_buffers.la_data_in_mprj[72] ) + ); + sky130_fd_sc_hd__inv_4 _21182_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[73] ), + .Y(\mgmt_buffers.la_data_in_mprj[73] ) + ); + sky130_fd_sc_hd__inv_4 _21183_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[74] ), + .Y(\mgmt_buffers.la_data_in_mprj[74] ) + ); + sky130_fd_sc_hd__inv_4 _21184_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[75] ), + .Y(\mgmt_buffers.la_data_in_mprj[75] ) + ); + sky130_fd_sc_hd__inv_4 _21185_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[76] ), + .Y(\mgmt_buffers.la_data_in_mprj[76] ) + ); + sky130_fd_sc_hd__inv_4 _21186_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[77] ), + .Y(\mgmt_buffers.la_data_in_mprj[77] ) + ); + sky130_fd_sc_hd__inv_4 _21187_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[78] ), + .Y(\mgmt_buffers.la_data_in_mprj[78] ) + ); + sky130_fd_sc_hd__inv_4 _21188_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[79] ), + .Y(\mgmt_buffers.la_data_in_mprj[79] ) + ); + sky130_fd_sc_hd__inv_4 _21189_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[80] ), + .Y(\mgmt_buffers.la_data_in_mprj[80] ) + ); + sky130_fd_sc_hd__inv_4 _21190_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[81] ), + .Y(\mgmt_buffers.la_data_in_mprj[81] ) + ); + sky130_fd_sc_hd__inv_4 _21191_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[82] ), + .Y(\mgmt_buffers.la_data_in_mprj[82] ) + ); + sky130_fd_sc_hd__inv_4 _21192_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[83] ), + .Y(\mgmt_buffers.la_data_in_mprj[83] ) + ); + sky130_fd_sc_hd__inv_4 _21193_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[84] ), + .Y(\mgmt_buffers.la_data_in_mprj[84] ) + ); + sky130_fd_sc_hd__inv_4 _21194_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[85] ), + .Y(\mgmt_buffers.la_data_in_mprj[85] ) + ); + sky130_fd_sc_hd__inv_4 _21195_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[86] ), + .Y(\mgmt_buffers.la_data_in_mprj[86] ) + ); + sky130_fd_sc_hd__inv_4 _21196_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[87] ), + .Y(\mgmt_buffers.la_data_in_mprj[87] ) + ); + sky130_fd_sc_hd__inv_4 _21197_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[88] ), + .Y(\mgmt_buffers.la_data_in_mprj[88] ) + ); + sky130_fd_sc_hd__inv_4 _21198_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[89] ), + .Y(\mgmt_buffers.la_data_in_mprj[89] ) + ); + sky130_fd_sc_hd__inv_4 _21199_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[90] ), + .Y(\mgmt_buffers.la_data_in_mprj[90] ) + ); + sky130_fd_sc_hd__inv_4 _21200_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[91] ), + .Y(\mgmt_buffers.la_data_in_mprj[91] ) + ); + sky130_fd_sc_hd__inv_4 _21201_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[92] ), + .Y(\mgmt_buffers.la_data_in_mprj[92] ) + ); + sky130_fd_sc_hd__inv_4 _21202_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[93] ), + .Y(\mgmt_buffers.la_data_in_mprj[93] ) + ); + sky130_fd_sc_hd__inv_4 _21203_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[94] ), + .Y(\mgmt_buffers.la_data_in_mprj[94] ) + ); + sky130_fd_sc_hd__inv_4 _21204_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[95] ), + .Y(\mgmt_buffers.la_data_in_mprj[95] ) + ); + sky130_fd_sc_hd__inv_4 _21205_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[96] ), + .Y(\mgmt_buffers.la_data_in_mprj[96] ) + ); + sky130_fd_sc_hd__inv_4 _21206_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[97] ), + .Y(\mgmt_buffers.la_data_in_mprj[97] ) + ); + sky130_fd_sc_hd__inv_4 _21207_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[98] ), + .Y(\mgmt_buffers.la_data_in_mprj[98] ) + ); + sky130_fd_sc_hd__inv_4 _21208_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[99] ), + .Y(\mgmt_buffers.la_data_in_mprj[99] ) + ); + sky130_fd_sc_hd__inv_4 _21209_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[100] ), + .Y(\mgmt_buffers.la_data_in_mprj[100] ) + ); + sky130_fd_sc_hd__inv_4 _21210_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[101] ), + .Y(\mgmt_buffers.la_data_in_mprj[101] ) + ); + sky130_fd_sc_hd__inv_4 _21211_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[102] ), + .Y(\mgmt_buffers.la_data_in_mprj[102] ) + ); + sky130_fd_sc_hd__inv_4 _21212_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[103] ), + .Y(\mgmt_buffers.la_data_in_mprj[103] ) + ); + sky130_fd_sc_hd__inv_4 _21213_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[104] ), + .Y(\mgmt_buffers.la_data_in_mprj[104] ) + ); + sky130_fd_sc_hd__inv_4 _21214_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[105] ), + .Y(\mgmt_buffers.la_data_in_mprj[105] ) + ); + sky130_fd_sc_hd__inv_4 _21215_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[106] ), + .Y(\mgmt_buffers.la_data_in_mprj[106] ) + ); + sky130_fd_sc_hd__inv_4 _21216_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[107] ), + .Y(\mgmt_buffers.la_data_in_mprj[107] ) + ); + sky130_fd_sc_hd__inv_4 _21217_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[108] ), + .Y(\mgmt_buffers.la_data_in_mprj[108] ) + ); + sky130_fd_sc_hd__inv_4 _21218_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[109] ), + .Y(\mgmt_buffers.la_data_in_mprj[109] ) + ); + sky130_fd_sc_hd__inv_4 _21219_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[110] ), + .Y(\mgmt_buffers.la_data_in_mprj[110] ) + ); + sky130_fd_sc_hd__inv_4 _21220_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[111] ), + .Y(\mgmt_buffers.la_data_in_mprj[111] ) + ); + sky130_fd_sc_hd__inv_4 _21221_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[112] ), + .Y(\mgmt_buffers.la_data_in_mprj[112] ) + ); + sky130_fd_sc_hd__inv_4 _21222_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[113] ), + .Y(\mgmt_buffers.la_data_in_mprj[113] ) + ); + sky130_fd_sc_hd__inv_4 _21223_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[114] ), + .Y(\mgmt_buffers.la_data_in_mprj[114] ) + ); + sky130_fd_sc_hd__inv_4 _21224_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[115] ), + .Y(\mgmt_buffers.la_data_in_mprj[115] ) + ); + sky130_fd_sc_hd__inv_4 _21225_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[116] ), + .Y(\mgmt_buffers.la_data_in_mprj[116] ) + ); + sky130_fd_sc_hd__inv_4 _21226_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[117] ), + .Y(\mgmt_buffers.la_data_in_mprj[117] ) + ); + sky130_fd_sc_hd__inv_4 _21227_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[118] ), + .Y(\mgmt_buffers.la_data_in_mprj[118] ) + ); + sky130_fd_sc_hd__inv_4 _21228_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[119] ), + .Y(\mgmt_buffers.la_data_in_mprj[119] ) + ); + sky130_fd_sc_hd__inv_4 _21229_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[120] ), + .Y(\mgmt_buffers.la_data_in_mprj[120] ) + ); + sky130_fd_sc_hd__inv_4 _21230_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[121] ), + .Y(\mgmt_buffers.la_data_in_mprj[121] ) + ); + sky130_fd_sc_hd__inv_4 _21231_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[122] ), + .Y(\mgmt_buffers.la_data_in_mprj[122] ) + ); + sky130_fd_sc_hd__inv_4 _21232_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[123] ), + .Y(\mgmt_buffers.la_data_in_mprj[123] ) + ); + sky130_fd_sc_hd__inv_4 _21233_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[124] ), + .Y(\mgmt_buffers.la_data_in_mprj[124] ) + ); + sky130_fd_sc_hd__inv_4 _21234_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[125] ), + .Y(\mgmt_buffers.la_data_in_mprj[125] ) + ); + sky130_fd_sc_hd__inv_4 _21235_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[126] ), + .Y(\mgmt_buffers.la_data_in_mprj[126] ) + ); + sky130_fd_sc_hd__inv_4 _21236_ ( + .A(\mgmt_buffers.la_data_in_mprj_bar[127] ), + .Y(\mgmt_buffers.la_data_in_mprj[127] ) + ); + sky130_fd_sc_hd__inv_4 _21237_ ( + .A(\soc.core.uart_phy_rx_phase[10] ), + .Y(_10916_) + ); + sky130_fd_sc_hd__inv_4 _21238_ ( + .A(\soc.core.uart_phy_tx_phase[31] ), + .Y(_10917_) + ); + sky130_fd_sc_hd__inv_4 _21239_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06146_) + ); + sky130_fd_sc_hd__inv_4 _21240_ ( + .A(\gpio_control_bidir_1[0].serial_clock ), + .Y(_06159_) + ); + sky130_fd_sc_hd__inv_4 _21241_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06160_) + ); + sky130_fd_sc_hd__inv_4 _21242_ ( + .A(\gpio_control_bidir_1[0].serial_clock_out ), + .Y(_06173_) + ); + sky130_fd_sc_hd__inv_4 _21243_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06174_) + ); + sky130_fd_sc_hd__inv_4 _21244_ ( + .A(\gpio_control_bidir_1[1].serial_clock_out ), + .Y(_06187_) + ); + sky130_fd_sc_hd__inv_4 _21245_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06188_) + ); + sky130_fd_sc_hd__inv_4 _21246_ ( + .A(\gpio_control_in_1a[0].serial_clock_out ), + .Y(_06201_) + ); + sky130_fd_sc_hd__inv_4 _21247_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06202_) + ); + sky130_fd_sc_hd__inv_4 _21248_ ( + .A(\gpio_control_in_1a[1].serial_clock_out ), + .Y(_06215_) + ); + sky130_fd_sc_hd__inv_4 _21249_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06216_) + ); + sky130_fd_sc_hd__inv_4 _21250_ ( + .A(\gpio_control_in_1a[2].serial_clock_out ), + .Y(_06229_) + ); + sky130_fd_sc_hd__inv_4 _21251_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06230_) + ); + sky130_fd_sc_hd__inv_4 _21252_ ( + .A(\gpio_control_in_1a[3].serial_clock_out ), + .Y(_06243_) + ); + sky130_fd_sc_hd__inv_4 _21253_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06244_) + ); + sky130_fd_sc_hd__inv_4 _21254_ ( + .A(\gpio_control_in_1a[4].serial_clock_out ), + .Y(_06257_) + ); + sky130_fd_sc_hd__inv_4 _21255_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06258_) + ); + sky130_fd_sc_hd__inv_4 _21256_ ( + .A(\gpio_control_in_1[0].serial_clock ), + .Y(_06271_) + ); + sky130_fd_sc_hd__inv_4 _21257_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06272_) + ); + sky130_fd_sc_hd__inv_4 _21258_ ( + .A(\gpio_control_in_1[0].serial_clock_out ), + .Y(_06285_) + ); + sky130_fd_sc_hd__inv_4 _21259_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06286_) + ); + sky130_fd_sc_hd__inv_4 _21260_ ( + .A(\gpio_control_in_1[1].serial_clock_out ), + .Y(_06299_) + ); + sky130_fd_sc_hd__inv_4 _21261_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06300_) + ); + sky130_fd_sc_hd__inv_4 _21262_ ( + .A(\gpio_control_in_1[2].serial_clock_out ), + .Y(_06313_) + ); + sky130_fd_sc_hd__inv_4 _21263_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06314_) + ); + sky130_fd_sc_hd__inv_4 _21264_ ( + .A(\gpio_control_in_1[3].serial_clock_out ), + .Y(_06327_) + ); + sky130_fd_sc_hd__inv_4 _21265_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06328_) + ); + sky130_fd_sc_hd__inv_4 _21266_ ( + .A(\gpio_control_in_1[4].serial_clock_out ), + .Y(_06341_) + ); + sky130_fd_sc_hd__inv_4 _21267_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06342_) + ); + sky130_fd_sc_hd__inv_4 _21268_ ( + .A(\gpio_control_in_1[5].serial_clock_out ), + .Y(_06355_) + ); + sky130_fd_sc_hd__inv_4 _21269_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06356_) + ); + sky130_fd_sc_hd__inv_4 _21270_ ( + .A(\gpio_control_in_1[6].serial_clock_out ), + .Y(_06369_) + ); + sky130_fd_sc_hd__inv_4 _21271_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06370_) + ); + sky130_fd_sc_hd__inv_4 _21272_ ( + .A(\gpio_control_in_1[7].serial_clock_out ), + .Y(_06383_) + ); + sky130_fd_sc_hd__inv_4 _21273_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06384_) + ); + sky130_fd_sc_hd__inv_4 _21274_ ( + .A(\gpio_control_in_1[8].serial_clock_out ), + .Y(_06397_) + ); + sky130_fd_sc_hd__inv_4 _21275_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06398_) + ); + sky130_fd_sc_hd__inv_4 _21276_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06411_) + ); + sky130_fd_sc_hd__inv_4 _21277_ ( + .A(\gpio_control_bidir_2[0].serial_clock ), + .Y(_06424_) + ); + sky130_fd_sc_hd__inv_4 _21278_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06425_) + ); + sky130_fd_sc_hd__inv_4 _21279_ ( + .A(\gpio_control_bidir_2[1].serial_clock ), + .Y(_06438_) + ); + sky130_fd_sc_hd__inv_4 _21280_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06453_) + ); + sky130_fd_sc_hd__inv_4 _21281_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06466_) + ); + sky130_fd_sc_hd__inv_4 _21282_ ( + .A(\gpio_control_in_2[1].serial_clock ), + .Y(_06479_) + ); + sky130_fd_sc_hd__inv_4 _21283_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06480_) + ); + sky130_fd_sc_hd__inv_4 _21284_ ( + .A(\gpio_control_in_2[2].serial_clock ), + .Y(_06493_) + ); + sky130_fd_sc_hd__inv_4 _21285_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06494_) + ); + sky130_fd_sc_hd__inv_4 _21286_ ( + .A(\gpio_control_in_2[3].serial_clock ), + .Y(_06507_) + ); + sky130_fd_sc_hd__inv_4 _21287_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06508_) + ); + sky130_fd_sc_hd__inv_4 _21288_ ( + .A(\gpio_control_in_2[4].serial_clock ), + .Y(_06521_) + ); + sky130_fd_sc_hd__inv_4 _21289_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06522_) + ); + sky130_fd_sc_hd__inv_4 _21290_ ( + .A(\gpio_control_in_2[5].serial_clock ), + .Y(_06535_) + ); + sky130_fd_sc_hd__inv_4 _21291_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06536_) + ); + sky130_fd_sc_hd__inv_4 _21292_ ( + .A(\gpio_control_in_2[6].serial_clock ), + .Y(_06549_) + ); + sky130_fd_sc_hd__inv_4 _21293_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06550_) + ); + sky130_fd_sc_hd__inv_4 _21294_ ( + .A(\gpio_control_in_2[7].serial_clock ), + .Y(_06563_) + ); + sky130_fd_sc_hd__inv_4 _21295_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06564_) + ); + sky130_fd_sc_hd__inv_4 _21296_ ( + .A(\gpio_control_in_2[8].serial_clock ), + .Y(_06577_) + ); + sky130_fd_sc_hd__inv_4 _21297_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06578_) + ); + sky130_fd_sc_hd__inv_4 _21298_ ( + .A(\gpio_control_in_2[10].serial_clock_out ), + .Y(_06591_) + ); + sky130_fd_sc_hd__inv_4 _21299_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06592_) + ); + sky130_fd_sc_hd__inv_4 _21300_ ( + .A(\gpio_control_in_2[10].serial_clock ), + .Y(_06605_) + ); + sky130_fd_sc_hd__inv_4 _21301_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06606_) + ); + sky130_fd_sc_hd__inv_4 _21302_ ( + .A(\gpio_control_in_2[11].serial_clock ), + .Y(_06619_) + ); + sky130_fd_sc_hd__inv_4 _21303_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06620_) + ); + sky130_fd_sc_hd__inv_4 _21304_ ( + .A(\gpio_control_in_2[12].serial_clock ), + .Y(_06633_) + ); + sky130_fd_sc_hd__inv_4 _21305_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06634_) + ); + sky130_fd_sc_hd__inv_4 _21306_ ( + .A(\gpio_control_in_2[13].serial_clock ), + .Y(_06647_) + ); + sky130_fd_sc_hd__inv_4 _21307_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06648_) + ); + sky130_fd_sc_hd__inv_4 _21308_ ( + .A(\gpio_control_in_2[14].serial_clock ), + .Y(_06661_) + ); + sky130_fd_sc_hd__inv_4 _21309_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06662_) + ); + sky130_fd_sc_hd__inv_4 _21310_ ( + .A(\gpio_control_bidir_2[0].serial_clock_out ), + .Y(_06675_) + ); + sky130_fd_sc_hd__and3_2 _21311_ ( + .A(\pll.pll_control.count0[2] ), + .B(\pll.pll_control.count0[1] ), + .C(\pll.pll_control.count0[0] ), + .X(_10918_) + ); + sky130_fd_sc_hd__and4_2 _21312_ ( + .A(\pll.pll_control.count0[3] ), + .B(\pll.pll_control.count0[2] ), + .C(\pll.pll_control.count0[1] ), + .D(\pll.pll_control.count0[0] ), + .X(_10919_) + ); + sky130_fd_sc_hd__xnor2_2 _21313_ ( + .A(\pll.pll_control.oscbuf[1] ), + .B(\pll.pll_control.oscbuf[2] ), + .Y(_10920_) + ); + sky130_fd_sc_hd__inv_4 _21314_ ( + .A(_10920_), + .Y(_10921_) + ); + sky130_fd_sc_hd__o21a_2 _21315_ ( + .A1(\pll.pll_control.count0[4] ), + .A2(_10919_), + .B1(_10920_), + .X(_10885_) + ); + sky130_fd_sc_hd__nand3b_2 _21316_ ( + .A_N(\pll.pll_control.count0[4] ), + .B(\pll.pll_control.count0[3] ), + .C(_10918_), + .Y(_10922_) + ); + sky130_fd_sc_hd__o211a_2 _21317_ ( + .A1(\pll.pll_control.count0[3] ), + .A2(_10918_), + .B1(_10920_), + .C1(_10922_), + .X(_10884_) + ); + sky130_fd_sc_hd__and3_2 _21318_ ( + .A(\pll.pll_control.count0[4] ), + .B(\pll.pll_control.count0[3] ), + .C(_10918_), + .X(_10923_) + ); + sky130_fd_sc_hd__a21o_2 _21319_ ( + .A1(\pll.pll_control.count0[1] ), + .A2(\pll.pll_control.count0[0] ), + .B1(\pll.pll_control.count0[2] ), + .X(_10924_) + ); + sky130_fd_sc_hd__and3b_2 _21320_ ( + .A_N(_10918_), + .B(_10920_), + .C(_10924_), + .X(_10925_) + ); + sky130_fd_sc_hd__a31o_2 _21321_ ( + .A1(\pll.pll_control.count0[4] ), + .A2(_10919_), + .A3(_10920_), + .B1(_10925_), + .X(_10883_) + ); + sky130_fd_sc_hd__xor2_2 _21322_ ( + .A(\pll.pll_control.count0[1] ), + .B(\pll.pll_control.count0[0] ), + .X(_10926_) + ); + sky130_fd_sc_hd__o21a_2 _21323_ ( + .A1(_10923_), + .A2(_10926_), + .B1(_10920_), + .X(_10882_) + ); + sky130_fd_sc_hd__nand3b_2 _21324_ ( + .A_N(_10923_), + .B(\pll.pll_control.count0[0] ), + .C(_10920_), + .Y(_10881_) + ); + sky130_fd_sc_hd__mux2_1 _21325_ ( + .A0(\pll.pll_control.prep[1] ), + .A1(\pll.pll_control.prep[2] ), + .S(_10920_), + .X(_10880_) + ); + sky130_fd_sc_hd__mux2_1 _21326_ ( + .A0(\pll.pll_control.prep[0] ), + .A1(\pll.pll_control.prep[1] ), + .S(_10920_), + .X(_10879_) + ); + sky130_fd_sc_hd__nand2b_2 _21327_ ( + .A_N(\pll.pll_control.prep[0] ), + .B(_10920_), + .Y(_10878_) + ); + sky130_fd_sc_hd__mux2_1 _21328_ ( + .A0(\pll.pll_control.count0[4] ), + .A1(\pll.pll_control.count1[4] ), + .S(_10920_), + .X(_10871_) + ); + sky130_fd_sc_hd__mux2_1 _21329_ ( + .A0(\pll.pll_control.count0[3] ), + .A1(\pll.pll_control.count1[3] ), + .S(_10920_), + .X(_10870_) + ); + sky130_fd_sc_hd__mux2_1 _21330_ ( + .A0(\pll.pll_control.count0[2] ), + .A1(\pll.pll_control.count1[2] ), + .S(_10920_), + .X(_10869_) + ); + sky130_fd_sc_hd__mux2_1 _21331_ ( + .A0(\pll.pll_control.count0[1] ), + .A1(\pll.pll_control.count1[1] ), + .S(_10920_), + .X(_10868_) + ); + sky130_fd_sc_hd__mux2_1 _21332_ ( + .A0(\pll.pll_control.count0[0] ), + .A1(\pll.pll_control.count1[0] ), + .S(_10920_), + .X(_10867_) + ); + sky130_fd_sc_hd__nand3b_2 _21333_ ( + .A_N(\soc.core.VexRiscv._zz_execute_ENV_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_ENV_CTRL[1] ), + .C(\soc.core.VexRiscv.execute_arbitration_isValid ), + .Y(_05088_) + ); + sky130_fd_sc_hd__inv_4 _21334_ ( + .A(_05088_), + .Y(\soc.core.VexRiscv.CsrPlugin_selfException_valid ) + ); + sky130_fd_sc_hd__and3_2 _21335_ ( + .A(\soc.core.VexRiscv.memory_arbitration_isValid ), + .B(\soc.core.VexRiscv.execute_to_memory_MEMORY_ENABLE ), + .C(\soc.core.VexRiscv.execute_to_memory_ALIGNEMENT_FAULT ), + .X(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ) + ); + sky130_fd_sc_hd__nand2_2 _21336_ ( + .A(\soc.core.VexRiscv.memory_arbitration_isValid ), + .B(\soc.core.VexRiscv.execute_to_memory_BRANCH_DO ), + .Y(_10927_) + ); + sky130_fd_sc_hd__a31o_2 _21337_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_isValid ), + .A2(\soc.core.VexRiscv.execute_to_memory_BRANCH_DO ), + .A3(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[1] ), + .B1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_10928_) + ); + sky130_fd_sc_hd__inv_4 _21338_ ( + .A(_10928_), + .Y(_05091_) + ); + sky130_fd_sc_hd__nand2b_2 _21339_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_hit_valid ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ), + .Y(_10929_) + ); + sky130_fd_sc_hd__inv_4 _21340_ ( + .A(_10929_), + .Y(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ) + ); + sky130_fd_sc_hd__nor2_2 _21341_ ( + .A(\soc.core.spimaster_storage[2] ), + .B(\soc.core.spimaster_storage[1] ), + .Y(_10930_) + ); + sky130_fd_sc_hd__nor3_2 _21342_ ( + .A(\soc.core.spimaster_storage[3] ), + .B(\soc.core.spimaster_storage[2] ), + .C(\soc.core.spimaster_storage[1] ), + .Y(_10931_) + ); + sky130_fd_sc_hd__nor4_2 _21343_ ( + .A(\soc.core.spimaster_storage[4] ), + .B(\soc.core.spimaster_storage[3] ), + .C(\soc.core.spimaster_storage[2] ), + .D(\soc.core.spimaster_storage[1] ), + .Y(_10932_) + ); + sky130_fd_sc_hd__nand3_2 _21344_ ( + .A(_10930_), + .B(_10899_), + .C(_10898_), + .Y(_10933_) + ); + sky130_fd_sc_hd__nand4_2 _21345_ ( + .A(_10931_), + .B(_10898_), + .C(_10897_), + .D(_10896_), + .Y(_10934_) + ); + sky130_fd_sc_hd__nor2_2 _21346_ ( + .A(\soc.core.spimaster_storage[7] ), + .B(_10934_), + .Y(_10935_) + ); + sky130_fd_sc_hd__nand4b_2 _21347_ ( + .A_N(\soc.core.spimaster_storage[7] ), + .B(_10896_), + .C(_10932_), + .D(_10897_), + .Y(_10936_) + ); + sky130_fd_sc_hd__nor3_2 _21348_ ( + .A(\soc.core.spimaster_storage[8] ), + .B(\soc.core.spimaster_storage[7] ), + .C(_10934_), + .Y(_10937_) + ); + sky130_fd_sc_hd__o41a_2 _21349_ ( + .A1(\soc.core.spimaster_storage[7] ), + .A2(\soc.core.spimaster_storage[6] ), + .A3(\soc.core.spimaster_storage[5] ), + .A4(_10933_), + .B1(\soc.core.spimaster_storage[8] ), + .X(_10938_) + ); + sky130_fd_sc_hd__nor2_2 _21350_ ( + .A(_10937_), + .B(_10938_), + .Y(_10939_) + ); + sky130_fd_sc_hd__a31o_2 _21351_ ( + .A1(_10931_), + .A2(_10898_), + .A3(_10897_), + .B1(_10896_), + .X(_10940_) + ); + sky130_fd_sc_hd__nand2_2 _21352_ ( + .A(_10934_), + .B(_10940_), + .Y(_10941_) + ); + sky130_fd_sc_hd__xor2_2 _21353_ ( + .A(\soc.core.spi_master_clk_divider1[5] ), + .B(_10941_), + .X(_10942_) + ); + sky130_fd_sc_hd__o31a_2 _21354_ ( + .A1(\soc.core.spimaster_storage[3] ), + .A2(\soc.core.spimaster_storage[2] ), + .A3(\soc.core.spimaster_storage[1] ), + .B1(\soc.core.spimaster_storage[4] ), + .X(_10943_) + ); + sky130_fd_sc_hd__nor2_2 _21355_ ( + .A(_10932_), + .B(_10943_), + .Y(_10944_) + ); + sky130_fd_sc_hd__xnor2_2 _21356_ ( + .A(\soc.core.spi_master_clk_divider1[0] ), + .B(\soc.core.spi_master_clk_divider1[1] ), + .Y(_10945_) + ); + sky130_fd_sc_hd__inv_4 _21357_ ( + .A(_10945_), + .Y(_02891_) + ); + sky130_fd_sc_hd__xor2_2 _21358_ ( + .A(\soc.core.spimaster_storage[1] ), + .B(\soc.core.spi_master_clk_divider1[0] ), + .X(_10946_) + ); + sky130_fd_sc_hd__o21ai_2 _21359_ ( + .A1(\soc.core.spimaster_storage[2] ), + .A2(_10945_), + .B1(_10946_), + .Y(_10947_) + ); + sky130_fd_sc_hd__a221o_2 _21360_ ( + .A1(\soc.core.spimaster_storage[2] ), + .A2(_10945_), + .B1(_10944_), + .B2(\soc.core.spi_master_clk_divider1[3] ), + .C1(_10947_), + .X(_10948_) + ); + sky130_fd_sc_hd__o21a_2 _21361_ ( + .A1(\soc.core.spimaster_storage[2] ), + .A2(\soc.core.spimaster_storage[1] ), + .B1(\soc.core.spimaster_storage[3] ), + .X(_10949_) + ); + sky130_fd_sc_hd__nor3_2 _21362_ ( + .A(\soc.core.spi_master_clk_divider1[2] ), + .B(_10931_), + .C(_10949_), + .Y(_10950_) + ); + sky130_fd_sc_hd__o21a_2 _21363_ ( + .A1(_10931_), + .A2(_10949_), + .B1(\soc.core.spi_master_clk_divider1[2] ), + .X(_10951_) + ); + sky130_fd_sc_hd__o22ai_2 _21364_ ( + .A1(\soc.core.spi_master_clk_divider1[3] ), + .A2(_10944_), + .B1(_10950_), + .B2(_10951_), + .Y(_10952_) + ); + sky130_fd_sc_hd__xor2_2 _21365_ ( + .A(\soc.core.spimaster_storage[5] ), + .B(_10933_), + .X(_10953_) + ); + sky130_fd_sc_hd__xnor2_2 _21366_ ( + .A(\soc.core.spi_master_clk_divider1[4] ), + .B(_10953_), + .Y(_10954_) + ); + sky130_fd_sc_hd__nor4_2 _21367_ ( + .A(_10942_), + .B(_10948_), + .C(_10952_), + .D(_10954_), + .Y(_10955_) + ); + sky130_fd_sc_hd__nand2_2 _21368_ ( + .A(_10934_), + .B(\soc.core.spimaster_storage[7] ), + .Y(_10956_) + ); + sky130_fd_sc_hd__and3b_2 _21369_ ( + .A_N(\soc.core.spi_master_clk_divider1[6] ), + .B(_10936_), + .C(_10956_), + .X(_10957_) + ); + sky130_fd_sc_hd__a21boi_2 _21370_ ( + .A1(_10936_), + .A2(_10956_), + .B1_N(\soc.core.spi_master_clk_divider1[6] ), + .Y(_10958_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21371_ ( + .A1_N(\soc.core.spi_master_clk_divider1[7] ), + .A2_N(_10939_), + .B1(_10957_), + .B2(_10958_), + .X(_10959_) + ); + sky130_fd_sc_hd__o2bb2ai_2 _21372_ ( + .A1_N(\soc.core.spi_master_clk_divider1[7] ), + .A2_N(_10939_), + .B1(_10957_), + .B2(_10958_), + .Y(_10960_) + ); + sky130_fd_sc_hd__nor4_2 _21373_ ( + .A(\soc.core.spimaster_storage[9] ), + .B(\soc.core.spimaster_storage[8] ), + .C(\soc.core.spimaster_storage[7] ), + .D(_10934_), + .Y(_10961_) + ); + sky130_fd_sc_hd__o31a_2 _21374_ ( + .A1(\soc.core.spimaster_storage[8] ), + .A2(\soc.core.spimaster_storage[7] ), + .A3(_10934_), + .B1(\soc.core.spimaster_storage[9] ), + .X(_10962_) + ); + sky130_fd_sc_hd__nor3b_2 _21375_ ( + .A(_10961_), + .B(_10962_), + .C_N(\soc.core.spi_master_clk_divider1[8] ), + .Y(_10963_) + ); + sky130_fd_sc_hd__o21ba_2 _21376_ ( + .A1(_10961_), + .A2(_10962_), + .B1_N(\soc.core.spi_master_clk_divider1[8] ), + .X(_10964_) + ); + sky130_fd_sc_hd__nor2_2 _21377_ ( + .A(_10963_), + .B(_10964_), + .Y(_10965_) + ); + sky130_fd_sc_hd__o2111a_2 _21378_ ( + .A1(\soc.core.spi_master_clk_divider1[7] ), + .A2(_10939_), + .B1(_10955_), + .C1(_10959_), + .D1(_10965_), + .X(_10966_) + ); + sky130_fd_sc_hd__nor2_2 _21379_ ( + .A(\soc.core.spimaster_storage[10] ), + .B(\soc.core.spimaster_storage[9] ), + .Y(_10967_) + ); + sky130_fd_sc_hd__nor3_2 _21380_ ( + .A(\soc.core.spimaster_storage[10] ), + .B(\soc.core.spimaster_storage[9] ), + .C(\soc.core.spimaster_storage[8] ), + .Y(_10968_) + ); + sky130_fd_sc_hd__nand2b_2 _21381_ ( + .A_N(\soc.core.spimaster_storage[8] ), + .B(_10967_), + .Y(_10969_) + ); + sky130_fd_sc_hd__nand2_2 _21382_ ( + .A(_10935_), + .B(_10968_), + .Y(_10970_) + ); + sky130_fd_sc_hd__o41ai_2 _21383_ ( + .A1(\soc.core.spimaster_storage[9] ), + .A2(\soc.core.spimaster_storage[8] ), + .A3(\soc.core.spimaster_storage[7] ), + .A4(_10934_), + .B1(\soc.core.spimaster_storage[10] ), + .Y(_10971_) + ); + sky130_fd_sc_hd__o311a_2 _21384_ ( + .A1(\soc.core.spimaster_storage[7] ), + .A2(_10934_), + .A3(_10969_), + .B1(\soc.core.spi_master_clk_divider1[9] ), + .C1(_10971_), + .X(_10972_) + ); + sky130_fd_sc_hd__a21o_2 _21385_ ( + .A1(_10970_), + .A2(_10971_), + .B1(\soc.core.spi_master_clk_divider1[9] ), + .X(_10973_) + ); + sky130_fd_sc_hd__nand3b_2 _21386_ ( + .A_N(_10972_), + .B(_10973_), + .C(_10966_), + .Y(_10974_) + ); + sky130_fd_sc_hd__nor4_2 _21387_ ( + .A(\soc.core.spimaster_storage[11] ), + .B(_10969_), + .C(\soc.core.spimaster_storage[7] ), + .D(_10934_), + .Y(_10975_) + ); + sky130_fd_sc_hd__o31a_2 _21388_ ( + .A1(_10969_), + .A2(\soc.core.spimaster_storage[7] ), + .A3(_10934_), + .B1(\soc.core.spimaster_storage[11] ), + .X(_10976_) + ); + sky130_fd_sc_hd__nor2_2 _21389_ ( + .A(_10975_), + .B(_10976_), + .Y(_10977_) + ); + sky130_fd_sc_hd__xnor2_2 _21390_ ( + .A(\soc.core.spi_master_clk_divider1[10] ), + .B(_10977_), + .Y(_10978_) + ); + sky130_fd_sc_hd__nor2_2 _21391_ ( + .A(\soc.core.spimaster_storage[12] ), + .B(\soc.core.spimaster_storage[11] ), + .Y(_10979_) + ); + sky130_fd_sc_hd__nand3_2 _21392_ ( + .A(_10935_), + .B(_10968_), + .C(_10979_), + .Y(_10980_) + ); + sky130_fd_sc_hd__o41a_2 _21393_ ( + .A1(\soc.core.spimaster_storage[11] ), + .A2(_10969_), + .A3(\soc.core.spimaster_storage[7] ), + .A4(_10934_), + .B1(\soc.core.spimaster_storage[12] ), + .X(_10981_) + ); + sky130_fd_sc_hd__a31oi_2 _21394_ ( + .A1(_10935_), + .A2(_10968_), + .A3(_10979_), + .B1(_10981_), + .Y(_10982_) + ); + sky130_fd_sc_hd__xnor2_2 _21395_ ( + .A(\soc.core.spi_master_clk_divider1[11] ), + .B(_10982_), + .Y(_10983_) + ); + sky130_fd_sc_hd__nand4b_2 _21396_ ( + .A_N(\soc.core.spimaster_storage[13] ), + .B(_10935_), + .C(_10968_), + .D(_10979_), + .Y(_10984_) + ); + sky130_fd_sc_hd__nand2_2 _21397_ ( + .A(_10980_), + .B(\soc.core.spimaster_storage[13] ), + .Y(_10985_) + ); + sky130_fd_sc_hd__a21o_2 _21398_ ( + .A1(_10984_), + .A2(_10985_), + .B1(\soc.core.spi_master_clk_divider1[12] ), + .X(_10986_) + ); + sky130_fd_sc_hd__nand3_2 _21399_ ( + .A(_10985_), + .B(\soc.core.spi_master_clk_divider1[12] ), + .C(_10984_), + .Y(_10987_) + ); + sky130_fd_sc_hd__nand2_2 _21400_ ( + .A(_10986_), + .B(_10987_), + .Y(_10988_) + ); + sky130_fd_sc_hd__nor4_2 _21401_ ( + .A(_10974_), + .B(_10978_), + .C(_10983_), + .D(_10988_), + .Y(_10989_) + ); + sky130_fd_sc_hd__nor2_2 _21402_ ( + .A(\soc.core.spimaster_storage[14] ), + .B(\soc.core.spimaster_storage[13] ), + .Y(_10990_) + ); + sky130_fd_sc_hd__nand4_2 _21403_ ( + .A(_10935_), + .B(_10968_), + .C(_10979_), + .D(_10990_), + .Y(_10991_) + ); + sky130_fd_sc_hd__nand4bb_2 _21404_ ( + .A_N(\soc.core.spimaster_storage[15] ), + .B_N(\soc.core.spimaster_storage[12] ), + .C(_10975_), + .D(_10990_), + .Y(_10992_) + ); + sky130_fd_sc_hd__xor2_2 _21405_ ( + .A(\soc.core.spi_master_clk_divider1[15] ), + .B(_10992_), + .X(_10993_) + ); + sky130_fd_sc_hd__o21ai_2 _21406_ ( + .A1(\soc.core.spimaster_storage[13] ), + .A2(_10980_), + .B1(\soc.core.spimaster_storage[14] ), + .Y(_10994_) + ); + sky130_fd_sc_hd__and2_4 _21407_ ( + .A(_10991_), + .B(_10994_), + .X(_10995_) + ); + sky130_fd_sc_hd__xor2_2 _21408_ ( + .A(\soc.core.spi_master_clk_divider1[13] ), + .B(_10995_), + .X(_10996_) + ); + sky130_fd_sc_hd__nand2_2 _21409_ ( + .A(_10991_), + .B(\soc.core.spimaster_storage[15] ), + .Y(_10997_) + ); + sky130_fd_sc_hd__a21oi_2 _21410_ ( + .A1(_10992_), + .A2(_10997_), + .B1(\soc.core.spi_master_clk_divider1[14] ), + .Y(_10998_) + ); + sky130_fd_sc_hd__and3_2 _21411_ ( + .A(_10992_), + .B(_10997_), + .C(\soc.core.spi_master_clk_divider1[14] ), + .X(_10999_) + ); + sky130_fd_sc_hd__nor2_2 _21412_ ( + .A(_10998_), + .B(_10999_), + .Y(_11000_) + ); + sky130_fd_sc_hd__and4_2 _21413_ ( + .A(_10989_), + .B(_10993_), + .C(_10996_), + .D(_11000_), + .X(_05105_) + ); + sky130_fd_sc_hd__and2b_2 _21414_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .X(_04916_) + ); + sky130_fd_sc_hd__and3b_2 _21415_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_WE ), + .X(_05019_) + ); + sky130_fd_sc_hd__nand3_2 _21416_ ( + .A(\soc.core.VexRiscv.dBusWishbone_WE ), + .B(_05025_), + .C(_04916_), + .Y(\mgmt_buffers.mprj_sel_o_core[2] ) + ); + sky130_fd_sc_hd__nand2_2 _21417_ ( + .A(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[1] ), + .B(_05027_), + .Y(_11001_) + ); + sky130_fd_sc_hd__nand2_2 _21418_ ( + .A(_05027_), + .B(_05019_), + .Y(\mgmt_buffers.mprj_sel_o_core[3] ) + ); + sky130_fd_sc_hd__o21a_2 _21419_ ( + .A1(\mgmt_buffers.mprj_sel_o_core[2] ), + .A2(_11001_), + .B1(\mgmt_buffers.mprj_we_o_core ), + .X(_11002_) + ); + sky130_fd_sc_hd__nor2_2 _21420_ ( + .A(\soc.core.state ), + .B(_04963_), + .Y(_11003_) + ); + sky130_fd_sc_hd__inv_4 _21421_ ( + .A(_11003_), + .Y(_11004_) + ); + sky130_fd_sc_hd__nand4_2 _21422_ ( + .A(_04969_), + .B(_04966_), + .C(_04975_), + .D(_04972_), + .Y(_11005_) + ); + sky130_fd_sc_hd__nand4_2 _21423_ ( + .A(_04945_), + .B(_04948_), + .C(_04951_), + .D(_04954_), + .Y(_11006_) + ); + sky130_fd_sc_hd__nor2_2 _21424_ ( + .A(_11005_), + .B(_11006_), + .Y(_11007_) + ); + sky130_fd_sc_hd__and4_2 _21425_ ( + .A(_04936_), + .B(_04939_), + .C(_04978_), + .D(_04981_), + .X(_11008_) + ); + sky130_fd_sc_hd__nor2_2 _21426_ ( + .A(_04925_), + .B(_04928_), + .Y(_11009_) + ); + sky130_fd_sc_hd__nor4_2 _21427_ ( + .A(_04919_), + .B(_04922_), + .C(_04925_), + .D(_04928_), + .Y(_11010_) + ); + sky130_fd_sc_hd__nand3_2 _21428_ ( + .A(_11007_), + .B(_11008_), + .C(_11010_), + .Y(_11011_) + ); + sky130_fd_sc_hd__nor2_2 _21429_ ( + .A(_11004_), + .B(_11011_), + .Y(_11012_) + ); + sky130_fd_sc_hd__nand4_2 _21430_ ( + .A(_11003_), + .B(_11007_), + .C(_11008_), + .D(_11010_), + .Y(_11013_) + ); + sky130_fd_sc_hd__o211a_2 _21431_ ( + .A1(\mgmt_buffers.mprj_sel_o_core[2] ), + .A2(_11001_), + .B1(_11012_), + .C1(\mgmt_buffers.mprj_we_o_core ), + .X(_11014_) + ); + sky130_fd_sc_hd__nand2_2 _21432_ ( + .A(_11002_), + .B(_11012_), + .Y(_11015_) + ); + sky130_fd_sc_hd__and3_2 _21433_ ( + .A(_04987_), + .B(_04990_), + .C(_04993_), + .X(_11016_) + ); + sky130_fd_sc_hd__and3_2 _21434_ ( + .A(_11012_), + .B(\mgmt_buffers.mprj_adr_o_core[12] ), + .C(\mgmt_buffers.mprj_adr_o_core[11] ), + .X(_11017_) + ); + sky130_fd_sc_hd__and4_2 _21435_ ( + .A(_11012_), + .B(_11016_), + .C(\mgmt_buffers.mprj_adr_o_core[11] ), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_11018_) + ); + sky130_fd_sc_hd__and3_2 _21436_ ( + .A(_04942_), + .B(_05015_), + .C(_05018_), + .X(_11019_) + ); + sky130_fd_sc_hd__nand3_2 _21437_ ( + .A(_04942_), + .B(_05015_), + .C(_05018_), + .Y(_11020_) + ); + sky130_fd_sc_hd__nand2_2 _21438_ ( + .A(_05009_), + .B(_05012_), + .Y(_11021_) + ); + sky130_fd_sc_hd__nor2_2 _21439_ ( + .A(_11020_), + .B(_11021_), + .Y(_11022_) + ); + sky130_fd_sc_hd__nor4_2 _21440_ ( + .A(\mgmt_buffers.mprj_adr_o_core[4] ), + .B(\mgmt_buffers.mprj_adr_o_core[5] ), + .C(_11020_), + .D(_11021_), + .Y(_11023_) + ); + sky130_fd_sc_hd__nor2_2 _21441_ ( + .A(_04996_), + .B(_11013_), + .Y(_11024_) + ); + sky130_fd_sc_hd__nor4_2 _21442_ ( + .A(_04996_), + .B(_04999_), + .C(_11004_), + .D(_11011_), + .Y(_11025_) + ); + sky130_fd_sc_hd__and3_2 _21443_ ( + .A(_11024_), + .B(\mgmt_buffers.mprj_adr_o_core[3] ), + .C(_11023_), + .X(_11026_) + ); + sky130_fd_sc_hd__and3_2 _21444_ ( + .A(_11018_), + .B(_11023_), + .C(_11025_), + .X(_11027_) + ); + sky130_fd_sc_hd__and4_2 _21445_ ( + .A(_11003_), + .B(_11007_), + .C(_11008_), + .D(_11010_), + .X(_11028_) + ); + sky130_fd_sc_hd__nand4_2 _21446_ ( + .A(_11003_), + .B(_11007_), + .C(_11008_), + .D(_11010_), + .Y(_11029_) + ); + sky130_fd_sc_hd__and3_2 _21447_ ( + .A(_11028_), + .B(\mgmt_buffers.mprj_adr_o_core[3] ), + .C(\mgmt_buffers.mprj_adr_o_core[2] ), + .X(_11030_) + ); + sky130_fd_sc_hd__and4_2 _21448_ ( + .A(_11028_), + .B(\mgmt_buffers.mprj_adr_o_core[3] ), + .C(\mgmt_buffers.mprj_adr_o_core[2] ), + .D(_11023_), + .X(_11031_) + ); + sky130_fd_sc_hd__nor2_2 _21449_ ( + .A(_04957_), + .B(_11029_), + .Y(_11032_) + ); + sky130_fd_sc_hd__nand2_2 _21450_ ( + .A(_11002_), + .B(_11028_), + .Y(_11033_) + ); + sky130_fd_sc_hd__a21boi_2 _21451_ ( + .A1(_11027_), + .A2(_11015_), + .B1_N(\soc.core.mgmtsoc_master_rx_fifo_source_valid ), + .Y(_11034_) + ); + sky130_fd_sc_hd__inv_4 _21452_ ( + .A(_11034_), + .Y(\soc.core.litespi_rx_demux_endpoint1_source_ready ) + ); + sky130_fd_sc_hd__nor3_2 _21453_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_count[2] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_count[1] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_count[0] ), + .Y(_11035_) + ); + sky130_fd_sc_hd__nor4_2 _21454_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_count[3] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_count[2] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_count[1] ), + .D(\soc.core.mgmtsoc_litespisdrphycore_count[0] ), + .Y(_11036_) + ); + sky130_fd_sc_hd__inv_4 _21455_ ( + .A(_11036_), + .Y(\soc.core.flash_cs_n ) + ); + sky130_fd_sc_hd__nor2_2 _21456_ ( + .A(\pll.pll_control.tint[1] ), + .B(\pll.pll_control.tint[0] ), + .Y(_11037_) + ); + sky130_fd_sc_hd__nand2b_2 _21457_ ( + .A_N(\pll.pll_control.tint[1] ), + .B(_10903_), + .Y(_11038_) + ); + sky130_fd_sc_hd__nand2b_2 _21458_ ( + .A_N(\pll.pll_control.tint[4] ), + .B(_10902_), + .Y(_04562_) + ); + sky130_fd_sc_hd__nor2_2 _21459_ ( + .A(\pll.pll_control.tint[3] ), + .B(\pll.pll_control.tint[2] ), + .Y(_11039_) + ); + sky130_fd_sc_hd__nor2_2 _21460_ ( + .A(\pll.pll_control.tint[2] ), + .B(_04562_), + .Y(_11040_) + ); + sky130_fd_sc_hd__inv_4 _21461_ ( + .A(_11040_), + .Y(_04563_) + ); + sky130_fd_sc_hd__nand2_2 _21462_ ( + .A(_11037_), + .B(_11039_), + .Y(_04559_) + ); + sky130_fd_sc_hd__nand2_2 _21463_ ( + .A(_11037_), + .B(_11040_), + .Y(_04560_) + ); + sky130_fd_sc_hd__nor2_2 _21464_ ( + .A(\pll.pll_control.tval[1] ), + .B(\pll.pll_control.tval[0] ), + .Y(_11041_) + ); + sky130_fd_sc_hd__xnor2_2 _21465_ ( + .A(\pll.pll_control.count0[4] ), + .B(\pll.pll_control.count1[4] ), + .Y(_11042_) + ); + sky130_fd_sc_hd__nor2_2 _21466_ ( + .A(\pll.pll_control.count0[3] ), + .B(\pll.pll_control.count1[3] ), + .Y(_11043_) + ); + sky130_fd_sc_hd__and2_4 _21467_ ( + .A(\pll.pll_control.count0[3] ), + .B(\pll.pll_control.count1[3] ), + .X(_11044_) + ); + sky130_fd_sc_hd__nand2_2 _21468_ ( + .A(\pll.pll_control.count0[3] ), + .B(\pll.pll_control.count1[3] ), + .Y(_11045_) + ); + sky130_fd_sc_hd__nor2_2 _21469_ ( + .A(\pll.pll_control.count0[2] ), + .B(\pll.pll_control.count1[2] ), + .Y(_11046_) + ); + sky130_fd_sc_hd__and2_4 _21470_ ( + .A(\pll.pll_control.count0[2] ), + .B(\pll.pll_control.count1[2] ), + .X(_11047_) + ); + sky130_fd_sc_hd__nand2_2 _21471_ ( + .A(\pll.pll_control.count0[2] ), + .B(\pll.pll_control.count1[2] ), + .Y(_11048_) + ); + sky130_fd_sc_hd__and2_4 _21472_ ( + .A(\pll.pll_control.count0[1] ), + .B(\pll.pll_control.count1[1] ), + .X(_11049_) + ); + sky130_fd_sc_hd__nand2_2 _21473_ ( + .A(\pll.pll_control.count0[1] ), + .B(\pll.pll_control.count1[1] ), + .Y(_11050_) + ); + sky130_fd_sc_hd__nor2_2 _21474_ ( + .A(\pll.pll_control.count0[1] ), + .B(\pll.pll_control.count1[1] ), + .Y(_11051_) + ); + sky130_fd_sc_hd__and2_4 _21475_ ( + .A(\pll.pll_control.count0[0] ), + .B(\pll.pll_control.count1[0] ), + .X(_11052_) + ); + sky130_fd_sc_hd__nand2_2 _21476_ ( + .A(\pll.pll_control.count0[0] ), + .B(\pll.pll_control.count1[0] ), + .Y(_11053_) + ); + sky130_fd_sc_hd__o21ai_2 _21477_ ( + .A1(\pll.pll_control.count0[1] ), + .A2(\pll.pll_control.count1[1] ), + .B1(_11052_), + .Y(_11054_) + ); + sky130_fd_sc_hd__o21ai_2 _21478_ ( + .A1(_11053_), + .A2(_11051_), + .B1(_11050_), + .Y(_11055_) + ); + sky130_fd_sc_hd__o211ai_2 _21479_ ( + .A1(_11053_), + .A2(_11051_), + .B1(_11050_), + .C1(_11048_), + .Y(_11056_) + ); + sky130_fd_sc_hd__o21ai_2 _21480_ ( + .A1(\pll.pll_control.count0[2] ), + .A2(\pll.pll_control.count1[2] ), + .B1(_11055_), + .Y(_11057_) + ); + sky130_fd_sc_hd__o221ai_2 _21481_ ( + .A1(\pll.pll_control.count0[3] ), + .A2(\pll.pll_control.count1[3] ), + .B1(\pll.pll_control.count1[2] ), + .B2(\pll.pll_control.count0[2] ), + .C1(_11056_), + .Y(_11058_) + ); + sky130_fd_sc_hd__a21oi_2 _21482_ ( + .A1(_11045_), + .A2(_11058_), + .B1(_11042_), + .Y(_11059_) + ); + sky130_fd_sc_hd__a21oi_2 _21483_ ( + .A1(\pll.pll_control.count0[4] ), + .A2(\pll.pll_control.count1[4] ), + .B1(_11059_), + .Y(_11060_) + ); + sky130_fd_sc_hd__and3_2 _21484_ ( + .A(_11042_), + .B(_11045_), + .C(_11058_), + .X(_11061_) + ); + sky130_fd_sc_hd__o21a_2 _21485_ ( + .A1(\pll.div[4] ), + .A2(_11061_), + .B1(_11060_), + .X(_11062_) + ); + sky130_fd_sc_hd__nor2_2 _21486_ ( + .A(\pll.pll_control.count0[0] ), + .B(\pll.pll_control.count1[0] ), + .Y(_11063_) + ); + sky130_fd_sc_hd__o21ai_2 _21487_ ( + .A1(_11049_), + .A2(_11051_), + .B1(_11053_), + .Y(_11064_) + ); + sky130_fd_sc_hd__o21ai_2 _21488_ ( + .A1(_11049_), + .A2(_11054_), + .B1(_11064_), + .Y(_11065_) + ); + sky130_fd_sc_hd__o32ai_2 _21489_ ( + .A1(\pll.div[0] ), + .A2(_11052_), + .A3(_11063_), + .B1(\pll.div[1] ), + .B2(_11065_), + .Y(_11066_) + ); + sky130_fd_sc_hd__nand2_2 _21490_ ( + .A(_11065_), + .B(\pll.div[1] ), + .Y(_11067_) + ); + sky130_fd_sc_hd__nand2_2 _21491_ ( + .A(_11066_), + .B(_11067_), + .Y(_11068_) + ); + sky130_fd_sc_hd__nor2_2 _21492_ ( + .A(_11043_), + .B(_11044_), + .Y(_11069_) + ); + sky130_fd_sc_hd__o211ai_2 _21493_ ( + .A1(\pll.pll_control.count0[2] ), + .A2(\pll.pll_control.count1[2] ), + .B1(_11069_), + .C1(_11056_), + .Y(_11070_) + ); + sky130_fd_sc_hd__o211ai_2 _21494_ ( + .A1(_11043_), + .A2(_11044_), + .B1(_11048_), + .C1(_11057_), + .Y(_11071_) + ); + sky130_fd_sc_hd__nand2_2 _21495_ ( + .A(_11070_), + .B(_11071_), + .Y(_11072_) + ); + sky130_fd_sc_hd__a21o_2 _21496_ ( + .A1(_11070_), + .A2(_11071_), + .B1(_10910_), + .X(_11073_) + ); + sky130_fd_sc_hd__nand4b_2 _21497_ ( + .A_N(_11046_), + .B(_11048_), + .C(_11050_), + .D(_11054_), + .Y(_11074_) + ); + sky130_fd_sc_hd__o21ai_2 _21498_ ( + .A1(_11046_), + .A2(_11047_), + .B1(_11055_), + .Y(_11075_) + ); + sky130_fd_sc_hd__nand3_2 _21499_ ( + .A(_11075_), + .B(\pll.div[2] ), + .C(_11074_), + .Y(_11076_) + ); + sky130_fd_sc_hd__a32oi_2 _21500_ ( + .A1(\pll.div[2] ), + .A2(_11074_), + .A3(_11075_), + .B1(_11072_), + .B2(\pll.div[3] ), + .Y(_11077_) + ); + sky130_fd_sc_hd__a21oi_2 _21501_ ( + .A1(_11074_), + .A2(_11075_), + .B1(\pll.div[2] ), + .Y(_11078_) + ); + sky130_fd_sc_hd__and3_2 _21502_ ( + .A(_10910_), + .B(_11070_), + .C(_11071_), + .X(_11079_) + ); + sky130_fd_sc_hd__a31oi_2 _21503_ ( + .A1(_10910_), + .A2(_11070_), + .A3(_11071_), + .B1(_11078_), + .Y(_11080_) + ); + sky130_fd_sc_hd__nand2_2 _21504_ ( + .A(_11077_), + .B(_11080_), + .Y(_11081_) + ); + sky130_fd_sc_hd__nand4_2 _21505_ ( + .A(_11080_), + .B(_11076_), + .C(_11073_), + .D(_11068_), + .Y(_11082_) + ); + sky130_fd_sc_hd__o21ai_2 _21506_ ( + .A1(_11059_), + .A2(_11061_), + .B1(\pll.div[4] ), + .Y(_11083_) + ); + sky130_fd_sc_hd__o211ai_2 _21507_ ( + .A1(_11077_), + .A2(_11079_), + .B1(_11082_), + .C1(_11083_), + .Y(_11084_) + ); + sky130_fd_sc_hd__nand2_2 _21508_ ( + .A(_11084_), + .B(_11062_), + .Y(_11085_) + ); + sky130_fd_sc_hd__and3_2 _21509_ ( + .A(_11084_), + .B(_11062_), + .C(_11041_), + .X(_11086_) + ); + sky130_fd_sc_hd__o21ai_2 _21510_ ( + .A1(_11052_), + .A2(_11063_), + .B1(\pll.div[0] ), + .Y(_11087_) + ); + sky130_fd_sc_hd__a21oi_2 _21511_ ( + .A1(\pll.div[1] ), + .A2(_11065_), + .B1(_11066_), + .Y(_11088_) + ); + sky130_fd_sc_hd__nand4_2 _21512_ ( + .A(_11062_), + .B(_11083_), + .C(_11087_), + .D(_11088_), + .Y(_11089_) + ); + sky130_fd_sc_hd__and4_2 _21513_ ( + .A(\pll.pll_control.prep[1] ), + .B(\pll.pll_control.prep[2] ), + .C(\pll.pll_control.prep[0] ), + .D(_10921_), + .X(_11090_) + ); + sky130_fd_sc_hd__o21ai_2 _21514_ ( + .A1(_11081_), + .A2(_11089_), + .B1(_11090_), + .Y(_11091_) + ); + sky130_fd_sc_hd__and2_4 _21515_ ( + .A(\pll.pll_control.tint[1] ), + .B(\pll.pll_control.tint[0] ), + .X(_11092_) + ); + sky130_fd_sc_hd__nand4_2 _21516_ ( + .A(\pll.pll_control.tint[3] ), + .B(\pll.pll_control.tint[2] ), + .C(\pll.pll_control.tint[1] ), + .D(\pll.pll_control.tint[0] ), + .Y(_04574_) + ); + sky130_fd_sc_hd__and2_4 _21517_ ( + .A(\pll.pll_control.tval[1] ), + .B(\pll.pll_control.tval[0] ), + .X(_11093_) + ); + sky130_fd_sc_hd__and4_2 _21518_ ( + .A(\pll.pll_control.tint[3] ), + .B(\pll.pll_control.tint[2] ), + .C(_11092_), + .D(_11093_), + .X(_11094_) + ); + sky130_fd_sc_hd__a31o_2 _21519_ ( + .A1(_11085_), + .A2(_11094_), + .A3(\pll.pll_control.tint[4] ), + .B1(_11091_), + .X(_11095_) + ); + sky130_fd_sc_hd__a31oi_2 _21520_ ( + .A1(_11037_), + .A2(_11040_), + .A3(_11086_), + .B1(_11095_), + .Y(_11096_) + ); + sky130_fd_sc_hd__nor4_2 _21521_ ( + .A(\pll.pll_control.tint[1] ), + .B(\pll.pll_control.tint[0] ), + .C(\pll.pll_control.tval[1] ), + .D(\pll.pll_control.tval[0] ), + .Y(_11097_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21522_ ( + .A1_N(_11092_), + .A2_N(_11093_), + .B1(_11097_), + .B2(_11085_), + .X(_11098_) + ); + sky130_fd_sc_hd__and3_2 _21523_ ( + .A(_11084_), + .B(_11062_), + .C(_11039_), + .X(_11099_) + ); + sky130_fd_sc_hd__a22o_2 _21524_ ( + .A1(_11085_), + .A2(_11094_), + .B1(_11098_), + .B2(_11099_), + .X(_11100_) + ); + sky130_fd_sc_hd__a21oi_2 _21525_ ( + .A1(_11100_), + .A2(_11096_), + .B1(\pll.pll_control.tint[4] ), + .Y(_11101_) + ); + sky130_fd_sc_hd__xnor2_2 _21526_ ( + .A(\pll.pll_control.tint[2] ), + .B(_11085_), + .Y(_11102_) + ); + sky130_fd_sc_hd__nand2b_2 _21527_ ( + .A_N(_11098_), + .B(_11102_), + .Y(_11103_) + ); + sky130_fd_sc_hd__a31oi_2 _21528_ ( + .A1(\pll.pll_control.tint[4] ), + .A2(_11096_), + .A3(_11100_), + .B1(_11101_), + .Y(_08676_) + ); + sky130_fd_sc_hd__o21ai_2 _21529_ ( + .A1(\pll.pll_control.tint[2] ), + .A2(_11085_), + .B1(_11103_), + .Y(_11104_) + ); + sky130_fd_sc_hd__o211ai_2 _21530_ ( + .A1(_11085_), + .A2(_11103_), + .B1(_11096_), + .C1(_11104_), + .Y(_11105_) + ); + sky130_fd_sc_hd__xor2_2 _21531_ ( + .A(_10902_), + .B(_11105_), + .X(_08675_) + ); + sky130_fd_sc_hd__xnor2_2 _21532_ ( + .A(_11098_), + .B(_11102_), + .Y(_11106_) + ); + sky130_fd_sc_hd__mux2_1 _21533_ ( + .A0(\pll.pll_control.tint[2] ), + .A1(_11106_), + .S(_11096_), + .X(_08674_) + ); + sky130_fd_sc_hd__a31o_2 _21534_ ( + .A1(\pll.pll_control.tint[0] ), + .A2(\pll.pll_control.tval[1] ), + .A3(\pll.pll_control.tval[0] ), + .B1(_11086_), + .X(_11107_) + ); + sky130_fd_sc_hd__o211ai_2 _21535_ ( + .A1(_10903_), + .A2(_11085_), + .B1(_11107_), + .C1(_11096_), + .Y(_11108_) + ); + sky130_fd_sc_hd__xnor2_2 _21536_ ( + .A(\pll.pll_control.tint[1] ), + .B(_11108_), + .Y(_08673_) + ); + sky130_fd_sc_hd__a21o_2 _21537_ ( + .A1(_11085_), + .A2(_11093_), + .B1(_11086_), + .X(_11109_) + ); + sky130_fd_sc_hd__nand3_2 _21538_ ( + .A(_11096_), + .B(_11109_), + .C(_10903_), + .Y(_11110_) + ); + sky130_fd_sc_hd__a21o_2 _21539_ ( + .A1(_11096_), + .A2(_11109_), + .B1(_10903_), + .X(_11111_) + ); + sky130_fd_sc_hd__nand2_2 _21540_ ( + .A(_11110_), + .B(_11111_), + .Y(_08672_) + ); + sky130_fd_sc_hd__nand4bb_2 _21541_ ( + .A_N(_11041_), + .B_N(_11093_), + .C(_11062_), + .D(_11084_), + .Y(_11112_) + ); + sky130_fd_sc_hd__a2bb2o_2 _21542_ ( + .A1_N(_11041_), + .A2_N(_11093_), + .B1(_11062_), + .B2(_11084_), + .X(_11113_) + ); + sky130_fd_sc_hd__a32o_2 _21543_ ( + .A1(_11096_), + .A2(_11112_), + .A3(_11113_), + .B1(_11095_), + .B2(\pll.pll_control.tval[1] ), + .X(_08671_) + ); + sky130_fd_sc_hd__mux2_1 _21544_ ( + .A0(_11096_), + .A1(_11095_), + .S(\pll.pll_control.tval[0] ), + .X(_08670_) + ); + sky130_fd_sc_hd__nand2_2 _21545_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[1] ), + .B(\soc.core.litespi_grant ), + .Y(_11114_) + ); + sky130_fd_sc_hd__a21oi_2 _21546_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[1] ), + .A2(\soc.core.litespi_grant ), + .B1(_05126_), + .Y(_11115_) + ); + sky130_fd_sc_hd__and4b_2 _21547_ ( + .A_N(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .B(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .C(\soc.core.litespi_grant ), + .D(_11115_), + .X(_11116_) + ); + sky130_fd_sc_hd__o21ai_2 _21548_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .B1(\soc.core.litespi_grant ), + .Y(_11117_) + ); + sky130_fd_sc_hd__and4b_2 _21549_ ( + .A_N(_05126_), + .B(_11117_), + .C(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[1] ), + .D(\soc.core.litespi_grant ), + .X(_11118_) + ); + sky130_fd_sc_hd__and4b_2 _21550_ ( + .A_N(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .B(\soc.core.litespi_grant ), + .C(_11115_), + .D(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .X(_11119_) + ); + sky130_fd_sc_hd__nor3_2 _21551_ ( + .A(_11116_), + .B(_11118_), + .C(_11119_), + .Y(_05127_) + ); + sky130_fd_sc_hd__nand2_2 _21552_ ( + .A(\soc.core.VexRiscv.execute_arbitration_isValid ), + .B(\soc.core.VexRiscv.decode_to_execute_DO_EBREAK ), + .Y(_11120_) + ); + sky130_fd_sc_hd__inv_4 _21553_ ( + .A(_11120_), + .Y(\soc.core.VexRiscv.execute_arbitration_haltByOther ) + ); + sky130_fd_sc_hd__and3b_2 _21554_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[29] ), + .X(_11121_) + ); + sky130_fd_sc_hd__nor2_2 _21555_ ( + .A(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .Y(_11122_) + ); + sky130_fd_sc_hd__a21oi_2 _21556_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[31] ), + .A2(_11122_), + .B1(_11121_), + .Y(_04918_) + ); + sky130_fd_sc_hd__and3b_2 _21557_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[28] ), + .X(_11123_) + ); + sky130_fd_sc_hd__a21oi_2 _21558_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[30] ), + .A2(_11122_), + .B1(_11123_), + .Y(_04921_) + ); + sky130_fd_sc_hd__and3b_2 _21559_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[27] ), + .X(_11124_) + ); + sky130_fd_sc_hd__a21oi_2 _21560_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[29] ), + .A2(_11122_), + .B1(_11124_), + .Y(_04924_) + ); + sky130_fd_sc_hd__and3b_2 _21561_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[26] ), + .X(_11125_) + ); + sky130_fd_sc_hd__a21oi_2 _21562_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[28] ), + .A2(_11122_), + .B1(_11125_), + .Y(_04927_) + ); + sky130_fd_sc_hd__and2_4 _21563_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .X(_04930_) + ); + sky130_fd_sc_hd__nor2_2 _21564_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .Y(_11126_) + ); + sky130_fd_sc_hd__and2b_2 _21565_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .X(_11127_) + ); + sky130_fd_sc_hd__and3b_2 _21566_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ), + .X(_11128_) + ); + sky130_fd_sc_hd__a221o_2 _21567_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[0] ), + .A2(_11126_), + .B1(_11127_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ), + .C1(_11128_), + .X(_04932_) + ); + sky130_fd_sc_hd__inv_4 _21568_ ( + .A(_04932_), + .Y(_04931_) + ); + sky130_fd_sc_hd__and3b_2 _21569_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[24] ), + .X(_11129_) + ); + sky130_fd_sc_hd__a21oi_2 _21570_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[26] ), + .A2(_11122_), + .B1(_11129_), + .Y(_04935_) + ); + sky130_fd_sc_hd__and3b_2 _21571_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[23] ), + .X(_11130_) + ); + sky130_fd_sc_hd__a21oi_2 _21572_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[25] ), + .A2(_11122_), + .B1(_11130_), + .Y(_04938_) + ); + sky130_fd_sc_hd__and3b_2 _21573_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[8] ), + .X(_11131_) + ); + sky130_fd_sc_hd__a21oi_2 _21574_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[10] ), + .A2(_11122_), + .B1(_11131_), + .Y(_04941_) + ); + sky130_fd_sc_hd__and3b_2 _21575_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[17] ), + .X(_11132_) + ); + sky130_fd_sc_hd__a21oi_2 _21576_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[19] ), + .A2(_11122_), + .B1(_11132_), + .Y(_04944_) + ); + sky130_fd_sc_hd__and3b_2 _21577_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[16] ), + .X(_11133_) + ); + sky130_fd_sc_hd__a21oi_2 _21578_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[18] ), + .A2(_11122_), + .B1(_11133_), + .Y(_04947_) + ); + sky130_fd_sc_hd__and3b_2 _21579_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[15] ), + .X(_11134_) + ); + sky130_fd_sc_hd__a21oi_2 _21580_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[17] ), + .A2(_11122_), + .B1(_11134_), + .Y(_04950_) + ); + sky130_fd_sc_hd__and3b_2 _21581_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[14] ), + .X(_11135_) + ); + sky130_fd_sc_hd__a21oi_2 _21582_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[16] ), + .A2(_11122_), + .B1(_11135_), + .Y(_04953_) + ); + sky130_fd_sc_hd__and2_4 _21583_ ( + .A(\soc.core.uart_phy_tx_count[0] ), + .B(\soc.core.uart_phy_tx_tick ), + .X(_11136_) + ); + sky130_fd_sc_hd__nor2_2 _21584_ ( + .A(\soc.core.uart_phy_tx_count[1] ), + .B(\soc.core.uart_phy_tx_count[2] ), + .Y(_11137_) + ); + sky130_fd_sc_hd__nand4_2 _21585_ ( + .A(\soc.core.uart_phy_tx_count[0] ), + .B(\soc.core.uart_phy_tx_tick ), + .C(\soc.core.uart_phy_tx_count[3] ), + .D(_11137_), + .Y(_03510_) + ); + sky130_fd_sc_hd__and3b_2 _21586_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[9] ), + .X(_11138_) + ); + sky130_fd_sc_hd__a21oi_2 _21587_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[11] ), + .A2(_11122_), + .B1(_11138_), + .Y(_04956_) + ); + sky130_fd_sc_hd__nor2_2 _21588_ ( + .A(\soc.core.uartwishbonebridge_state[0] ), + .B(_04959_), + .Y(_11139_) + ); + sky130_fd_sc_hd__nor2_2 _21589_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_04959_), + .Y(_11140_) + ); + sky130_fd_sc_hd__inv_4 _21590_ ( + .A(_11140_), + .Y(_04960_) + ); + sky130_fd_sc_hd__nor2_2 _21591_ ( + .A(\soc.core.uartwishbonebridge_state[0] ), + .B(_04960_), + .Y(_04958_) + ); + sky130_fd_sc_hd__and2b_2 _21592_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_cmdSent ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_valid ), + .X(_11141_) + ); + sky130_fd_sc_hd__nor4_2 _21593_ ( + .A(\soc.core.VexRiscv._zz_iBusWishbone_ADR[2] ), + .B(\soc.core.VexRiscv._zz_iBusWishbone_ADR[1] ), + .C(\soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ), + .D(_11141_), + .Y(_11142_) + ); + sky130_fd_sc_hd__o41a_2 _21594_ ( + .A1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[2] ), + .A2(\soc.core.VexRiscv._zz_iBusWishbone_ADR[1] ), + .A3(\soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ), + .A4(_11141_), + .B1(_11122_), + .X(_11143_) + ); + sky130_fd_sc_hd__a21oi_2 _21595_ ( + .A1(\soc.core.VexRiscv.dBusWishbone_CYC ), + .A2(_04916_), + .B1(_11143_), + .Y(_04962_) + ); + sky130_fd_sc_hd__and3b_2 _21596_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[18] ), + .X(_11144_) + ); + sky130_fd_sc_hd__a21oi_2 _21597_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[20] ), + .A2(_11122_), + .B1(_11144_), + .Y(_04965_) + ); + sky130_fd_sc_hd__and3b_2 _21598_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[19] ), + .X(_11145_) + ); + sky130_fd_sc_hd__a21oi_2 _21599_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[21] ), + .A2(_11122_), + .B1(_11145_), + .Y(_04968_) + ); + sky130_fd_sc_hd__and3b_2 _21600_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[20] ), + .X(_11146_) + ); + sky130_fd_sc_hd__a21oi_2 _21601_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[22] ), + .A2(_11122_), + .B1(_11146_), + .Y(_04971_) + ); + sky130_fd_sc_hd__and3b_2 _21602_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[21] ), + .X(_11147_) + ); + sky130_fd_sc_hd__a21oi_2 _21603_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[23] ), + .A2(_11122_), + .B1(_11147_), + .Y(_04974_) + ); + sky130_fd_sc_hd__and3b_2 _21604_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[22] ), + .X(_11148_) + ); + sky130_fd_sc_hd__a21oi_2 _21605_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[24] ), + .A2(_11122_), + .B1(_11148_), + .Y(_04977_) + ); + sky130_fd_sc_hd__and3b_2 _21606_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[25] ), + .X(_11149_) + ); + sky130_fd_sc_hd__a21oi_2 _21607_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[27] ), + .A2(_11122_), + .B1(_11149_), + .Y(_04980_) + ); + sky130_fd_sc_hd__and3b_2 _21608_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[10] ), + .X(_11150_) + ); + sky130_fd_sc_hd__a21oi_2 _21609_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[12] ), + .A2(_11122_), + .B1(_11150_), + .Y(_04983_) + ); + sky130_fd_sc_hd__and3b_2 _21610_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[11] ), + .X(_11151_) + ); + sky130_fd_sc_hd__a21oi_2 _21611_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[13] ), + .A2(_11122_), + .B1(_11151_), + .Y(_04986_) + ); + sky130_fd_sc_hd__and3b_2 _21612_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[12] ), + .X(_11152_) + ); + sky130_fd_sc_hd__a21oi_2 _21613_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[14] ), + .A2(_11122_), + .B1(_11152_), + .Y(_04989_) + ); + sky130_fd_sc_hd__and3b_2 _21614_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[13] ), + .X(_11153_) + ); + sky130_fd_sc_hd__a21oi_2 _21615_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[15] ), + .A2(_11122_), + .B1(_11153_), + .Y(_04992_) + ); + sky130_fd_sc_hd__and3b_2 _21616_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[0] ), + .X(_11154_) + ); + sky130_fd_sc_hd__a21oi_2 _21617_ ( + .A1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ), + .A2(_11122_), + .B1(_11154_), + .Y(_04995_) + ); + sky130_fd_sc_hd__and3b_2 _21618_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[1] ), + .X(_11155_) + ); + sky130_fd_sc_hd__a21oi_2 _21619_ ( + .A1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[1] ), + .A2(_11122_), + .B1(_11155_), + .Y(_04998_) + ); + sky130_fd_sc_hd__and3b_2 _21620_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[2] ), + .X(_11156_) + ); + sky130_fd_sc_hd__a21oi_2 _21621_ ( + .A1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[2] ), + .A2(_11122_), + .B1(_11156_), + .Y(_05001_) + ); + sky130_fd_sc_hd__nand2_2 _21622_ ( + .A(\soc.core.VexRiscv.dBusWishbone_ADR[3] ), + .B(_04916_), + .Y(_11157_) + ); + sky130_fd_sc_hd__o31a_2 _21623_ ( + .A1(\soc.core.grant[1] ), + .A2(\soc.core.grant[0] ), + .A3(_05004_), + .B1(_11157_), + .X(_05005_) + ); + sky130_fd_sc_hd__and3b_2 _21624_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[4] ), + .X(_11158_) + ); + sky130_fd_sc_hd__a21oi_2 _21625_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[6] ), + .A2(_11122_), + .B1(_11158_), + .Y(_05008_) + ); + sky130_fd_sc_hd__and3b_2 _21626_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[5] ), + .X(_11159_) + ); + sky130_fd_sc_hd__a21oi_2 _21627_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[7] ), + .A2(_11122_), + .B1(_11159_), + .Y(_05011_) + ); + sky130_fd_sc_hd__and3b_2 _21628_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[6] ), + .X(_11160_) + ); + sky130_fd_sc_hd__a21oi_2 _21629_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[8] ), + .A2(_11122_), + .B1(_11160_), + .Y(_05014_) + ); + sky130_fd_sc_hd__and3b_2 _21630_ ( + .A_N(\soc.core.grant[1] ), + .B(\soc.core.grant[0] ), + .C(\soc.core.VexRiscv.dBusWishbone_ADR[7] ), + .X(_11161_) + ); + sky130_fd_sc_hd__a21oi_2 _21631_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[9] ), + .A2(_11122_), + .B1(_11161_), + .Y(_05017_) + ); + sky130_fd_sc_hd__o21ai_2 _21632_ ( + .A1(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[0] ), + .A2(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[1] ), + .B1(_05019_), + .Y(\mgmt_buffers.mprj_sel_o_core[0] ) + ); + sky130_fd_sc_hd__nor2_2 _21633_ ( + .A(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[1] ), + .B(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[0] ), + .Y(_05023_) + ); + sky130_fd_sc_hd__nor3_2 _21634_ ( + .A(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[0] ), + .B(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[1] ), + .C(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[0] ), + .Y(_05021_) + ); + sky130_fd_sc_hd__nand2_2 _21635_ ( + .A(_05026_), + .B(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[0] ), + .Y(_05022_) + ); + sky130_fd_sc_hd__a2111o_2 _21636_ ( + .A1(\soc.core.mgmtsoc_reset_storage[0] ), + .A2(\soc.core.mgmtsoc_reset_re ), + .B1(\soc.core.int_rst ), + .C1(\soc.core.mgmtsoc_vexriscv_debug_reset ), + .D1(\soc.core.mgmtsoc_cpu_rst ), + .X(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ) + ); + sky130_fd_sc_hd__inv_4 _21637_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .Y(_00347_) + ); + sky130_fd_sc_hd__nor3_2 _21638_ ( + .A(\soc.core.count[6] ), + .B(\soc.core.count[5] ), + .C(\soc.core.count[4] ), + .Y(_11162_) + ); + sky130_fd_sc_hd__nor3_2 _21639_ ( + .A(\soc.core.count[2] ), + .B(\soc.core.count[1] ), + .C(\soc.core.count[0] ), + .Y(_11163_) + ); + sky130_fd_sc_hd__nor4_2 _21640_ ( + .A(\soc.core.count[3] ), + .B(\soc.core.count[2] ), + .C(\soc.core.count[1] ), + .D(\soc.core.count[0] ), + .Y(_11164_) + ); + sky130_fd_sc_hd__nand3b_2 _21641_ ( + .A_N(\soc.core.count[3] ), + .B(_11162_), + .C(_11163_), + .Y(_11165_) + ); + sky130_fd_sc_hd__nand3b_2 _21642_ ( + .A_N(\soc.core.count[7] ), + .B(_11162_), + .C(_11164_), + .Y(_11166_) + ); + sky130_fd_sc_hd__nor2_2 _21643_ ( + .A(\soc.core.count[13] ), + .B(\soc.core.count[12] ), + .Y(_11167_) + ); + sky130_fd_sc_hd__nor2_2 _21644_ ( + .A(\soc.core.count[11] ), + .B(\soc.core.count[10] ), + .Y(_11168_) + ); + sky130_fd_sc_hd__nor2_2 _21645_ ( + .A(\soc.core.count[9] ), + .B(\soc.core.count[8] ), + .Y(_11169_) + ); + sky130_fd_sc_hd__nand3_2 _21646_ ( + .A(_11167_), + .B(_11168_), + .C(_11169_), + .Y(_11170_) + ); + sky130_fd_sc_hd__nor3_2 _21647_ ( + .A(_11170_), + .B(\soc.core.count[7] ), + .C(_11165_), + .Y(_11171_) + ); + sky130_fd_sc_hd__nor4_2 _21648_ ( + .A(\soc.core.count[14] ), + .B(_11170_), + .C(\soc.core.count[7] ), + .D(_11165_), + .Y(_11172_) + ); + sky130_fd_sc_hd__nand2_2 _21649_ ( + .A(_11172_), + .B(_10892_), + .Y(_11173_) + ); + sky130_fd_sc_hd__and4b_2 _21650_ ( + .A_N(\soc.core.count[16] ), + .B(_10892_), + .C(_11171_), + .D(_10893_), + .X(_11174_) + ); + sky130_fd_sc_hd__nor2_2 _21651_ ( + .A(\soc.core.count[18] ), + .B(\soc.core.count[17] ), + .Y(_11175_) + ); + sky130_fd_sc_hd__nand2_2 _21652_ ( + .A(_11174_), + .B(_11175_), + .Y(_11176_) + ); + sky130_fd_sc_hd__nor3_2 _21653_ ( + .A(\soc.core.count[19] ), + .B(\soc.core.count[18] ), + .C(\soc.core.count[17] ), + .Y(_11177_) + ); + sky130_fd_sc_hd__nor4_2 _21654_ ( + .A(\soc.core.count[19] ), + .B(\soc.core.count[18] ), + .C(\soc.core.count[17] ), + .D(\soc.core.count[16] ), + .Y(_11178_) + ); + sky130_fd_sc_hd__and3_2 _21655_ ( + .A(_11172_), + .B(_11178_), + .C(_10892_), + .X(_11179_) + ); + sky130_fd_sc_hd__nand4_2 _21656_ ( + .A(_11171_), + .B(_11178_), + .C(_10892_), + .D(_10893_), + .Y(_11180_) + ); + sky130_fd_sc_hd__nand2_2 _21657_ ( + .A(\soc.core.litespiphy_state[1] ), + .B(\soc.core.litespiphy_state[0] ), + .Y(_11181_) + ); + sky130_fd_sc_hd__nor2_2 _21658_ ( + .A(\soc.core.litespi_state[2] ), + .B(\soc.core.litespi_state[1] ), + .Y(_11182_) + ); + sky130_fd_sc_hd__nand2_2 _21659_ ( + .A(_11182_), + .B(_05110_), + .Y(_00391_) + ); + sky130_fd_sc_hd__inv_4 _21660_ ( + .A(_00391_), + .Y(_05112_) + ); + sky130_fd_sc_hd__and3_2 _21661_ ( + .A(\soc.core.litespi_state[3] ), + .B(_11182_), + .C(_05110_), + .X(_11183_) + ); + sky130_fd_sc_hd__nor4_2 _21662_ ( + .A(\soc.core.mgmtsoc_vexriscv_debug_bus_ack ), + .B(\soc.core.state ), + .C(\soc.core.dff2_bus_ack ), + .D(\soc.core.hk_ack ), + .Y(_11184_) + ); + sky130_fd_sc_hd__nor2_2 _21663_ ( + .A(\soc.core.litespi_grant ), + .B(_11181_), + .Y(_11185_) + ); + sky130_fd_sc_hd__and3_2 _21664_ ( + .A(\soc.core.litespi_state[3] ), + .B(_05112_), + .C(_11185_), + .X(_11186_) + ); + sky130_fd_sc_hd__nand4_2 _21665_ ( + .A(\soc.core.litespi_state[3] ), + .B(_11185_), + .C(_05110_), + .D(_11182_), + .Y(_11187_) + ); + sky130_fd_sc_hd__and4b_2 _21666_ ( + .A_N(\soc.core.dff_bus_ack ), + .B(_11187_), + .C(_11184_), + .D(\mgmt_buffers.mprj_ack_i_core_bar ), + .X(_11188_) + ); + sky130_fd_sc_hd__nand2_2 _21667_ ( + .A(_11180_), + .B(_11188_), + .Y(_11189_) + ); + sky130_fd_sc_hd__nor3b_2 _21668_ ( + .A(\soc.core.grant[1] ), + .B(\soc.core.VexRiscv.dBusWishbone_WE ), + .C_N(\soc.core.grant[0] ), + .Y(_11190_) + ); + sky130_fd_sc_hd__and3_2 _21669_ ( + .A(_11189_), + .B(_11190_), + .C(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_11191_) + ); + sky130_fd_sc_hd__nand3b_2 _21670_ ( + .A_N(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[5] ), + .B(\soc.core.VexRiscv.execute_to_memory_MEMORY_ENABLE ), + .C(\soc.core.VexRiscv.memory_arbitration_isValid ), + .Y(_11192_) + ); + sky130_fd_sc_hd__a31o_2 _21671_ ( + .A1(_11189_), + .A2(_11190_), + .A3(\soc.core.VexRiscv.dBusWishbone_CYC ), + .B1(_11192_), + .X(_11193_) + ); + sky130_fd_sc_hd__inv_4 _21672_ ( + .A(_11193_), + .Y(\soc.core.VexRiscv.memory_arbitration_haltItself ) + ); + sky130_fd_sc_hd__nand3b_2 _21673_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ), + .Y(_11194_) + ); + sky130_fd_sc_hd__nor3b_2 _21674_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .C_N(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ), + .Y(_11195_) + ); + sky130_fd_sc_hd__nand2_2 _21675_ ( + .A(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ), + .B(_11126_), + .Y(_11196_) + ); + sky130_fd_sc_hd__nand3b_2 _21676_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[21] ), + .C(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .Y(_11197_) + ); + sky130_fd_sc_hd__nand2_2 _21677_ ( + .A(_11194_), + .B(_11197_), + .Y(_11198_) + ); + sky130_fd_sc_hd__a21oi_2 _21678_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ), + .A2(_11126_), + .B1(_11198_), + .Y(_11199_) + ); + sky130_fd_sc_hd__inv_4 _21679_ ( + .A(_11199_), + .Y(_05029_) + ); + sky130_fd_sc_hd__and3b_2 _21680_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ), + .X(_11200_) + ); + sky130_fd_sc_hd__a221oi_2 _21681_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[2] ), + .A2(_11126_), + .B1(_11127_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[22] ), + .C1(_11200_), + .Y(_05032_) + ); + sky130_fd_sc_hd__and3b_2 _21682_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[10] ), + .X(_11201_) + ); + sky130_fd_sc_hd__a221oi_2 _21683_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[3] ), + .A2(_11126_), + .B1(_11127_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[23] ), + .C1(_11201_), + .Y(_05036_) + ); + sky130_fd_sc_hd__and3b_2 _21684_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ), + .X(_11202_) + ); + sky130_fd_sc_hd__a221oi_2 _21685_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[4] ), + .A2(_11126_), + .B1(_11127_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[24] ), + .C1(_11202_), + .Y(_05040_) + ); + sky130_fd_sc_hd__and4_2 _21686_ ( + .A(_05037_), + .B(_05033_), + .C(_05041_), + .D(_11199_), + .X(_11203_) + ); + sky130_fd_sc_hd__nand2_2 _21687_ ( + .A(_04931_), + .B(_11203_), + .Y(_11204_) + ); + sky130_fd_sc_hd__o211ai_2 _21688_ ( + .A1(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[0] ), + .B1(\soc.core.VexRiscv.execute_arbitration_isValid ), + .C1(_11204_), + .Y(_05043_) + ); + sky130_fd_sc_hd__nand2_2 _21689_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ), + .Y(_05044_) + ); + sky130_fd_sc_hd__nand2_2 _21690_ ( + .A(_00410_), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[3] ), + .Y(_11205_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21691_ ( + .A1_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[4] ), + .A2_N(_00421_), + .B1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[1] ), + .B2(_00398_), + .X(_11206_) + ); + sky130_fd_sc_hd__o22ai_2 _21692_ ( + .A1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[3] ), + .A2(_00410_), + .B1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[4] ), + .B2(_00421_), + .Y(_11207_) + ); + sky130_fd_sc_hd__a221oi_2 _21693_ ( + .A1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[0] ), + .A2(_00394_), + .B1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[1] ), + .B2(_00398_), + .C1(_11207_), + .Y(_11208_) + ); + sky130_fd_sc_hd__and2_4 _21694_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ), + .X(_11209_) + ); + sky130_fd_sc_hd__nor2_2 _21695_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ), + .Y(_11210_) + ); + sky130_fd_sc_hd__o221a_2 _21696_ ( + .A1(_00394_), + .A2(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[0] ), + .B1(_11210_), + .B2(_11209_), + .C1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_valid ), + .X(_11211_) + ); + sky130_fd_sc_hd__nand4_2 _21697_ ( + .A(_11208_), + .B(_11205_), + .C(_11206_), + .D(_11211_), + .Y(_05045_) + ); + sky130_fd_sc_hd__and2_4 _21698_ ( + .A(\soc.core.VexRiscv._zz_lastStageRegFileWrite_valid ), + .B(\soc.core.VexRiscv.lastStageIsFiring ), + .X(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_valid ) + ); + sky130_fd_sc_hd__nand2_2 _21699_ ( + .A(_00403_), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .Y(_11212_) + ); + sky130_fd_sc_hd__o22a_2 _21700_ ( + .A1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .A2(_00410_), + .B1(_00398_), + .B2(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .X(_11213_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21701_ ( + .A1_N(_00398_), + .A2_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .B1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .B2(_00421_), + .X(_11214_) + ); + sky130_fd_sc_hd__a2bb2o_2 _21702_ ( + .A1_N(_00403_), + .A2_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .B2(_00421_), + .X(_11215_) + ); + sky130_fd_sc_hd__a221oi_2 _21703_ ( + .A1(_00394_), + .A2(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .B1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B2(_00410_), + .C1(_11215_), + .Y(_11216_) + ); + sky130_fd_sc_hd__o211a_2 _21704_ ( + .A1(_00394_), + .A2(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .B1(_11212_), + .C1(_11213_), + .X(_11217_) + ); + sky130_fd_sc_hd__nand3_2 _21705_ ( + .A(_11214_), + .B(_11216_), + .C(_11217_), + .Y(_11218_) + ); + sky130_fd_sc_hd__and2_4 _21706_ ( + .A(_05045_), + .B(_11218_), + .X(_05046_) + ); + sky130_fd_sc_hd__nand2_2 _21707_ ( + .A(\soc.core.VexRiscv.memory_arbitration_isValid ), + .B(\soc.core.VexRiscv.execute_to_memory_REGFILE_WRITE_VALID ), + .Y(_05048_) + ); + sky130_fd_sc_hd__nor2_2 _21708_ ( + .A(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[11] ), + .B(_00421_), + .Y(_11219_) + ); + sky130_fd_sc_hd__nand2b_2 _21709_ ( + .A_N(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[7] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ), + .Y(_11220_) + ); + sky130_fd_sc_hd__and2b_2 _21710_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ), + .B(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[7] ), + .X(_11221_) + ); + sky130_fd_sc_hd__nor2_2 _21711_ ( + .A(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[9] ), + .B(_00403_), + .Y(_11222_) + ); + sky130_fd_sc_hd__nand2_2 _21712_ ( + .A(_00398_), + .B(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[8] ), + .Y(_11223_) + ); + sky130_fd_sc_hd__a2bb2o_2 _21713_ ( + .A1_N(_00398_), + .A2_N(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[8] ), + .B1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[10] ), + .B2(_00410_), + .X(_11224_) + ); + sky130_fd_sc_hd__a221oi_2 _21714_ ( + .A1(_00403_), + .A2(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[9] ), + .B1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[11] ), + .B2(_00421_), + .C1(_11224_), + .Y(_11225_) + ); + sky130_fd_sc_hd__o2111ai_2 _21715_ ( + .A1(_00410_), + .A2(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[10] ), + .B1(_11220_), + .C1(_11223_), + .D1(_11225_), + .Y(_11226_) + ); + sky130_fd_sc_hd__o41a_2 _21716_ ( + .A1(_11219_), + .A2(_11221_), + .A3(_11222_), + .A4(_11226_), + .B1(_05047_), + .X(_05049_) + ); + sky130_fd_sc_hd__nand2_2 _21717_ ( + .A(\soc.core.VexRiscv.execute_arbitration_isValid ), + .B(\soc.core.VexRiscv.decode_to_execute_REGFILE_WRITE_VALID ), + .Y(_05051_) + ); + sky130_fd_sc_hd__nand2_2 _21718_ ( + .A(_05035_), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ), + .Y(_11227_) + ); + sky130_fd_sc_hd__nor2_2 _21719_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ), + .B(_00398_), + .Y(_11228_) + ); + sky130_fd_sc_hd__nor2_2 _21720_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ), + .B(_05035_), + .Y(_11229_) + ); + sky130_fd_sc_hd__o22a_2 _21721_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ), + .A2(_00403_), + .B1(_00421_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ), + .X(_11230_) + ); + sky130_fd_sc_hd__o22a_2 _21722_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ), + .A2(_00394_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ), + .B2(_05031_), + .X(_11231_) + ); + sky130_fd_sc_hd__o221a_2 _21723_ ( + .A1(_04929_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[19] ), + .B2(_05039_), + .C1(_11231_), + .X(_11232_) + ); + sky130_fd_sc_hd__o2111ai_2 _21724_ ( + .A1(_05028_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[16] ), + .B1(_11227_), + .C1(_11230_), + .D1(_11232_), + .Y(_11233_) + ); + sky130_fd_sc_hd__o31a_2 _21725_ ( + .A1(_11228_), + .A2(_11229_), + .A3(_11233_), + .B1(_05050_), + .X(_05052_) + ); + sky130_fd_sc_hd__xnor2_2 _21726_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .Y(_11234_) + ); + sky130_fd_sc_hd__o22a_2 _21727_ ( + .A1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[0] ), + .A2(_01850_), + .B1(_01877_), + .B2(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[4] ), + .X(_11235_) + ); + sky130_fd_sc_hd__nand2_2 _21728_ ( + .A(_01850_), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[0] ), + .Y(_11236_) + ); + sky130_fd_sc_hd__nand2_2 _21729_ ( + .A(_01854_), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[1] ), + .Y(_11237_) + ); + sky130_fd_sc_hd__and2_4 _21730_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[3] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .X(_11238_) + ); + sky130_fd_sc_hd__nor2_2 _21731_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[3] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .Y(_11239_) + ); + sky130_fd_sc_hd__nand2_2 _21732_ ( + .A(_01877_), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[4] ), + .Y(_11240_) + ); + sky130_fd_sc_hd__o211a_2 _21733_ ( + .A1(_11238_), + .A2(_11239_), + .B1(_11240_), + .C1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_valid ), + .X(_11241_) + ); + sky130_fd_sc_hd__and4_2 _21734_ ( + .A(_11236_), + .B(_11241_), + .C(_11237_), + .D(_11235_), + .X(_11242_) + ); + sky130_fd_sc_hd__o211ai_2 _21735_ ( + .A1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[1] ), + .A2(_01854_), + .B1(_11234_), + .C1(_11242_), + .Y(_05054_) + ); + sky130_fd_sc_hd__nand2_2 _21736_ ( + .A(_01854_), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .Y(_11243_) + ); + sky130_fd_sc_hd__nor2_2 _21737_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(_01859_), + .Y(_11244_) + ); + sky130_fd_sc_hd__nand2b_2 _21738_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .Y(_11245_) + ); + sky130_fd_sc_hd__o211ai_2 _21739_ ( + .A1(_01850_), + .A2(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .B1(_11245_), + .C1(_11243_), + .Y(_11246_) + ); + sky130_fd_sc_hd__a221o_2 _21740_ ( + .A1(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .A2(_01850_), + .B1(_01877_), + .B2(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .C1(_11244_), + .X(_11247_) + ); + sky130_fd_sc_hd__nor2_2 _21741_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .B(_01877_), + .Y(_11248_) + ); + sky130_fd_sc_hd__nand2_2 _21742_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .Y(_11249_) + ); + sky130_fd_sc_hd__nand2b_2 _21743_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(_01866_), + .Y(_11250_) + ); + sky130_fd_sc_hd__a221o_2 _21744_ ( + .A1(_01859_), + .A2(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B1(_11250_), + .B2(_11249_), + .C1(_11248_), + .X(_11251_) + ); + sky130_fd_sc_hd__o31a_2 _21745_ ( + .A1(_11246_), + .A2(_11247_), + .A3(_11251_), + .B1(_05054_), + .X(_05055_) + ); + sky130_fd_sc_hd__nor2_2 _21746_ ( + .A(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[10] ), + .B(_01866_), + .Y(_11252_) + ); + sky130_fd_sc_hd__nor2_2 _21747_ ( + .A(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[7] ), + .B(_01850_), + .Y(_11253_) + ); + sky130_fd_sc_hd__nor2_2 _21748_ ( + .A(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[11] ), + .B(_01877_), + .Y(_11254_) + ); + sky130_fd_sc_hd__nand2_2 _21749_ ( + .A(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[8] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .Y(_11255_) + ); + sky130_fd_sc_hd__nand2b_2 _21750_ ( + .A_N(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[8] ), + .B(_01854_), + .Y(_11256_) + ); + sky130_fd_sc_hd__a221o_2 _21751_ ( + .A1(_01850_), + .A2(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[7] ), + .B1(_11256_), + .B2(_11255_), + .C1(_11254_), + .X(_11257_) + ); + sky130_fd_sc_hd__xor2_2 _21752_ ( + .A(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[9] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .X(_11258_) + ); + sky130_fd_sc_hd__a221o_2 _21753_ ( + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[10] ), + .A2(_01866_), + .B1(_01877_), + .B2(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[11] ), + .C1(_11258_), + .X(_11259_) + ); + sky130_fd_sc_hd__o41a_2 _21754_ ( + .A1(_11252_), + .A2(_11253_), + .A3(_11257_), + .A4(_11259_), + .B1(_05056_), + .X(_05057_) + ); + sky130_fd_sc_hd__nor2_2 _21755_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ), + .B(_01877_), + .Y(_11260_) + ); + sky130_fd_sc_hd__nor2_2 _21756_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ), + .B(_01859_), + .Y(_11261_) + ); + sky130_fd_sc_hd__nand2_2 _21757_ ( + .A(_01877_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ), + .Y(_11262_) + ); + sky130_fd_sc_hd__o22a_2 _21758_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ), + .A2(_01850_), + .B1(_01854_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ), + .X(_11263_) + ); + sky130_fd_sc_hd__o22a_2 _21759_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[10] ), + .A2(_01866_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .B2(_05028_), + .X(_11264_) + ); + sky130_fd_sc_hd__o221a_2 _21760_ ( + .A1(_04929_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .B2(_05035_), + .C1(_11264_), + .X(_11265_) + ); + sky130_fd_sc_hd__o2111ai_2 _21761_ ( + .A1(_05031_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .B1(_11262_), + .C1(_11263_), + .D1(_11265_), + .Y(_11266_) + ); + sky130_fd_sc_hd__o31a_2 _21762_ ( + .A1(_11260_), + .A2(_11261_), + .A3(_11266_), + .B1(_05058_), + .X(_05059_) + ); + sky130_fd_sc_hd__nand3b_2 _21763_ ( + .A_N(\soc.core.VexRiscv._zz_writeBack_ENV_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_writeBack_ENV_CTRL[0] ), + .C(\soc.core.VexRiscv.lastStageIsFiring ), + .Y(_11267_) + ); + sky130_fd_sc_hd__inv_4 _21764_ ( + .A(_11267_), + .Y(_05061_) + ); + sky130_fd_sc_hd__and2_4 _21765_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .X(_05063_) + ); + sky130_fd_sc_hd__nor2_2 _21766_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .Y(_11268_) + ); + sky130_fd_sc_hd__nand2_2 _21767_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[0] ), + .B(_11268_), + .Y(_05064_) + ); + sky130_fd_sc_hd__xor2_2 _21768_ ( + .A(_05066_), + .B(_04931_), + .X(_03639_) + ); + sky130_fd_sc_hd__inv_4 _21769_ ( + .A(_03639_), + .Y(_05067_) + ); + sky130_fd_sc_hd__nand2_2 _21770_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[1] ), + .B(_11268_), + .Y(_05069_) + ); + sky130_fd_sc_hd__nand4_2 _21771_ ( + .A(_05072_), + .B(_11194_), + .C(_11196_), + .D(_11197_), + .Y(_11269_) + ); + sky130_fd_sc_hd__o21bai_2 _21772_ ( + .A1(_11195_), + .A2(_11198_), + .B1_N(_05072_), + .Y(_11270_) + ); + sky130_fd_sc_hd__and4_2 _21773_ ( + .A(_11196_), + .B(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .C(_11194_), + .D(_11197_), + .X(_11271_) + ); + sky130_fd_sc_hd__o21ai_2 _21774_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .A2(_11199_), + .B1(_05070_), + .Y(_11272_) + ); + sky130_fd_sc_hd__nand3_2 _21775_ ( + .A(_05071_), + .B(_11269_), + .C(_11270_), + .Y(_11273_) + ); + sky130_fd_sc_hd__o21ai_2 _21776_ ( + .A1(_11271_), + .A2(_11272_), + .B1(_11273_), + .Y(_11274_) + ); + sky130_fd_sc_hd__xor2_2 _21777_ ( + .A(_05073_), + .B(_11274_), + .X(_05074_) + ); + sky130_fd_sc_hd__nor2_2 _21778_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .Y(_11275_) + ); + sky130_fd_sc_hd__o211a_2 _21779_ ( + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[1] ), + .A2(\soc.core.VexRiscv.dBus_cmd_payload_address[0] ), + .B1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .C1(_03592_), + .X(_11276_) + ); + sky130_fd_sc_hd__nor2_2 _21780_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(_03592_), + .Y(_11277_) + ); + sky130_fd_sc_hd__a21o_2 _21781_ ( + .A1(\soc.core.VexRiscv.dBus_cmd_payload_address[0] ), + .A2(_11277_), + .B1(_11276_), + .X(_11278_) + ); + sky130_fd_sc_hd__and3b_2 _21782_ ( + .A_N(_11278_), + .B(\soc.core.VexRiscv.decode_to_execute_MEMORY_ENABLE ), + .C(\soc.core.VexRiscv.execute_arbitration_isValid ), + .X(_11279_) + ); + sky130_fd_sc_hd__and4b_2 _21783_ ( + .A_N(_11278_), + .B(\soc.core.VexRiscv.decode_to_execute_MEMORY_ENABLE ), + .C(\soc.core.VexRiscv.dBusWishbone_CYC ), + .D(\soc.core.VexRiscv.execute_arbitration_isValid ), + .X(_05075_) + ); + sky130_fd_sc_hd__nor2_2 _21784_ ( + .A(_05078_), + .B(_05080_), + .Y(_11280_) + ); + sky130_fd_sc_hd__nor4_2 _21785_ ( + .A(_05078_), + .B(_05076_), + .C(_05081_), + .D(_05080_), + .Y(_11281_) + ); + sky130_fd_sc_hd__a21bo_2 _21786_ ( + .A1(\soc.core.VexRiscv.dBusWishbone_CYC ), + .A2(_11279_), + .B1_N(_11281_), + .X(_05082_) + ); + sky130_fd_sc_hd__and2_4 _21787_ ( + .A(\soc.core.VexRiscv.execute_arbitration_isValid ), + .B(\soc.core.VexRiscv.decode_to_execute_IS_CSR ), + .X(_11282_) + ); + sky130_fd_sc_hd__inv_4 _21788_ ( + .A(_11282_), + .Y(_05084_) + ); + sky130_fd_sc_hd__nor2_2 _21789_ ( + .A(\soc.core.VexRiscv.memory_arbitration_isValid ), + .B(\soc.core.VexRiscv.lastStageIsFiring ), + .Y(_11283_) + ); + sky130_fd_sc_hd__nand2b_2 _21790_ ( + .A_N(_05083_), + .B(_11283_), + .Y(_05085_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21791_ ( + .A1_N(\soc.core.VexRiscv.execute_arbitration_isValid ), + .A2_N(\soc.core.VexRiscv.decode_to_execute_DO_EBREAK ), + .B1(_11192_), + .B2(_11191_), + .X(_11284_) + ); + sky130_fd_sc_hd__a211oi_2 _21792_ ( + .A1(\soc.core.VexRiscv.execute_arbitration_isValid ), + .A2(\soc.core.VexRiscv.decode_to_execute_DO_EBREAK ), + .B1(_05086_), + .C1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .Y(_11285_) + ); + sky130_fd_sc_hd__inv_4 _21793_ ( + .A(_11285_), + .Y(\soc.core.VexRiscv.execute_arbitration_isStuck ) + ); + sky130_fd_sc_hd__and3b_2 _21794_ ( + .A_N(\soc.core.VexRiscv._zz_memory_ENV_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_memory_ENV_CTRL[0] ), + .C(\soc.core.VexRiscv.memory_arbitration_isValid ), + .X(_11286_) + ); + sky130_fd_sc_hd__and2b_2 _21795_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ), + .X(_11287_) + ); + sky130_fd_sc_hd__nor3b_2 _21796_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ), + .C_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ), + .Y(_11288_) + ); + sky130_fd_sc_hd__and3b_2 _21797_ ( + .A_N(\soc.core.VexRiscv._zz_execute_ENV_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_ENV_CTRL[0] ), + .C(\soc.core.VexRiscv.execute_arbitration_isValid ), + .X(_11289_) + ); + sky130_fd_sc_hd__nor4_2 _21798_ ( + .A(_05061_), + .B(_11286_), + .C(_11288_), + .D(_11289_), + .Y(_11290_) + ); + sky130_fd_sc_hd__nand2_2 _21799_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_hit_valid ), + .Y(_11291_) + ); + sky130_fd_sc_hd__a21oi_2 _21800_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_hit_valid ), + .B1(_11287_), + .Y(_11292_) + ); + sky130_fd_sc_hd__a21o_2 _21801_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_hit_valid ), + .B1(_11287_), + .X(_11293_) + ); + sky130_fd_sc_hd__nor2_2 _21802_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .Y(_11294_) + ); + sky130_fd_sc_hd__nor2_2 _21803_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .Y(_11295_) + ); + sky130_fd_sc_hd__and2b_2 _21804_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .X(_11296_) + ); + sky130_fd_sc_hd__o221a_2 _21805_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B1(_11295_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .C1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .X(_11297_) + ); + sky130_fd_sc_hd__o21a_2 _21806_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .X(_11298_) + ); + sky130_fd_sc_hd__nand2b_2 _21807_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .Y(_11299_) + ); + sky130_fd_sc_hd__nand2_2 _21808_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .Y(_11300_) + ); + sky130_fd_sc_hd__a211oi_2 _21809_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B1(_05060_), + .C1(_11299_), + .Y(_11301_) + ); + sky130_fd_sc_hd__nor3b_2 _21810_ ( + .A(\soc.core.VexRiscv.DebugPlugin_stepIt ), + .B(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .C_N(\soc.core.VexRiscv.CsrPlugin_interrupt_valid ), + .Y(_11302_) + ); + sky130_fd_sc_hd__nor2_2 _21811_ ( + .A(_11301_), + .B(_11302_), + .Y(_11303_) + ); + sky130_fd_sc_hd__o31a_2 _21812_ ( + .A1(_05053_), + .A2(_11297_), + .A3(_11298_), + .B1(_11303_), + .X(_11304_) + ); + sky130_fd_sc_hd__o21a_2 _21813_ ( + .A1(_11292_), + .A2(_11304_), + .B1(_11290_), + .X(_11305_) + ); + sky130_fd_sc_hd__nand2_2 _21814_ ( + .A(_11285_), + .B(_11305_), + .Y(_05087_) + ); + sky130_fd_sc_hd__o21a_2 _21815_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_isValid ), + .A2(\soc.core.VexRiscv.lastStageIsFiring ), + .B1(_05088_), + .X(_05089_) + ); + sky130_fd_sc_hd__nor2_2 _21816_ ( + .A(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_2 ), + .B(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .Y(_11306_) + ); + sky130_fd_sc_hd__nor3b_2 _21817_ ( + .A(\soc.core.VexRiscv.CsrPlugin_hadException ), + .B(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_1 ), + .C_N(\soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_2 ), + .Y(_11307_) + ); + sky130_fd_sc_hd__and3_2 _21818_ ( + .A(_11302_), + .B(_11306_), + .C(_11307_), + .X(_11308_) + ); + sky130_fd_sc_hd__a31o_2 _21819_ ( + .A1(_11302_), + .A2(_11306_), + .A3(_11307_), + .B1(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_11309_) + ); + sky130_fd_sc_hd__inv_4 _21820_ ( + .A(_11309_), + .Y(_05092_) + ); + sky130_fd_sc_hd__nor2_2 _21821_ ( + .A(_05061_), + .B(_11309_), + .Y(_05093_) + ); + sky130_fd_sc_hd__and3_2 _21822_ ( + .A(_05091_), + .B(_05092_), + .C(_11267_), + .X(_11310_) + ); + sky130_fd_sc_hd__and3_2 _21823_ ( + .A(_05092_), + .B(_11267_), + .C(_10927_), + .X(_05100_) + ); + sky130_fd_sc_hd__o311a_2 _21824_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_isValid ), + .A2(\soc.core.VexRiscv.lastStageIsFiring ), + .A3(_11120_), + .B1(_10927_), + .C1(_11310_), + .X(_11311_) + ); + sky130_fd_sc_hd__o21a_2 _21825_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_1 ), + .A2(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .B1(_11311_), + .X(_05094_) + ); + sky130_fd_sc_hd__o211a_2 _21826_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_2 ), + .A2(_10928_), + .B1(_11267_), + .C1(_05092_), + .X(_05095_) + ); + sky130_fd_sc_hd__nor2_2 _21827_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .Y(_11312_) + ); + sky130_fd_sc_hd__nor2_2 _21828_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .Y(_11313_) + ); + sky130_fd_sc_hd__and3_2 _21829_ ( + .A(_11313_), + .B(_01850_), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .X(_11314_) + ); + sky130_fd_sc_hd__and3b_2 _21830_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .X(_11315_) + ); + sky130_fd_sc_hd__nand2_2 _21831_ ( + .A(_11315_), + .B(_01866_), + .Y(_11316_) + ); + sky130_fd_sc_hd__o21ba_2 _21832_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ), + .A2(_11316_), + .B1_N(_11314_), + .X(_11317_) + ); + sky130_fd_sc_hd__nor3_2 _21833_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ), + .Y(_11318_) + ); + sky130_fd_sc_hd__and3b_2 _21834_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .C(_11295_), + .X(_11319_) + ); + sky130_fd_sc_hd__nor2_2 _21835_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[26] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ), + .Y(_11320_) + ); + sky130_fd_sc_hd__nor4_2 _21836_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[24] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[25] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[26] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ), + .Y(_11321_) + ); + sky130_fd_sc_hd__nor4_2 _21837_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[7] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[9] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[8] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[11] ), + .Y(_11322_) + ); + sky130_fd_sc_hd__nand2b_2 _21838_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[10] ), + .B(_11322_), + .Y(_11323_) + ); + sky130_fd_sc_hd__nor2_2 _21839_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[19] ), + .Y(_11324_) + ); + sky130_fd_sc_hd__and4_2 _21840_ ( + .A(_11324_), + .B(_00403_), + .C(_00398_), + .D(_00394_), + .X(_11325_) + ); + sky130_fd_sc_hd__nand2b_2 _21841_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .Y(_11326_) + ); + sky130_fd_sc_hd__nand2b_2 _21842_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .Y(_11327_) + ); + sky130_fd_sc_hd__a31o_2 _21843_ ( + .A1(_11318_), + .A2(_11320_), + .A3(_11326_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .X(_11328_) + ); + sky130_fd_sc_hd__a21boi_2 _21844_ ( + .A1(_11328_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B1_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .Y(_11329_) + ); + sky130_fd_sc_hd__nand2_2 _21845_ ( + .A(_11327_), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .Y(_11330_) + ); + sky130_fd_sc_hd__o21ai_2 _21846_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .A2(_11295_), + .B1(_11330_), + .Y(_11331_) + ); + sky130_fd_sc_hd__nand2_2 _21847_ ( + .A(_11331_), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .Y(_11332_) + ); + sky130_fd_sc_hd__nor3b_2 _21848_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[25] ), + .C_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .Y(_11333_) + ); + sky130_fd_sc_hd__nand4_2 _21849_ ( + .A(_11332_), + .B(_11333_), + .C(_11318_), + .D(_11320_), + .Y(_11334_) + ); + sky130_fd_sc_hd__and2b_2 _21850_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .X(_11335_) + ); + sky130_fd_sc_hd__o32a_2 _21851_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .A2(_11296_), + .A3(_11335_), + .B1(_11300_), + .B2(_11295_), + .X(_11336_) + ); + sky130_fd_sc_hd__a21bo_2 _21852_ ( + .A1(_11334_), + .A2(_11336_), + .B1_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .X(_11337_) + ); + sky130_fd_sc_hd__nor3b_2 _21853_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ), + .C_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .Y(_11338_) + ); + sky130_fd_sc_hd__nand2_2 _21854_ ( + .A(_11321_), + .B(_11338_), + .Y(_11339_) + ); + sky130_fd_sc_hd__nor2_2 _21855_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .Y(_11340_) + ); + sky130_fd_sc_hd__nand4_2 _21856_ ( + .A(_11313_), + .B(_11318_), + .C(_11321_), + .D(_11340_), + .Y(_11341_) + ); + sky130_fd_sc_hd__o21ai_2 _21857_ ( + .A1(_11339_), + .A2(_11317_), + .B1(_11341_), + .Y(_11342_) + ); + sky130_fd_sc_hd__and4_2 _21858_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .C(_11319_), + .D(_11325_), + .X(_11343_) + ); + sky130_fd_sc_hd__nand3b_2 _21859_ ( + .A_N(_11323_), + .B(_11342_), + .C(_11343_), + .Y(_11344_) + ); + sky130_fd_sc_hd__o311ai_2 _21860_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .A3(_11329_), + .B1(_11337_), + .C1(_11344_), + .Y(_11345_) + ); + sky130_fd_sc_hd__nor4_2 _21861_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .Y(_11346_) + ); + sky130_fd_sc_hd__nor2_2 _21862_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .Y(_11347_) + ); + sky130_fd_sc_hd__nor2_2 _21863_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .Y(_11348_) + ); + sky130_fd_sc_hd__and3b_2 _21864_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .X(_11349_) + ); + sky130_fd_sc_hd__o221a_2 _21865_ ( + .A1(_11346_), + .A2(_11347_), + .B1(_11349_), + .B2(_11312_), + .C1(_11348_), + .X(_11350_) + ); + sky130_fd_sc_hd__a21bo_2 _21866_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .B1_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .X(_11351_) + ); + sky130_fd_sc_hd__a31o_2 _21867_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .A2(_11294_), + .A3(_11319_), + .B1(_11350_), + .X(_11352_) + ); + sky130_fd_sc_hd__a31o_2 _21868_ ( + .A1(_11298_), + .A2(_11300_), + .A3(_11351_), + .B1(_11352_), + .X(_11353_) + ); + sky130_fd_sc_hd__a21o_2 _21869_ ( + .A1(_11345_), + .A2(_11312_), + .B1(_11353_), + .X(_11354_) + ); + sky130_fd_sc_hd__a31o_2 _21870_ ( + .A1(_11354_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[1] ), + .A3(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] ), + .B1(_11292_), + .X(_11355_) + ); + sky130_fd_sc_hd__nand2b_2 _21871_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_0 ), + .B(_11355_), + .Y(_11356_) + ); + sky130_fd_sc_hd__nand3_2 _21872_ ( + .A(_11356_), + .B(_11311_), + .C(_05090_), + .Y(_11357_) + ); + sky130_fd_sc_hd__nand4b_2 _21873_ ( + .A_N(_05094_), + .B(_11357_), + .C(_11306_), + .D(_11310_), + .Y(_05096_) + ); + sky130_fd_sc_hd__o21bai_2 _21874_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_isValid ), + .A2(\soc.core.VexRiscv.lastStageIsFiring ), + .B1_N(_05096_), + .Y(_05097_) + ); + sky130_fd_sc_hd__o21a_2 _21875_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isValid ), + .B1(\soc.core.VexRiscv.DebugPlugin_stepIt ), + .X(_05099_) + ); + sky130_fd_sc_hd__and3_2 _21876_ ( + .A(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .B(_11282_), + .C(_11285_), + .X(_05101_) + ); + sky130_fd_sc_hd__nor2_2 _21877_ ( + .A(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[7] ), + .B(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[6] ), + .Y(_11358_) + ); + sky130_fd_sc_hd__nor4b_2 _21878_ ( + .A(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[5] ), + .B(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[4] ), + .C(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[3] ), + .D_N(_11358_), + .Y(_11359_) + ); + sky130_fd_sc_hd__nand2b_2 _21879_ ( + .A_N(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[2] ), + .B(_11359_), + .Y(_05102_) + ); + sky130_fd_sc_hd__and2_4 _21880_ ( + .A(\soc.core.dbg_uart_tx_count[0] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_11360_) + ); + sky130_fd_sc_hd__nand2_2 _21881_ ( + .A(\soc.core.dbg_uart_tx_count[0] ), + .B(\soc.core.dbg_uart_tx_tick ), + .Y(_11361_) + ); + sky130_fd_sc_hd__and4bb_2 _21882_ ( + .A_N(\soc.core.dbg_uart_tx_count[2] ), + .B_N(\soc.core.dbg_uart_tx_count[1] ), + .C(_11360_), + .D(\soc.core.dbg_uart_tx_count[3] ), + .X(_11362_) + ); + sky130_fd_sc_hd__inv_4 _21883_ ( + .A(_11362_), + .Y(_03490_) + ); + sky130_fd_sc_hd__nand2_2 _21884_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_11139_), + .Y(_05104_) + ); + sky130_fd_sc_hd__inv_4 _21885_ ( + .A(_05104_), + .Y(_05103_) + ); + sky130_fd_sc_hd__nor2_2 _21886_ ( + .A(\soc.core.litespi_state[1] ), + .B(_05110_), + .Y(_05106_) + ); + sky130_fd_sc_hd__and2b_2 _21887_ ( + .A_N(\soc.core.litespi_state[0] ), + .B(\soc.core.litespi_state[1] ), + .X(_05107_) + ); + sky130_fd_sc_hd__and3_2 _21888_ ( + .A(_04919_), + .B(_04922_), + .C(_04925_), + .X(_11363_) + ); + sky130_fd_sc_hd__nor2_2 _21889_ ( + .A(_04963_), + .B(\mgmt_buffers.mprj_we_o_core ), + .Y(_11364_) + ); + sky130_fd_sc_hd__nand4_2 _21890_ ( + .A(_11008_), + .B(_11363_), + .C(_11364_), + .D(\mgmt_buffers.mprj_adr_o_core[28] ), + .Y(_05108_) + ); + sky130_fd_sc_hd__nand2b_2 _21891_ ( + .A_N(\soc.core.litespi_state[3] ), + .B(\soc.core.litespi_state[0] ), + .Y(_11365_) + ); + sky130_fd_sc_hd__inv_4 _21892_ ( + .A(_11365_), + .Y(_05115_) + ); + sky130_fd_sc_hd__nor2_2 _21893_ ( + .A(\soc.core.litespi_state[1] ), + .B(_11365_), + .Y(_11366_) + ); + sky130_fd_sc_hd__inv_4 _21894_ ( + .A(_11366_), + .Y(_03037_) + ); + sky130_fd_sc_hd__and4bb_2 _21895_ ( + .A_N(\soc.core.litespi_state[3] ), + .B_N(\soc.core.litespi_state[2] ), + .C(\soc.core.litespi_state[1] ), + .D(\soc.core.litespi_state[0] ), + .X(_11367_) + ); + sky130_fd_sc_hd__nand3b_2 _21896_ ( + .A_N(\soc.core.litespi_state[2] ), + .B(\soc.core.litespi_state[1] ), + .C(_05115_), + .Y(_11368_) + ); + sky130_fd_sc_hd__a21o_2 _21897_ ( + .A1(\soc.core.litespi_state[2] ), + .A2(_11366_), + .B1(_11367_), + .X(_05109_) + ); + sky130_fd_sc_hd__nand2_2 _21898_ ( + .A(_05116_), + .B(_11036_), + .Y(_11369_) + ); + sky130_fd_sc_hd__inv_4 _21899_ ( + .A(_11369_), + .Y(_05117_) + ); + sky130_fd_sc_hd__nor2_2 _21900_ ( + .A(_05018_), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .Y(_11370_) + ); + sky130_fd_sc_hd__a2bb2o_2 _21901_ ( + .A1_N(_04993_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ), + .B2(_04954_), + .X(_11371_) + ); + sky130_fd_sc_hd__a22o_2 _21902_ ( + .A1(_04987_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[11] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[15] ), + .B2(_04951_), + .X(_11372_) + ); + sky130_fd_sc_hd__a221o_2 _21903_ ( + .A1(_04942_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ), + .B1(_10906_), + .B2(\mgmt_buffers.mprj_adr_o_core[11] ), + .C1(_11372_), + .X(_11373_) + ); + sky130_fd_sc_hd__a2111o_2 _21904_ ( + .A1(_04925_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .B1(_11370_), + .C1(_11371_), + .D1(_11373_), + .X(_11374_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21905_ ( + .A1_N(_04919_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[29] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .B2(_04999_), + .X(_11375_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21906_ ( + .A1_N(_04972_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[20] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .B2(_05009_), + .X(_11376_) + ); + sky130_fd_sc_hd__o22a_2 _21907_ ( + .A1(_05002_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ), + .B2(_04954_), + .X(_11377_) + ); + sky130_fd_sc_hd__o221a_2 _21908_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[11] ), + .A2(_10906_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ), + .B2(_04984_), + .C1(_11377_), + .X(_11378_) + ); + sky130_fd_sc_hd__and4b_2 _21909_ ( + .A_N(_11374_), + .B(_11375_), + .C(_11376_), + .D(_11378_), + .X(_11379_) + ); + sky130_fd_sc_hd__xor2_2 _21910_ ( + .A(_04922_), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[28] ), + .X(_11380_) + ); + sky130_fd_sc_hd__o21ai_2 _21911_ ( + .A1(_04925_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_cs ), + .Y(_11381_) + ); + sky130_fd_sc_hd__a221o_2 _21912_ ( + .A1(_05012_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ), + .B2(_05015_), + .C1(_11381_), + .X(_11382_) + ); + sky130_fd_sc_hd__o22a_2 _21913_ ( + .A1(_04951_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[15] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .B2(_04978_), + .X(_11383_) + ); + sky130_fd_sc_hd__o221a_2 _21914_ ( + .A1(_04996_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .B2(_04966_), + .C1(_11383_), + .X(_11384_) + ); + sky130_fd_sc_hd__a22o_2 _21915_ ( + .A1(_05018_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .B2(_04990_), + .X(_11385_) + ); + sky130_fd_sc_hd__a221oi_2 _21916_ ( + .A1(_05009_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[19] ), + .B2(_04969_), + .C1(_11385_), + .Y(_11386_) + ); + sky130_fd_sc_hd__and4b_2 _21917_ ( + .A_N(_11382_), + .B(_11384_), + .C(_11386_), + .D(_11380_), + .X(_11387_) + ); + sky130_fd_sc_hd__nor2_2 _21918_ ( + .A(_04990_), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .Y(_11388_) + ); + sky130_fd_sc_hd__a2bb2o_2 _21919_ ( + .A1_N(_04928_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ), + .B2(_04984_), + .X(_11389_) + ); + sky130_fd_sc_hd__a22o_2 _21920_ ( + .A1(_04996_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .B2(_04966_), + .X(_11390_) + ); + sky130_fd_sc_hd__a221o_2 _21921_ ( + .A1(_04948_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[23] ), + .B2(_04939_), + .C1(_11390_), + .X(_11391_) + ); + sky130_fd_sc_hd__a2111oi_2 _21922_ ( + .A1(_04975_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[21] ), + .B1(_11388_), + .C1(_11389_), + .D1(_11391_), + .Y(_11392_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21923_ ( + .A1_N(_04981_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[25] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ), + .B2(_05006_), + .X(_11393_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21924_ ( + .A1_N(_04993_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[21] ), + .B2(_04975_), + .X(_11394_) + ); + sky130_fd_sc_hd__o221a_2 _21925_ ( + .A1(_05012_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ), + .B2(_04936_), + .C1(_11394_), + .X(_11395_) + ); + sky130_fd_sc_hd__o2111a_2 _21926_ ( + .A1(_04972_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[20] ), + .B1(_11392_), + .C1(_11393_), + .D1(_11395_), + .X(_11396_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21927_ ( + .A1_N(_04936_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .B2(_04948_), + .X(_11397_) + ); + sky130_fd_sc_hd__o221a_2 _21928_ ( + .A1(_04939_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[23] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[25] ), + .B2(_04981_), + .C1(_11397_), + .X(_11398_) + ); + sky130_fd_sc_hd__o22ai_2 _21929_ ( + .A1(_05015_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .B2(_04945_), + .Y(_11399_) + ); + sky130_fd_sc_hd__a221oi_2 _21930_ ( + .A1(_05002_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .B2(_04945_), + .C1(_11399_), + .Y(_11400_) + ); + sky130_fd_sc_hd__o2bb2a_2 _21931_ ( + .A1_N(_05006_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ), + .B2(_04942_), + .X(_11401_) + ); + sky130_fd_sc_hd__o221a_2 _21932_ ( + .A1(_04987_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[11] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[29] ), + .B2(_04919_), + .C1(_11401_), + .X(_11402_) + ); + sky130_fd_sc_hd__a2bb2o_2 _21933_ ( + .A1_N(_04969_), + .A2_N(\soc.core.mgmtsoc_litespimmap_burst_adr[19] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .B2(_04978_), + .X(_11403_) + ); + sky130_fd_sc_hd__a221oi_2 _21934_ ( + .A1(_04999_), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .B2(_04928_), + .C1(_11403_), + .Y(_11404_) + ); + sky130_fd_sc_hd__and4_2 _21935_ ( + .A(_11398_), + .B(_11400_), + .C(_11402_), + .D(_11404_), + .X(_11405_) + ); + sky130_fd_sc_hd__and4_2 _21936_ ( + .A(_11379_), + .B(_11387_), + .C(_11396_), + .D(_11405_), + .X(_04823_) + ); + sky130_fd_sc_hd__a21oi_2 _21937_ ( + .A1(_11182_), + .A2(_05110_), + .B1(\soc.core.litespi_state[3] ), + .Y(_11406_) + ); + sky130_fd_sc_hd__nor2_2 _21938_ ( + .A(_11183_), + .B(_11406_), + .Y(_04822_) + ); + sky130_fd_sc_hd__inv_4 _21939_ ( + .A(_04822_), + .Y(_04779_) + ); + sky130_fd_sc_hd__nor2_2 _21940_ ( + .A(_05129_), + .B(_04779_), + .Y(_05130_) + ); + sky130_fd_sc_hd__nor2_2 _21941_ ( + .A(\soc.core.mgmtsoc_value[30] ), + .B(\soc.core.mgmtsoc_value[29] ), + .Y(_11407_) + ); + sky130_fd_sc_hd__nor2_2 _21942_ ( + .A(\soc.core.mgmtsoc_value[17] ), + .B(\soc.core.mgmtsoc_value[16] ), + .Y(_11408_) + ); + sky130_fd_sc_hd__nor2_2 _21943_ ( + .A(\soc.core.mgmtsoc_value[1] ), + .B(\soc.core.mgmtsoc_value[0] ), + .Y(_11409_) + ); + sky130_fd_sc_hd__nor2_2 _21944_ ( + .A(\soc.core.mgmtsoc_value[3] ), + .B(\soc.core.mgmtsoc_value[2] ), + .Y(_11410_) + ); + sky130_fd_sc_hd__and4bb_2 _21945_ ( + .A_N(\soc.core.mgmtsoc_value[4] ), + .B_N(\soc.core.mgmtsoc_value[1] ), + .C(_02825_), + .D(_11410_), + .X(_11411_) + ); + sky130_fd_sc_hd__nand4bb_2 _21946_ ( + .A_N(\soc.core.mgmtsoc_value[5] ), + .B_N(\soc.core.mgmtsoc_value[4] ), + .C(_11409_), + .D(_11410_), + .Y(_11412_) + ); + sky130_fd_sc_hd__nor2_2 _21947_ ( + .A(\soc.core.mgmtsoc_value[6] ), + .B(_11412_), + .Y(_11413_) + ); + sky130_fd_sc_hd__nor4_2 _21948_ ( + .A(\soc.core.mgmtsoc_value[8] ), + .B(\soc.core.mgmtsoc_value[7] ), + .C(\soc.core.mgmtsoc_value[6] ), + .D(_11412_), + .Y(_11414_) + ); + sky130_fd_sc_hd__nor4b_2 _21949_ ( + .A(\soc.core.mgmtsoc_value[9] ), + .B(\soc.core.mgmtsoc_value[8] ), + .C(\soc.core.mgmtsoc_value[7] ), + .D_N(_11413_), + .Y(_11415_) + ); + sky130_fd_sc_hd__nor3b_2 _21950_ ( + .A(\soc.core.mgmtsoc_value[10] ), + .B(\soc.core.mgmtsoc_value[9] ), + .C_N(_11414_), + .Y(_11416_) + ); + sky130_fd_sc_hd__nor4b_2 _21951_ ( + .A(\soc.core.mgmtsoc_value[11] ), + .B(\soc.core.mgmtsoc_value[10] ), + .C(\soc.core.mgmtsoc_value[9] ), + .D_N(_11414_), + .Y(_11417_) + ); + sky130_fd_sc_hd__nor4b_2 _21952_ ( + .A(\soc.core.mgmtsoc_value[12] ), + .B(\soc.core.mgmtsoc_value[11] ), + .C(\soc.core.mgmtsoc_value[10] ), + .D_N(_11415_), + .Y(_11418_) + ); + sky130_fd_sc_hd__nor4b_2 _21953_ ( + .A(\soc.core.mgmtsoc_value[13] ), + .B(\soc.core.mgmtsoc_value[12] ), + .C(\soc.core.mgmtsoc_value[11] ), + .D_N(_11416_), + .Y(_11419_) + ); + sky130_fd_sc_hd__nand2b_2 _21954_ ( + .A_N(\soc.core.mgmtsoc_value[14] ), + .B(_11419_), + .Y(_11420_) + ); + sky130_fd_sc_hd__nor2_2 _21955_ ( + .A(\soc.core.mgmtsoc_value[15] ), + .B(_11420_), + .Y(_11421_) + ); + sky130_fd_sc_hd__nor4_2 _21956_ ( + .A(\soc.core.mgmtsoc_value[18] ), + .B(\soc.core.mgmtsoc_value[17] ), + .C(\soc.core.mgmtsoc_value[16] ), + .D(\soc.core.mgmtsoc_value[15] ), + .Y(_11422_) + ); + sky130_fd_sc_hd__and4bb_2 _21957_ ( + .A_N(\soc.core.mgmtsoc_value[14] ), + .B_N(\soc.core.mgmtsoc_value[13] ), + .C(_11418_), + .D(_11422_), + .X(_11423_) + ); + sky130_fd_sc_hd__and4bb_2 _21958_ ( + .A_N(\soc.core.mgmtsoc_value[19] ), + .B_N(\soc.core.mgmtsoc_value[14] ), + .C(_11419_), + .D(_11422_), + .X(_11424_) + ); + sky130_fd_sc_hd__nor2_2 _21959_ ( + .A(\soc.core.mgmtsoc_value[21] ), + .B(\soc.core.mgmtsoc_value[20] ), + .Y(_11425_) + ); + sky130_fd_sc_hd__nand2_2 _21960_ ( + .A(_11424_), + .B(_11425_), + .Y(_11426_) + ); + sky130_fd_sc_hd__nor4_2 _21961_ ( + .A(\soc.core.mgmtsoc_value[23] ), + .B(\soc.core.mgmtsoc_value[22] ), + .C(\soc.core.mgmtsoc_value[21] ), + .D(\soc.core.mgmtsoc_value[20] ), + .Y(_11427_) + ); + sky130_fd_sc_hd__nand2_2 _21962_ ( + .A(_11424_), + .B(_11427_), + .Y(_11428_) + ); + sky130_fd_sc_hd__nor2_2 _21963_ ( + .A(\soc.core.mgmtsoc_value[25] ), + .B(\soc.core.mgmtsoc_value[24] ), + .Y(_11429_) + ); + sky130_fd_sc_hd__nor4_2 _21964_ ( + .A(\soc.core.mgmtsoc_value[27] ), + .B(\soc.core.mgmtsoc_value[26] ), + .C(\soc.core.mgmtsoc_value[25] ), + .D(\soc.core.mgmtsoc_value[24] ), + .Y(_11430_) + ); + sky130_fd_sc_hd__and3_2 _21965_ ( + .A(_11424_), + .B(_11427_), + .C(_11430_), + .X(_11431_) + ); + sky130_fd_sc_hd__and4bb_2 _21966_ ( + .A_N(\soc.core.mgmtsoc_value[31] ), + .B_N(\soc.core.mgmtsoc_value[28] ), + .C(_11407_), + .D(_11431_), + .X(\soc.core.csrbank10_ev_status_w ) + ); + sky130_fd_sc_hd__nor2_2 _21967_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ), + .Y(_11432_) + ); + sky130_fd_sc_hd__inv_4 _21968_ ( + .A(_11432_), + .Y(_05132_) + ); + sky130_fd_sc_hd__o21ai_2 _21969_ ( + .A1(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[1] ), + .A2(_05021_), + .B1(_05019_), + .Y(\mgmt_buffers.mprj_sel_o_core[1] ) + ); + sky130_fd_sc_hd__nor2_2 _21970_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ), + .Y(_11433_) + ); + sky130_fd_sc_hd__nor2_2 _21971_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .B(_05132_), + .Y(_05133_) + ); + sky130_fd_sc_hd__and2_4 _21972_ ( + .A(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[5] ), + .B(\soc.core.VexRiscv.externalInterruptArray_regNext[5] ), + .X(_11434_) + ); + sky130_fd_sc_hd__a22o_2 _21973_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[0] ), + .A2(\soc.core.VexRiscv.externalInterruptArray_regNext[0] ), + .B1(\soc.core.VexRiscv.externalInterruptArray_regNext[1] ), + .B2(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[1] ), + .X(_11435_) + ); + sky130_fd_sc_hd__a22o_2 _21974_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[2] ), + .A2(\soc.core.VexRiscv.externalInterruptArray_regNext[2] ), + .B1(\soc.core.VexRiscv.externalInterruptArray_regNext[4] ), + .B2(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[4] ), + .X(_11436_) + ); + sky130_fd_sc_hd__a2111o_2 _21975_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[7] ), + .A2(\soc.core.VexRiscv.externalInterruptArray_regNext[7] ), + .B1(_11434_), + .C1(_11435_), + .D1(_11436_), + .X(_11437_) + ); + sky130_fd_sc_hd__a221o_2 _21976_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[3] ), + .A2(\soc.core.VexRiscv.externalInterruptArray_regNext[3] ), + .B1(\soc.core.VexRiscv.externalInterruptArray_regNext[6] ), + .B2(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[6] ), + .C1(_11437_), + .X(\soc.core.VexRiscv.externalInterrupt ) + ); + sky130_fd_sc_hd__o21ai_2 _21977_ ( + .A1(_05129_), + .A2(_04779_), + .B1(_05128_), + .Y(_00389_) + ); + sky130_fd_sc_hd__nor4_2 _21978_ ( + .A(_05128_), + .B(_05129_), + .C(_11183_), + .D(_11406_), + .Y(_00390_) + ); + sky130_fd_sc_hd__and3_2 _21979_ ( + .A(_04957_), + .B(_04984_), + .C(_11016_), + .X(_11438_) + ); + sky130_fd_sc_hd__and4_2 _21980_ ( + .A(_04957_), + .B(_04978_), + .C(_04984_), + .D(_11016_), + .X(_11439_) + ); + sky130_fd_sc_hd__and4_2 _21981_ ( + .A(_04928_), + .B(_04936_), + .C(_04939_), + .D(_04981_), + .X(_11440_) + ); + sky130_fd_sc_hd__and3_2 _21982_ ( + .A(_11007_), + .B(_11363_), + .C(_11440_), + .X(_11441_) + ); + sky130_fd_sc_hd__and3_2 _21983_ ( + .A(_04942_), + .B(_11439_), + .C(_11441_), + .X(_11442_) + ); + sky130_fd_sc_hd__and3_2 _21984_ ( + .A(_11442_), + .B(\mgmt_buffers.mprj_adr_o_core[9] ), + .C(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.RAM256.SEL0[1] ) + ); + sky130_fd_sc_hd__and3_2 _21985_ ( + .A(_11442_), + .B(\mgmt_buffers.mprj_stb_o_core ), + .C(_05018_), + .X(\soc.core.RAM256.SEL0[0] ) + ); + sky130_fd_sc_hd__nor4b_2 _21986_ ( + .A(\soc.core.VexRiscv.execute_arbitration_isValid ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isValid ), + .D_N(_11283_), + .Y(_11443_) + ); + sky130_fd_sc_hd__nand2_2 _21987_ ( + .A(_11292_), + .B(_11443_), + .Y(_00346_) + ); + sky130_fd_sc_hd__nor2_2 _21988_ ( + .A(_05106_), + .B(_05107_), + .Y(_00392_) + ); + sky130_fd_sc_hd__nand3_2 _21989_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ), + .Y(_11444_) + ); + sky130_fd_sc_hd__nand4_2 _21990_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[4] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ), + .Y(_11445_) + ); + sky130_fd_sc_hd__nor2_2 _21991_ ( + .A(_10891_), + .B(_11445_), + .Y(_11446_) + ); + sky130_fd_sc_hd__a41o_2 _21992_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[4] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ), + .A3(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ), + .A4(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[5] ), + .X(_11447_) + ); + sky130_fd_sc_hd__nand2b_2 _21993_ ( + .A_N(_11446_), + .B(_11447_), + .Y(_01370_) + ); + sky130_fd_sc_hd__and2_4 _21994_ ( + .A(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[28] ), + .B(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[29] ), + .X(_01372_) + ); + sky130_fd_sc_hd__xnor2_2 _21995_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ), + .Y(_01379_) + ); + sky130_fd_sc_hd__a21o_2 _21996_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ), + .X(_11448_) + ); + sky130_fd_sc_hd__nand2_2 _21997_ ( + .A(_11444_), + .B(_11448_), + .Y(_01387_) + ); + sky130_fd_sc_hd__a31o_2 _21998_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ), + .A3(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[4] ), + .X(_11449_) + ); + sky130_fd_sc_hd__nand2_2 _21999_ ( + .A(_11445_), + .B(_11449_), + .Y(_01395_) + ); + sky130_fd_sc_hd__nand2_2 _22000_ ( + .A(\soc.core.mgmtsoc_value[1] ), + .B(\soc.core.mgmtsoc_value[0] ), + .Y(_11450_) + ); + sky130_fd_sc_hd__nand2b_2 _22001_ ( + .A_N(_11409_), + .B(_11450_), + .Y(_02827_) + ); + sky130_fd_sc_hd__xor2_2 _22002_ ( + .A(\soc.core.mgmtsoc_value[2] ), + .B(_11409_), + .X(_02829_) + ); + sky130_fd_sc_hd__o31a_2 _22003_ ( + .A1(\soc.core.mgmtsoc_value[2] ), + .A2(\soc.core.mgmtsoc_value[1] ), + .A3(\soc.core.mgmtsoc_value[0] ), + .B1(\soc.core.mgmtsoc_value[3] ), + .X(_11451_) + ); + sky130_fd_sc_hd__a21o_2 _22004_ ( + .A1(_11409_), + .A2(_11410_), + .B1(_11451_), + .X(_02831_) + ); + sky130_fd_sc_hd__a21bo_2 _22005_ ( + .A1(_11409_), + .A2(_11410_), + .B1_N(\soc.core.mgmtsoc_value[4] ), + .X(_11452_) + ); + sky130_fd_sc_hd__nand2b_2 _22006_ ( + .A_N(_11411_), + .B(_11452_), + .Y(_02833_) + ); + sky130_fd_sc_hd__xor2_2 _22007_ ( + .A(\soc.core.mgmtsoc_value[5] ), + .B(_11411_), + .X(_02835_) + ); + sky130_fd_sc_hd__nand2_2 _22008_ ( + .A(_11412_), + .B(\soc.core.mgmtsoc_value[6] ), + .Y(_11453_) + ); + sky130_fd_sc_hd__nand2b_2 _22009_ ( + .A_N(_11413_), + .B(_11453_), + .Y(_02837_) + ); + sky130_fd_sc_hd__xor2_2 _22010_ ( + .A(\soc.core.mgmtsoc_value[7] ), + .B(_11413_), + .X(_02839_) + ); + sky130_fd_sc_hd__o31ai_2 _22011_ ( + .A1(\soc.core.mgmtsoc_value[7] ), + .A2(\soc.core.mgmtsoc_value[6] ), + .A3(_11412_), + .B1(\soc.core.mgmtsoc_value[8] ), + .Y(_11454_) + ); + sky130_fd_sc_hd__nand2b_2 _22012_ ( + .A_N(_11414_), + .B(_11454_), + .Y(_02841_) + ); + sky130_fd_sc_hd__o41ai_2 _22013_ ( + .A1(\soc.core.mgmtsoc_value[8] ), + .A2(\soc.core.mgmtsoc_value[7] ), + .A3(\soc.core.mgmtsoc_value[6] ), + .A4(_11412_), + .B1(\soc.core.mgmtsoc_value[9] ), + .Y(_11455_) + ); + sky130_fd_sc_hd__nand2b_2 _22014_ ( + .A_N(_11415_), + .B(_11455_), + .Y(_02843_) + ); + sky130_fd_sc_hd__xor2_2 _22015_ ( + .A(\soc.core.mgmtsoc_value[10] ), + .B(_11415_), + .X(_02845_) + ); + sky130_fd_sc_hd__xor2_2 _22016_ ( + .A(\soc.core.mgmtsoc_value[11] ), + .B(_11416_), + .X(_02847_) + ); + sky130_fd_sc_hd__xor2_2 _22017_ ( + .A(\soc.core.mgmtsoc_value[12] ), + .B(_11417_), + .X(_02849_) + ); + sky130_fd_sc_hd__xor2_2 _22018_ ( + .A(\soc.core.mgmtsoc_value[13] ), + .B(_11418_), + .X(_02851_) + ); + sky130_fd_sc_hd__nand2b_2 _22019_ ( + .A_N(_11419_), + .B(\soc.core.mgmtsoc_value[14] ), + .Y(_11456_) + ); + sky130_fd_sc_hd__nand2_2 _22020_ ( + .A(_11420_), + .B(_11456_), + .Y(_02853_) + ); + sky130_fd_sc_hd__nand2_2 _22021_ ( + .A(_11420_), + .B(\soc.core.mgmtsoc_value[15] ), + .Y(_11457_) + ); + sky130_fd_sc_hd__nand2b_2 _22022_ ( + .A_N(_11421_), + .B(_11457_), + .Y(_02855_) + ); + sky130_fd_sc_hd__xor2_2 _22023_ ( + .A(\soc.core.mgmtsoc_value[16] ), + .B(_11421_), + .X(_02857_) + ); + sky130_fd_sc_hd__o31a_2 _22024_ ( + .A1(\soc.core.mgmtsoc_value[16] ), + .A2(\soc.core.mgmtsoc_value[15] ), + .A3(_11420_), + .B1(\soc.core.mgmtsoc_value[17] ), + .X(_11458_) + ); + sky130_fd_sc_hd__a21o_2 _22025_ ( + .A1(_11408_), + .A2(_11421_), + .B1(_11458_), + .X(_02859_) + ); + sky130_fd_sc_hd__o41ai_2 _22026_ ( + .A1(\soc.core.mgmtsoc_value[17] ), + .A2(\soc.core.mgmtsoc_value[16] ), + .A3(\soc.core.mgmtsoc_value[15] ), + .A4(_11420_), + .B1(\soc.core.mgmtsoc_value[18] ), + .Y(_11459_) + ); + sky130_fd_sc_hd__nand2b_2 _22027_ ( + .A_N(_11423_), + .B(_11459_), + .Y(_02861_) + ); + sky130_fd_sc_hd__xor2_2 _22028_ ( + .A(\soc.core.mgmtsoc_value[19] ), + .B(_11423_), + .X(_02863_) + ); + sky130_fd_sc_hd__nand2b_2 _22029_ ( + .A_N(\soc.core.mgmtsoc_value[20] ), + .B(_11424_), + .Y(_11460_) + ); + sky130_fd_sc_hd__nand2b_2 _22030_ ( + .A_N(_11424_), + .B(\soc.core.mgmtsoc_value[20] ), + .Y(_11461_) + ); + sky130_fd_sc_hd__nand2_2 _22031_ ( + .A(_11460_), + .B(_11461_), + .Y(_02865_) + ); + sky130_fd_sc_hd__a22o_2 _22032_ ( + .A1(_11424_), + .A2(_11425_), + .B1(_11460_), + .B2(\soc.core.mgmtsoc_value[21] ), + .X(_02867_) + ); + sky130_fd_sc_hd__xnor2_2 _22033_ ( + .A(\soc.core.mgmtsoc_value[22] ), + .B(_11426_), + .Y(_02869_) + ); + sky130_fd_sc_hd__o21a_2 _22034_ ( + .A1(\soc.core.mgmtsoc_value[22] ), + .A2(_11426_), + .B1(\soc.core.mgmtsoc_value[23] ), + .X(_11462_) + ); + sky130_fd_sc_hd__a21o_2 _22035_ ( + .A1(_11424_), + .A2(_11427_), + .B1(_11462_), + .X(_02871_) + ); + sky130_fd_sc_hd__xnor2_2 _22036_ ( + .A(\soc.core.mgmtsoc_value[24] ), + .B(_11428_), + .Y(_02873_) + ); + sky130_fd_sc_hd__o41a_2 _22037_ ( + .A1(\soc.core.mgmtsoc_value[24] ), + .A2(\soc.core.mgmtsoc_value[23] ), + .A3(\soc.core.mgmtsoc_value[22] ), + .A4(_11426_), + .B1(\soc.core.mgmtsoc_value[25] ), + .X(_11463_) + ); + sky130_fd_sc_hd__a31o_2 _22038_ ( + .A1(_11424_), + .A2(_11427_), + .A3(_11429_), + .B1(_11463_), + .X(_02875_) + ); + sky130_fd_sc_hd__o31a_2 _22039_ ( + .A1(\soc.core.mgmtsoc_value[25] ), + .A2(\soc.core.mgmtsoc_value[24] ), + .A3(_11428_), + .B1(\soc.core.mgmtsoc_value[26] ), + .X(_11464_) + ); + sky130_fd_sc_hd__nor2_2 _22040_ ( + .A(\soc.core.mgmtsoc_value[26] ), + .B(_11428_), + .Y(_11465_) + ); + sky130_fd_sc_hd__a21o_2 _22041_ ( + .A1(_11429_), + .A2(_11465_), + .B1(_11464_), + .X(_02877_) + ); + sky130_fd_sc_hd__o41a_2 _22042_ ( + .A1(\soc.core.mgmtsoc_value[26] ), + .A2(\soc.core.mgmtsoc_value[25] ), + .A3(\soc.core.mgmtsoc_value[24] ), + .A4(_11428_), + .B1(\soc.core.mgmtsoc_value[27] ), + .X(_11466_) + ); + sky130_fd_sc_hd__a31o_2 _22043_ ( + .A1(_11424_), + .A2(_11427_), + .A3(_11430_), + .B1(_11466_), + .X(_02879_) + ); + sky130_fd_sc_hd__and4b_2 _22044_ ( + .A_N(\soc.core.mgmtsoc_value[28] ), + .B(_11424_), + .C(_11427_), + .D(_11430_), + .X(_11467_) + ); + sky130_fd_sc_hd__xor2_2 _22045_ ( + .A(\soc.core.mgmtsoc_value[28] ), + .B(_11431_), + .X(_02881_) + ); + sky130_fd_sc_hd__nand2b_2 _22046_ ( + .A_N(\soc.core.mgmtsoc_value[29] ), + .B(_11467_), + .Y(_11468_) + ); + sky130_fd_sc_hd__xor2_2 _22047_ ( + .A(\soc.core.mgmtsoc_value[29] ), + .B(_11467_), + .X(_02883_) + ); + sky130_fd_sc_hd__nand2_2 _22048_ ( + .A(_11407_), + .B(_11467_), + .Y(_11469_) + ); + sky130_fd_sc_hd__a22o_2 _22049_ ( + .A1(_11407_), + .A2(_11467_), + .B1(_11468_), + .B2(\soc.core.mgmtsoc_value[30] ), + .X(_02885_) + ); + sky130_fd_sc_hd__a21o_2 _22050_ ( + .A1(\soc.core.mgmtsoc_value[31] ), + .A2(_11469_), + .B1(\soc.core.csrbank10_ev_status_w ), + .X(_02887_) + ); + sky130_fd_sc_hd__nand3b_2 _22051_ ( + .A_N(\soc.core.spimaster_storage[0] ), + .B(_10992_), + .C(_10997_), + .Y(_11470_) + ); + sky130_fd_sc_hd__nand2b_2 _22052_ ( + .A_N(\soc.core.spimaster_storage[15] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11471_) + ); + sky130_fd_sc_hd__a21oi_2 _22053_ ( + .A1(_11470_), + .A2(_11471_), + .B1(\soc.core.spi_master_clk_divider1[15] ), + .Y(_11472_) + ); + sky130_fd_sc_hd__and2_4 _22054_ ( + .A(_11471_), + .B(\soc.core.spi_master_clk_divider1[15] ), + .X(_11473_) + ); + sky130_fd_sc_hd__a21oi_2 _22055_ ( + .A1(_11470_), + .A2(_11473_), + .B1(_11472_), + .Y(_11474_) + ); + sky130_fd_sc_hd__nand3b_2 _22056_ ( + .A_N(\soc.core.spimaster_storage[0] ), + .B(_10991_), + .C(_10994_), + .Y(_11475_) + ); + sky130_fd_sc_hd__nand2b_2 _22057_ ( + .A_N(\soc.core.spimaster_storage[14] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11476_) + ); + sky130_fd_sc_hd__and3_2 _22058_ ( + .A(_11475_), + .B(_11476_), + .C(\soc.core.spi_master_clk_divider1[14] ), + .X(_11477_) + ); + sky130_fd_sc_hd__a21oi_2 _22059_ ( + .A1(_11475_), + .A2(_11476_), + .B1(\soc.core.spi_master_clk_divider1[14] ), + .Y(_11478_) + ); + sky130_fd_sc_hd__and2_4 _22060_ ( + .A(\soc.core.spimaster_storage[13] ), + .B(\soc.core.spimaster_storage[0] ), + .X(_11479_) + ); + sky130_fd_sc_hd__a21oi_2 _22061_ ( + .A1(_10984_), + .A2(_10985_), + .B1(\soc.core.spimaster_storage[0] ), + .Y(_11480_) + ); + sky130_fd_sc_hd__a211o_2 _22062_ ( + .A1(\soc.core.spimaster_storage[13] ), + .A2(\soc.core.spimaster_storage[0] ), + .B1(\soc.core.spi_master_clk_divider1[13] ), + .C1(_11480_), + .X(_11481_) + ); + sky130_fd_sc_hd__o21ai_2 _22063_ ( + .A1(_11479_), + .A2(_11480_), + .B1(\soc.core.spi_master_clk_divider1[13] ), + .Y(_11482_) + ); + sky130_fd_sc_hd__nand2b_2 _22064_ ( + .A_N(\soc.core.spimaster_storage[12] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11483_) + ); + sky130_fd_sc_hd__a31o_2 _22065_ ( + .A1(_10935_), + .A2(_10968_), + .A3(_10979_), + .B1(\soc.core.spimaster_storage[0] ), + .X(_11484_) + ); + sky130_fd_sc_hd__o21ai_2 _22066_ ( + .A1(_10981_), + .A2(_11484_), + .B1(_11483_), + .Y(_11485_) + ); + sky130_fd_sc_hd__xnor2_2 _22067_ ( + .A(\soc.core.spi_master_clk_divider1[12] ), + .B(_11485_), + .Y(_11486_) + ); + sky130_fd_sc_hd__nand2_2 _22068_ ( + .A(\soc.core.spimaster_storage[11] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11487_) + ); + sky130_fd_sc_hd__o21ai_2 _22069_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_10977_), + .B1(_11487_), + .Y(_11488_) + ); + sky130_fd_sc_hd__xor2_2 _22070_ ( + .A(\soc.core.spi_master_clk_divider1[11] ), + .B(_11488_), + .X(_11489_) + ); + sky130_fd_sc_hd__nand3b_2 _22071_ ( + .A_N(\soc.core.spimaster_storage[0] ), + .B(_10970_), + .C(_10971_), + .Y(_11490_) + ); + sky130_fd_sc_hd__nand2b_2 _22072_ ( + .A_N(\soc.core.spimaster_storage[10] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11491_) + ); + sky130_fd_sc_hd__a21o_2 _22073_ ( + .A1(_11490_), + .A2(_11491_), + .B1(\soc.core.spi_master_clk_divider1[10] ), + .X(_11492_) + ); + sky130_fd_sc_hd__nand3_2 _22074_ ( + .A(_11490_), + .B(_11491_), + .C(\soc.core.spi_master_clk_divider1[10] ), + .Y(_11493_) + ); + sky130_fd_sc_hd__nand2_2 _22075_ ( + .A(_11492_), + .B(_11493_), + .Y(_11494_) + ); + sky130_fd_sc_hd__nand2_2 _22076_ ( + .A(\soc.core.spimaster_storage[9] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11495_) + ); + sky130_fd_sc_hd__o21bai_2 _22077_ ( + .A1(_10961_), + .A2(_10962_), + .B1_N(\soc.core.spimaster_storage[0] ), + .Y(_11496_) + ); + sky130_fd_sc_hd__and2b_2 _22078_ ( + .A_N(\soc.core.spimaster_storage[9] ), + .B(\soc.core.spimaster_storage[0] ), + .X(_11497_) + ); + sky130_fd_sc_hd__nand3b_2 _22079_ ( + .A_N(\soc.core.spi_master_clk_divider1[9] ), + .B(_11495_), + .C(_11496_), + .Y(_11498_) + ); + sky130_fd_sc_hd__o31ai_2 _22080_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_10961_), + .A3(_10962_), + .B1(\soc.core.spi_master_clk_divider1[9] ), + .Y(_11499_) + ); + sky130_fd_sc_hd__o21ai_2 _22081_ ( + .A1(_11497_), + .A2(_11499_), + .B1(_11498_), + .Y(_11500_) + ); + sky130_fd_sc_hd__a21oi_2 _22082_ ( + .A1(_10936_), + .A2(_10956_), + .B1(\soc.core.spimaster_storage[0] ), + .Y(_11501_) + ); + sky130_fd_sc_hd__a21o_2 _22083_ ( + .A1(\soc.core.spimaster_storage[7] ), + .A2(\soc.core.spimaster_storage[0] ), + .B1(_11501_), + .X(_11502_) + ); + sky130_fd_sc_hd__nand2_2 _22084_ ( + .A(\soc.core.spi_master_clk_divider1[7] ), + .B(_11502_), + .Y(_11503_) + ); + sky130_fd_sc_hd__a211o_2 _22085_ ( + .A1(\soc.core.spimaster_storage[7] ), + .A2(\soc.core.spimaster_storage[0] ), + .B1(\soc.core.spi_master_clk_divider1[7] ), + .C1(_11501_), + .X(_11504_) + ); + sky130_fd_sc_hd__nand2_2 _22086_ ( + .A(_11503_), + .B(_11504_), + .Y(_11505_) + ); + sky130_fd_sc_hd__nand2_2 _22087_ ( + .A(_10896_), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11506_) + ); + sky130_fd_sc_hd__o21ai_2 _22088_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_10941_), + .B1(_11506_), + .Y(_11507_) + ); + sky130_fd_sc_hd__xor2_2 _22089_ ( + .A(\soc.core.spi_master_clk_divider1[6] ), + .B(_11507_), + .X(_11508_) + ); + sky130_fd_sc_hd__nand2_2 _22090_ ( + .A(\soc.core.spimaster_storage[4] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11509_) + ); + sky130_fd_sc_hd__o21a_2 _22091_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_10944_), + .B1(_11509_), + .X(_11510_) + ); + sky130_fd_sc_hd__nor2_2 _22092_ ( + .A(\soc.core.spi_master_clk_divider1[4] ), + .B(_11510_), + .Y(_11511_) + ); + sky130_fd_sc_hd__o211a_2 _22093_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_10944_), + .B1(_11509_), + .C1(\soc.core.spi_master_clk_divider1[4] ), + .X(_11512_) + ); + sky130_fd_sc_hd__nor3_2 _22094_ ( + .A(\soc.core.spimaster_storage[2] ), + .B(\soc.core.spimaster_storage[1] ), + .C(\soc.core.spimaster_storage[0] ), + .Y(_11513_) + ); + sky130_fd_sc_hd__o21a_2 _22095_ ( + .A1(\soc.core.spimaster_storage[1] ), + .A2(\soc.core.spimaster_storage[0] ), + .B1(\soc.core.spimaster_storage[2] ), + .X(_11514_) + ); + sky130_fd_sc_hd__nor2_2 _22096_ ( + .A(_11513_), + .B(_11514_), + .Y(_11515_) + ); + sky130_fd_sc_hd__and2_4 _22097_ ( + .A(\soc.core.spimaster_storage[0] ), + .B(\soc.core.spi_master_clk_divider1[0] ), + .X(_11516_) + ); + sky130_fd_sc_hd__nor2_2 _22098_ ( + .A(\soc.core.spimaster_storage[0] ), + .B(\soc.core.spi_master_clk_divider1[0] ), + .Y(_11517_) + ); + sky130_fd_sc_hd__xnor2_2 _22099_ ( + .A(\soc.core.spimaster_storage[3] ), + .B(\soc.core.spi_master_clk_divider1[3] ), + .Y(_11518_) + ); + sky130_fd_sc_hd__xnor2_2 _22100_ ( + .A(\soc.core.spi_master_clk_divider1[1] ), + .B(_10946_), + .Y(_11519_) + ); + sky130_fd_sc_hd__xor2_2 _22101_ ( + .A(_11513_), + .B(_11518_), + .X(_11520_) + ); + sky130_fd_sc_hd__a211oi_2 _22102_ ( + .A1(\soc.core.spi_master_clk_divider1[2] ), + .A2(_11515_), + .B1(_11516_), + .C1(_11517_), + .Y(_11521_) + ); + sky130_fd_sc_hd__o2111ai_2 _22103_ ( + .A1(\soc.core.spi_master_clk_divider1[2] ), + .A2(_11515_), + .B1(_11519_), + .C1(_11520_), + .D1(_11521_), + .Y(_11522_) + ); + sky130_fd_sc_hd__nor3_2 _22104_ ( + .A(_11511_), + .B(_11512_), + .C(_11522_), + .Y(_11523_) + ); + sky130_fd_sc_hd__nand2_2 _22105_ ( + .A(\soc.core.spimaster_storage[5] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11524_) + ); + sky130_fd_sc_hd__o21ai_2 _22106_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_10953_), + .B1(_11524_), + .Y(_11525_) + ); + sky130_fd_sc_hd__xnor2_2 _22107_ ( + .A(\soc.core.spi_master_clk_divider1[5] ), + .B(_11525_), + .Y(_11526_) + ); + sky130_fd_sc_hd__nand4_2 _22108_ ( + .A(_11505_), + .B(_11508_), + .C(_11523_), + .D(_11526_), + .Y(_11527_) + ); + sky130_fd_sc_hd__nand2_2 _22109_ ( + .A(\soc.core.spimaster_storage[8] ), + .B(\soc.core.spimaster_storage[0] ), + .Y(_11528_) + ); + sky130_fd_sc_hd__o21bai_2 _22110_ ( + .A1(_10937_), + .A2(_10938_), + .B1_N(\soc.core.spimaster_storage[0] ), + .Y(_11529_) + ); + sky130_fd_sc_hd__a21o_2 _22111_ ( + .A1(_11528_), + .A2(_11529_), + .B1(\soc.core.spi_master_clk_divider1[8] ), + .X(_11530_) + ); + sky130_fd_sc_hd__o211ai_2 _22112_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_10939_), + .B1(_11528_), + .C1(\soc.core.spi_master_clk_divider1[8] ), + .Y(_11531_) + ); + sky130_fd_sc_hd__a2bb2oi_2 _22113_ ( + .A1_N(_11477_), + .A2_N(_11478_), + .B1(_11481_), + .B2(_11482_), + .Y(_11532_) + ); + sky130_fd_sc_hd__nor2_2 _22114_ ( + .A(_11486_), + .B(_11527_), + .Y(_11533_) + ); + sky130_fd_sc_hd__nand4_2 _22115_ ( + .A(_11494_), + .B(_11500_), + .C(_11530_), + .D(_11531_), + .Y(_11534_) + ); + sky130_fd_sc_hd__nor2_2 _22116_ ( + .A(_11474_), + .B(_11534_), + .Y(_11535_) + ); + sky130_fd_sc_hd__nand4b_2 _22117_ ( + .A_N(_11489_), + .B(_11532_), + .C(_11533_), + .D(_11535_), + .Y(_11536_) + ); + sky130_fd_sc_hd__and2_4 _22118_ ( + .A(_02889_), + .B(_11536_), + .X(_02890_) + ); + sky130_fd_sc_hd__and2_4 _22119_ ( + .A(_11536_), + .B(_02891_), + .X(_02892_) + ); + sky130_fd_sc_hd__and3_2 _22120_ ( + .A(\soc.core.spi_master_clk_divider1[0] ), + .B(\soc.core.spi_master_clk_divider1[1] ), + .C(\soc.core.spi_master_clk_divider1[2] ), + .X(_11537_) + ); + sky130_fd_sc_hd__a21oi_2 _22121_ ( + .A1(\soc.core.spi_master_clk_divider1[0] ), + .A2(\soc.core.spi_master_clk_divider1[1] ), + .B1(\soc.core.spi_master_clk_divider1[2] ), + .Y(_11538_) + ); + sky130_fd_sc_hd__nor2_2 _22122_ ( + .A(_11537_), + .B(_11538_), + .Y(_02893_) + ); + sky130_fd_sc_hd__and2_4 _22123_ ( + .A(_11536_), + .B(_02893_), + .X(_02894_) + ); + sky130_fd_sc_hd__and4_2 _22124_ ( + .A(\soc.core.spi_master_clk_divider1[0] ), + .B(\soc.core.spi_master_clk_divider1[1] ), + .C(\soc.core.spi_master_clk_divider1[2] ), + .D(\soc.core.spi_master_clk_divider1[3] ), + .X(_11539_) + ); + sky130_fd_sc_hd__nor2_2 _22125_ ( + .A(\soc.core.spi_master_clk_divider1[3] ), + .B(_11537_), + .Y(_11540_) + ); + sky130_fd_sc_hd__nor2_2 _22126_ ( + .A(_11539_), + .B(_11540_), + .Y(_02895_) + ); + sky130_fd_sc_hd__and2_4 _22127_ ( + .A(_11536_), + .B(_02895_), + .X(_02896_) + ); + sky130_fd_sc_hd__xor2_2 _22128_ ( + .A(\soc.core.spi_master_clk_divider1[4] ), + .B(_11539_), + .X(_02897_) + ); + sky130_fd_sc_hd__and2_4 _22129_ ( + .A(_11536_), + .B(_02897_), + .X(_02898_) + ); + sky130_fd_sc_hd__and3_2 _22130_ ( + .A(\soc.core.spi_master_clk_divider1[4] ), + .B(\soc.core.spi_master_clk_divider1[5] ), + .C(_11539_), + .X(_11541_) + ); + sky130_fd_sc_hd__a21oi_2 _22131_ ( + .A1(\soc.core.spi_master_clk_divider1[4] ), + .A2(_11539_), + .B1(\soc.core.spi_master_clk_divider1[5] ), + .Y(_11542_) + ); + sky130_fd_sc_hd__nor2_2 _22132_ ( + .A(_11541_), + .B(_11542_), + .Y(_02899_) + ); + sky130_fd_sc_hd__and2_4 _22133_ ( + .A(_11536_), + .B(_02899_), + .X(_02900_) + ); + sky130_fd_sc_hd__nor2_2 _22134_ ( + .A(\soc.core.spi_master_clk_divider1[6] ), + .B(_11541_), + .Y(_11543_) + ); + sky130_fd_sc_hd__and4_2 _22135_ ( + .A(\soc.core.spi_master_clk_divider1[4] ), + .B(\soc.core.spi_master_clk_divider1[5] ), + .C(\soc.core.spi_master_clk_divider1[6] ), + .D(_11539_), + .X(_11544_) + ); + sky130_fd_sc_hd__nor2_2 _22136_ ( + .A(_11543_), + .B(_11544_), + .Y(_02901_) + ); + sky130_fd_sc_hd__and2_4 _22137_ ( + .A(_11536_), + .B(_02901_), + .X(_02902_) + ); + sky130_fd_sc_hd__xor2_2 _22138_ ( + .A(\soc.core.spi_master_clk_divider1[7] ), + .B(_11544_), + .X(_02903_) + ); + sky130_fd_sc_hd__and2_4 _22139_ ( + .A(_11536_), + .B(_02903_), + .X(_02904_) + ); + sky130_fd_sc_hd__a21oi_2 _22140_ ( + .A1(\soc.core.spi_master_clk_divider1[7] ), + .A2(_11544_), + .B1(\soc.core.spi_master_clk_divider1[8] ), + .Y(_11545_) + ); + sky130_fd_sc_hd__and3_2 _22141_ ( + .A(\soc.core.spi_master_clk_divider1[7] ), + .B(\soc.core.spi_master_clk_divider1[8] ), + .C(_11544_), + .X(_11546_) + ); + sky130_fd_sc_hd__nor2_2 _22142_ ( + .A(_11545_), + .B(_11546_), + .Y(_02905_) + ); + sky130_fd_sc_hd__and2_4 _22143_ ( + .A(_11536_), + .B(_02905_), + .X(_02906_) + ); + sky130_fd_sc_hd__and4_2 _22144_ ( + .A(\soc.core.spi_master_clk_divider1[7] ), + .B(\soc.core.spi_master_clk_divider1[8] ), + .C(\soc.core.spi_master_clk_divider1[9] ), + .D(_11544_), + .X(_11547_) + ); + sky130_fd_sc_hd__nor2_2 _22145_ ( + .A(\soc.core.spi_master_clk_divider1[9] ), + .B(_11546_), + .Y(_11548_) + ); + sky130_fd_sc_hd__nor2_2 _22146_ ( + .A(_11547_), + .B(_11548_), + .Y(_02907_) + ); + sky130_fd_sc_hd__and2_4 _22147_ ( + .A(_11536_), + .B(_02907_), + .X(_02908_) + ); + sky130_fd_sc_hd__xor2_2 _22148_ ( + .A(\soc.core.spi_master_clk_divider1[10] ), + .B(_11547_), + .X(_02909_) + ); + sky130_fd_sc_hd__and2_4 _22149_ ( + .A(_11536_), + .B(_02909_), + .X(_02910_) + ); + sky130_fd_sc_hd__and3_2 _22150_ ( + .A(\soc.core.spi_master_clk_divider1[10] ), + .B(\soc.core.spi_master_clk_divider1[11] ), + .C(_11547_), + .X(_11549_) + ); + sky130_fd_sc_hd__a21oi_2 _22151_ ( + .A1(\soc.core.spi_master_clk_divider1[10] ), + .A2(_11547_), + .B1(\soc.core.spi_master_clk_divider1[11] ), + .Y(_11550_) + ); + sky130_fd_sc_hd__nor2_2 _22152_ ( + .A(_11549_), + .B(_11550_), + .Y(_02911_) + ); + sky130_fd_sc_hd__and2_4 _22153_ ( + .A(_11536_), + .B(_02911_), + .X(_02912_) + ); + sky130_fd_sc_hd__xor2_2 _22154_ ( + .A(\soc.core.spi_master_clk_divider1[12] ), + .B(_11549_), + .X(_02913_) + ); + sky130_fd_sc_hd__and2_4 _22155_ ( + .A(_11536_), + .B(_02913_), + .X(_02914_) + ); + sky130_fd_sc_hd__and3_2 _22156_ ( + .A(\soc.core.spi_master_clk_divider1[12] ), + .B(\soc.core.spi_master_clk_divider1[13] ), + .C(_11549_), + .X(_11551_) + ); + sky130_fd_sc_hd__a21oi_2 _22157_ ( + .A1(\soc.core.spi_master_clk_divider1[12] ), + .A2(_11549_), + .B1(\soc.core.spi_master_clk_divider1[13] ), + .Y(_11552_) + ); + sky130_fd_sc_hd__nor2_2 _22158_ ( + .A(_11551_), + .B(_11552_), + .Y(_02915_) + ); + sky130_fd_sc_hd__and2_4 _22159_ ( + .A(_11536_), + .B(_02915_), + .X(_02916_) + ); + sky130_fd_sc_hd__a31o_2 _22160_ ( + .A1(\soc.core.spi_master_clk_divider1[12] ), + .A2(\soc.core.spi_master_clk_divider1[13] ), + .A3(_11549_), + .B1(\soc.core.spi_master_clk_divider1[14] ), + .X(_11553_) + ); + sky130_fd_sc_hd__nand2_2 _22161_ ( + .A(\soc.core.spi_master_clk_divider1[14] ), + .B(_11551_), + .Y(_11554_) + ); + sky130_fd_sc_hd__and2_4 _22162_ ( + .A(_11553_), + .B(_11554_), + .X(_02917_) + ); + sky130_fd_sc_hd__and3_2 _22163_ ( + .A(_11536_), + .B(_11553_), + .C(_11554_), + .X(_02918_) + ); + sky130_fd_sc_hd__xnor2_2 _22164_ ( + .A(\soc.core.spi_master_clk_divider1[15] ), + .B(_11554_), + .Y(_02919_) + ); + sky130_fd_sc_hd__and2_4 _22165_ ( + .A(_11536_), + .B(_02919_), + .X(_02920_) + ); + sky130_fd_sc_hd__and2_4 _22166_ ( + .A(\soc.core.spi_master_mosi_sel[1] ), + .B(\soc.core.spi_master_mosi_sel[0] ), + .X(_03033_) + ); + sky130_fd_sc_hd__nor2_2 _22167_ ( + .A(\soc.core.spi_master_mosi_sel[1] ), + .B(\soc.core.spi_master_mosi_sel[0] ), + .Y(_03034_) + ); + sky130_fd_sc_hd__nor2_2 _22168_ ( + .A(\soc.core.litespiphy_state[1] ), + .B(\soc.core.litespiphy_state[0] ), + .Y(_04781_) + ); + sky130_fd_sc_hd__nand2_2 _22169_ ( + .A(_05117_), + .B(_04781_), + .Y(_03035_) + ); + sky130_fd_sc_hd__and3_2 _22170_ ( + .A(\soc.core.litespi_state[2] ), + .B(\soc.core.mgmtsoc_litespimmap_storage[0] ), + .C(_11366_), + .X(_03039_) + ); + sky130_fd_sc_hd__nor2_2 _22171_ ( + .A(_03040_), + .B(_03038_), + .Y(_11555_) + ); + sky130_fd_sc_hd__inv_4 _22172_ ( + .A(_11555_), + .Y(_03041_) + ); + sky130_fd_sc_hd__and3_2 _22173_ ( + .A(\soc.core.litespi_state[2] ), + .B(\soc.core.mgmtsoc_litespimmap_storage[1] ), + .C(_11366_), + .X(_03042_) + ); + sky130_fd_sc_hd__nor2_2 _22174_ ( + .A(_03040_), + .B(_03043_), + .Y(_11556_) + ); + sky130_fd_sc_hd__and2_4 _22175_ ( + .A(_03040_), + .B(_03043_), + .X(_11557_) + ); + sky130_fd_sc_hd__nor2_2 _22176_ ( + .A(_11556_), + .B(_11557_), + .Y(_03044_) + ); + sky130_fd_sc_hd__nand3_2 _22177_ ( + .A(\soc.core.litespi_state[2] ), + .B(\soc.core.mgmtsoc_litespimmap_storage[2] ), + .C(_11366_), + .Y(_03046_) + ); + sky130_fd_sc_hd__xnor2_2 _22178_ ( + .A(_03047_), + .B(_11556_), + .Y(_11558_) + ); + sky130_fd_sc_hd__inv_4 _22179_ ( + .A(_11558_), + .Y(_03048_) + ); + sky130_fd_sc_hd__a211o_2 _22180_ ( + .A1(\soc.core.litespi_state[2] ), + .A2(\soc.core.litespi_state[1] ), + .B1(\soc.core.litespi_state[3] ), + .C1(_05110_), + .X(_11559_) + ); + sky130_fd_sc_hd__a21oi_2 _22181_ ( + .A1(\soc.core.litespi_state[2] ), + .A2(\soc.core.litespi_state[1] ), + .B1(_11365_), + .Y(_11560_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22182_ ( + .A1_N(\soc.core.mgmtsoc_litespimmap_storage[3] ), + .A2_N(_11366_), + .B1(_11365_), + .B2(\soc.core.litespi_state[2] ), + .X(_03050_) + ); + sky130_fd_sc_hd__and3_2 _22183_ ( + .A(_03047_), + .B(_03051_), + .C(_11556_), + .X(_11561_) + ); + sky130_fd_sc_hd__a21o_2 _22184_ ( + .A1(_03047_), + .A2(_11556_), + .B1(_03051_), + .X(_11562_) + ); + sky130_fd_sc_hd__nand2b_2 _22185_ ( + .A_N(_11561_), + .B(_11562_), + .Y(_11563_) + ); + sky130_fd_sc_hd__inv_4 _22186_ ( + .A(_11563_), + .Y(_03052_) + ); + sky130_fd_sc_hd__a31o_2 _22187_ ( + .A1(\soc.core.litespi_state[2] ), + .A2(\soc.core.mgmtsoc_litespimmap_storage[4] ), + .A3(_11366_), + .B1(_11367_), + .X(_03053_) + ); + sky130_fd_sc_hd__and2b_2 _22188_ ( + .A_N(_11561_), + .B(_03054_), + .X(_11564_) + ); + sky130_fd_sc_hd__and4b_2 _22189_ ( + .A_N(_03054_), + .B(_11556_), + .C(_03047_), + .D(_03051_), + .X(_11565_) + ); + sky130_fd_sc_hd__nor2_2 _22190_ ( + .A(_11564_), + .B(_11565_), + .Y(_03055_) + ); + sky130_fd_sc_hd__o211a_2 _22191_ ( + .A1(\soc.core.litespi_state[1] ), + .A2(\soc.core.mgmtsoc_litespimmap_storage[5] ), + .B1(_05115_), + .C1(\soc.core.litespi_state[2] ), + .X(_03056_) + ); + sky130_fd_sc_hd__and4bb_2 _22192_ ( + .A_N(_03038_), + .B_N(_03054_), + .C(_03057_), + .D(_11561_), + .X(_03058_) + ); + sky130_fd_sc_hd__nand2b_2 _22193_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[0] ), + .Y(_11566_) + ); + sky130_fd_sc_hd__nand2b_2 _22194_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[1] ), + .Y(_11567_) + ); + sky130_fd_sc_hd__nand2b_2 _22195_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_storage[3] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[3] ), + .Y(_11568_) + ); + sky130_fd_sc_hd__nand2b_2 _22196_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_storage[4] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ), + .Y(_11569_) + ); + sky130_fd_sc_hd__and4_2 _22197_ ( + .A(_11566_), + .B(_11567_), + .C(_11568_), + .D(_11569_), + .X(_11570_) + ); + sky130_fd_sc_hd__and2b_2 _22198_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[3] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[3] ), + .X(_11571_) + ); + sky130_fd_sc_hd__and2b_2 _22199_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[2] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[2] ), + .X(_11572_) + ); + sky130_fd_sc_hd__nor2_2 _22200_ ( + .A(_11571_), + .B(_11572_), + .Y(_11573_) + ); + sky130_fd_sc_hd__and2b_2 _22201_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_storage[2] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[2] ), + .X(_11574_) + ); + sky130_fd_sc_hd__and2b_2 _22202_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_storage[1] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ), + .X(_11575_) + ); + sky130_fd_sc_hd__nor2_2 _22203_ ( + .A(_11574_), + .B(_11575_), + .Y(_11576_) + ); + sky130_fd_sc_hd__nand2b_2 _22204_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[7] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[7] ), + .Y(_11577_) + ); + sky130_fd_sc_hd__nand2b_2 _22205_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[6] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[6] ), + .Y(_11578_) + ); + sky130_fd_sc_hd__nand2_2 _22206_ ( + .A(_11577_), + .B(_11578_), + .Y(_11579_) + ); + sky130_fd_sc_hd__nand2b_2 _22207_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[5] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[5] ), + .Y(_11580_) + ); + sky130_fd_sc_hd__nand2b_2 _22208_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[4] ), + .Y(_11581_) + ); + sky130_fd_sc_hd__nand4_2 _22209_ ( + .A(_11577_), + .B(_11578_), + .C(_11580_), + .D(_11581_), + .Y(_11582_) + ); + sky130_fd_sc_hd__and2b_2 _22210_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_storage[6] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[6] ), + .X(_11583_) + ); + sky130_fd_sc_hd__and2b_2 _22211_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_storage[5] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[5] ), + .X(_11584_) + ); + sky130_fd_sc_hd__nor2_2 _22212_ ( + .A(_11583_), + .B(_11584_), + .Y(_11585_) + ); + sky130_fd_sc_hd__nand2b_2 _22213_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_storage[0] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .Y(_11586_) + ); + sky130_fd_sc_hd__nand2b_2 _22214_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_storage[7] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[7] ), + .Y(_11587_) + ); + sky130_fd_sc_hd__and4b_2 _22215_ ( + .A_N(_11582_), + .B(_11585_), + .C(_11586_), + .D(_11587_), + .X(_11588_) + ); + sky130_fd_sc_hd__and4_2 _22216_ ( + .A(_11570_), + .B(_11573_), + .C(_11576_), + .D(_11588_), + .X(_11589_) + ); + sky130_fd_sc_hd__and2b_2 _22217_ ( + .A_N(\soc.core.litespiphy_state[1] ), + .B(\soc.core.litespiphy_state[0] ), + .X(_11590_) + ); + sky130_fd_sc_hd__and3_2 _22218_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .B(_11589_), + .C(_11590_), + .X(_03060_) + ); + sky130_fd_sc_hd__and3_2 _22219_ ( + .A(_05126_), + .B(_11117_), + .C(_11114_), + .X(_11591_) + ); + sky130_fd_sc_hd__nor4_2 _22220_ ( + .A(_11116_), + .B(_11118_), + .C(_11119_), + .D(_11591_), + .Y(_03061_) + ); + sky130_fd_sc_hd__and3b_2 _22221_ ( + .A_N(_11591_), + .B(_05127_), + .C(_03059_), + .X(_03062_) + ); + sky130_fd_sc_hd__nand2_2 _22222_ ( + .A(_11182_), + .B(_05115_), + .Y(_03064_) + ); + sky130_fd_sc_hd__o21bai_2 _22223_ ( + .A1(_11556_), + .A2(_11557_), + .B1_N(_03066_), + .Y(_03067_) + ); + sky130_fd_sc_hd__nor2_2 _22224_ ( + .A(_03067_), + .B(_03048_), + .Y(_11592_) + ); + sky130_fd_sc_hd__inv_4 _22225_ ( + .A(_11592_), + .Y(_03068_) + ); + sky130_fd_sc_hd__nand2_2 _22226_ ( + .A(_11592_), + .B(_11563_), + .Y(_03069_) + ); + sky130_fd_sc_hd__xor2_2 _22227_ ( + .A(_03057_), + .B(_11565_), + .X(_11593_) + ); + sky130_fd_sc_hd__nor2_2 _22228_ ( + .A(_03055_), + .B(_11593_), + .Y(_11594_) + ); + sky130_fd_sc_hd__o21bai_2 _22229_ ( + .A1(_11564_), + .A2(_11565_), + .B1_N(_11593_), + .Y(_11595_) + ); + sky130_fd_sc_hd__and3_2 _22230_ ( + .A(_11594_), + .B(_11563_), + .C(_11592_), + .X(_03070_) + ); + sky130_fd_sc_hd__and4_2 _22231_ ( + .A(_11114_), + .B(_11117_), + .C(_05126_), + .D(\soc.core.mgmtsoc_litespisdrphycore_sr_out[0] ), + .X(_03072_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22232_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_04996_), + .B2(_11368_), + .X(_03075_) + ); + sky130_fd_sc_hd__nand2b_2 _22233_ ( + .A_N(_03078_), + .B(_11558_), + .Y(_03079_) + ); + sky130_fd_sc_hd__nand3b_2 _22234_ ( + .A_N(_03078_), + .B(_11558_), + .C(_11563_), + .Y(_03080_) + ); + sky130_fd_sc_hd__and4b_2 _22235_ ( + .A_N(_03078_), + .B(_11558_), + .C(_11594_), + .D(_11563_), + .X(_03081_) + ); + sky130_fd_sc_hd__a22o_2 _22236_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[0] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[1] ), + .X(_03083_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22237_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_04999_), + .B2(_11368_), + .X(_03086_) + ); + sky130_fd_sc_hd__nand2b_2 _22238_ ( + .A_N(_03089_), + .B(_11558_), + .Y(_03090_) + ); + sky130_fd_sc_hd__nand3b_2 _22239_ ( + .A_N(_03089_), + .B(_11558_), + .C(_11563_), + .Y(_03091_) + ); + sky130_fd_sc_hd__and4b_2 _22240_ ( + .A_N(_03089_), + .B(_11558_), + .C(_11594_), + .D(_11563_), + .X(_03092_) + ); + sky130_fd_sc_hd__a22o_2 _22241_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[1] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[2] ), + .X(_03094_) + ); + sky130_fd_sc_hd__nand2b_2 _22242_ ( + .A_N(_03038_), + .B(_11556_), + .Y(_03096_) + ); + sky130_fd_sc_hd__nand2_2 _22243_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[4] ), + .Y(_03098_) + ); + sky130_fd_sc_hd__nand2b_2 _22244_ ( + .A_N(_03102_), + .B(_11563_), + .Y(_03103_) + ); + sky130_fd_sc_hd__nor2_2 _22245_ ( + .A(_11595_), + .B(_03103_), + .Y(_03104_) + ); + sky130_fd_sc_hd__and4_2 _22246_ ( + .A(_11114_), + .B(_11117_), + .C(_05126_), + .D(\soc.core.mgmtsoc_litespisdrphycore_sr_out[3] ), + .X(_11596_) + ); + sky130_fd_sc_hd__a221o_2 _22247_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[0] ), + .A2(_11116_), + .B1(_11118_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[2] ), + .C1(_11596_), + .X(_03106_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22248_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_05006_), + .B2(_11368_), + .X(_03109_) + ); + sky130_fd_sc_hd__nand2b_2 _22249_ ( + .A_N(_03113_), + .B(_11563_), + .Y(_03114_) + ); + sky130_fd_sc_hd__nor2_2 _22250_ ( + .A(_11595_), + .B(_03114_), + .Y(_03115_) + ); + sky130_fd_sc_hd__and4_2 _22251_ ( + .A(_11114_), + .B(_11117_), + .C(_05126_), + .D(\soc.core.mgmtsoc_litespisdrphycore_sr_out[4] ), + .X(_11597_) + ); + sky130_fd_sc_hd__a221o_2 _22252_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[1] ), + .A2(_11116_), + .B1(_11118_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[3] ), + .C1(_11597_), + .X(_03117_) + ); + sky130_fd_sc_hd__nand2_2 _22253_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[6] ), + .Y(_03120_) + ); + sky130_fd_sc_hd__nand2b_2 _22254_ ( + .A_N(_03124_), + .B(_11563_), + .Y(_03125_) + ); + sky130_fd_sc_hd__nor2_2 _22255_ ( + .A(_11595_), + .B(_03125_), + .Y(_03126_) + ); + sky130_fd_sc_hd__and4_2 _22256_ ( + .A(_11114_), + .B(_11117_), + .C(_05126_), + .D(\soc.core.mgmtsoc_litespisdrphycore_sr_out[5] ), + .X(_11598_) + ); + sky130_fd_sc_hd__a221o_2 _22257_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[2] ), + .A2(_11116_), + .B1(_11118_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[4] ), + .C1(_11598_), + .X(_03128_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22258_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_05012_), + .B2(_11368_), + .X(_03131_) + ); + sky130_fd_sc_hd__nand2b_2 _22259_ ( + .A_N(_03135_), + .B(_11563_), + .Y(_03136_) + ); + sky130_fd_sc_hd__nor2_2 _22260_ ( + .A(_11595_), + .B(_03136_), + .Y(_03137_) + ); + sky130_fd_sc_hd__and4_2 _22261_ ( + .A(_11114_), + .B(_11117_), + .C(_05126_), + .D(\soc.core.mgmtsoc_litespisdrphycore_sr_out[6] ), + .X(_11599_) + ); + sky130_fd_sc_hd__a221o_2 _22262_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[3] ), + .A2(_11116_), + .B1(_11118_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[5] ), + .C1(_11599_), + .X(_03139_) + ); + sky130_fd_sc_hd__nand3b_2 _22263_ ( + .A_N(_03038_), + .B(_03047_), + .C(_11556_), + .Y(_03141_) + ); + sky130_fd_sc_hd__nand2_2 _22264_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[8] ), + .Y(_03143_) + ); + sky130_fd_sc_hd__nor2_2 _22265_ ( + .A(_03148_), + .B(_11595_), + .Y(_03149_) + ); + sky130_fd_sc_hd__a22o_2 _22266_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[6] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[0] ), + .X(_11600_) + ); + sky130_fd_sc_hd__a221o_2 _22267_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[4] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[7] ), + .C1(_11600_), + .X(_03151_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22268_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_05018_), + .B2(_11368_), + .X(_03154_) + ); + sky130_fd_sc_hd__nor2_2 _22269_ ( + .A(_03159_), + .B(_11595_), + .Y(_03160_) + ); + sky130_fd_sc_hd__a22o_2 _22270_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[7] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[8] ), + .X(_11601_) + ); + sky130_fd_sc_hd__a221o_2 _22271_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[5] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[1] ), + .C1(_11601_), + .X(_03162_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22272_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_04942_), + .B2(_11368_), + .X(_03165_) + ); + sky130_fd_sc_hd__nor2_2 _22273_ ( + .A(_03170_), + .B(_11595_), + .Y(_03171_) + ); + sky130_fd_sc_hd__a22o_2 _22274_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[8] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[2] ), + .X(_11602_) + ); + sky130_fd_sc_hd__a221o_2 _22275_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[6] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[9] ), + .C1(_11602_), + .X(_03173_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22276_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_04957_), + .B2(_11368_), + .X(_03176_) + ); + sky130_fd_sc_hd__nor2_2 _22277_ ( + .A(_03181_), + .B(_11595_), + .Y(_03182_) + ); + sky130_fd_sc_hd__a22o_2 _22278_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[9] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[10] ), + .X(_11603_) + ); + sky130_fd_sc_hd__a221o_2 _22279_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[7] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[3] ), + .C1(_11603_), + .X(_03184_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22280_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_04984_), + .B2(_11368_), + .X(_03187_) + ); + sky130_fd_sc_hd__nor2_2 _22281_ ( + .A(_03192_), + .B(_11595_), + .Y(_03193_) + ); + sky130_fd_sc_hd__a22o_2 _22282_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[10] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[11] ), + .X(_11604_) + ); + sky130_fd_sc_hd__a221o_2 _22283_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[8] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[4] ), + .C1(_11604_), + .X(_03195_) + ); + sky130_fd_sc_hd__nand2_2 _22284_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[13] ), + .Y(_03198_) + ); + sky130_fd_sc_hd__nor2_2 _22285_ ( + .A(_03203_), + .B(_11595_), + .Y(_03204_) + ); + sky130_fd_sc_hd__a22o_2 _22286_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[11] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[12] ), + .X(_11605_) + ); + sky130_fd_sc_hd__a221o_2 _22287_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[9] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[5] ), + .C1(_11605_), + .X(_03206_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22288_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_04990_), + .B2(_11368_), + .X(_03209_) + ); + sky130_fd_sc_hd__nor2_2 _22289_ ( + .A(_03214_), + .B(_11595_), + .Y(_03215_) + ); + sky130_fd_sc_hd__a22o_2 _22290_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[12] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[13] ), + .X(_11606_) + ); + sky130_fd_sc_hd__a221o_2 _22291_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[10] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[6] ), + .C1(_11606_), + .X(_03217_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22292_ ( + .A1_N(\soc.core.litespi_state[2] ), + .A2_N(_11366_), + .B1(_04993_), + .B2(_11368_), + .X(_03220_) + ); + sky130_fd_sc_hd__nor2_2 _22293_ ( + .A(_03225_), + .B(_11595_), + .Y(_03226_) + ); + sky130_fd_sc_hd__a22o_2 _22294_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[13] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[7] ), + .X(_11607_) + ); + sky130_fd_sc_hd__a221o_2 _22295_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[11] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[14] ), + .C1(_11607_), + .X(_03228_) + ); + sky130_fd_sc_hd__nand4b_2 _22296_ ( + .A_N(_03038_), + .B(_03047_), + .C(_03051_), + .D(_11556_), + .Y(_03230_) + ); + sky130_fd_sc_hd__nand2_2 _22297_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[16] ), + .Y(_03232_) + ); + sky130_fd_sc_hd__nor2_2 _22298_ ( + .A(_03238_), + .B(_11593_), + .Y(_03239_) + ); + sky130_fd_sc_hd__a22o_2 _22299_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[14] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[8] ), + .X(_11608_) + ); + sky130_fd_sc_hd__a221o_2 _22300_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[12] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[15] ), + .C1(_11608_), + .X(_03241_) + ); + sky130_fd_sc_hd__nand2_2 _22301_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[17] ), + .Y(_03244_) + ); + sky130_fd_sc_hd__nor2_2 _22302_ ( + .A(_03250_), + .B(_11593_), + .Y(_03251_) + ); + sky130_fd_sc_hd__a22o_2 _22303_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[15] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[16] ), + .X(_11609_) + ); + sky130_fd_sc_hd__a221o_2 _22304_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[13] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[9] ), + .C1(_11609_), + .X(_03253_) + ); + sky130_fd_sc_hd__nand2_2 _22305_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[18] ), + .Y(_03256_) + ); + sky130_fd_sc_hd__nor2_2 _22306_ ( + .A(_03262_), + .B(_11593_), + .Y(_03263_) + ); + sky130_fd_sc_hd__a22o_2 _22307_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[16] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[10] ), + .X(_11610_) + ); + sky130_fd_sc_hd__a221o_2 _22308_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[14] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[17] ), + .C1(_11610_), + .X(_03265_) + ); + sky130_fd_sc_hd__nand2_2 _22309_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[19] ), + .Y(_03268_) + ); + sky130_fd_sc_hd__nor2_2 _22310_ ( + .A(_03274_), + .B(_11593_), + .Y(_03275_) + ); + sky130_fd_sc_hd__a22o_2 _22311_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[17] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[11] ), + .X(_11611_) + ); + sky130_fd_sc_hd__a221o_2 _22312_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[15] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[18] ), + .C1(_11611_), + .X(_03277_) + ); + sky130_fd_sc_hd__nand2_2 _22313_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[20] ), + .Y(_03280_) + ); + sky130_fd_sc_hd__nor2_2 _22314_ ( + .A(_03286_), + .B(_11593_), + .Y(_03287_) + ); + sky130_fd_sc_hd__a22o_2 _22315_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[18] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[12] ), + .X(_11612_) + ); + sky130_fd_sc_hd__a221o_2 _22316_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[16] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[19] ), + .C1(_11612_), + .X(_03289_) + ); + sky130_fd_sc_hd__nand2_2 _22317_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[21] ), + .Y(_03292_) + ); + sky130_fd_sc_hd__nor2_2 _22318_ ( + .A(_03298_), + .B(_11593_), + .Y(_03299_) + ); + sky130_fd_sc_hd__a22o_2 _22319_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[19] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[13] ), + .X(_11613_) + ); + sky130_fd_sc_hd__a221o_2 _22320_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[17] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[20] ), + .C1(_11613_), + .X(_03301_) + ); + sky130_fd_sc_hd__nand2_2 _22321_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[22] ), + .Y(_03304_) + ); + sky130_fd_sc_hd__nor2_2 _22322_ ( + .A(_03310_), + .B(_11593_), + .Y(_03311_) + ); + sky130_fd_sc_hd__a22o_2 _22323_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[20] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[21] ), + .X(_11614_) + ); + sky130_fd_sc_hd__a221o_2 _22324_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[18] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[14] ), + .C1(_11614_), + .X(_03313_) + ); + sky130_fd_sc_hd__nand2_2 _22325_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[23] ), + .Y(_03316_) + ); + sky130_fd_sc_hd__nor2_2 _22326_ ( + .A(_03322_), + .B(_11593_), + .Y(_03323_) + ); + sky130_fd_sc_hd__a22o_2 _22327_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[21] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[22] ), + .X(_11615_) + ); + sky130_fd_sc_hd__a221o_2 _22328_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[19] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[15] ), + .C1(_11615_), + .X(_03325_) + ); + sky130_fd_sc_hd__nand2_2 _22329_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[24] ), + .Y(_03328_) + ); + sky130_fd_sc_hd__nor2_2 _22330_ ( + .A(_03334_), + .B(_11593_), + .Y(_03335_) + ); + sky130_fd_sc_hd__a22o_2 _22331_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[22] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[23] ), + .X(_11616_) + ); + sky130_fd_sc_hd__a221o_2 _22332_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[20] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[16] ), + .C1(_11616_), + .X(_03337_) + ); + sky130_fd_sc_hd__nand2_2 _22333_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[25] ), + .Y(_03340_) + ); + sky130_fd_sc_hd__nor2_2 _22334_ ( + .A(_03346_), + .B(_11593_), + .Y(_03347_) + ); + sky130_fd_sc_hd__a22o_2 _22335_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[23] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[24] ), + .X(_11617_) + ); + sky130_fd_sc_hd__a221o_2 _22336_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[21] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[17] ), + .C1(_11617_), + .X(_03349_) + ); + sky130_fd_sc_hd__nand2_2 _22337_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[26] ), + .Y(_03352_) + ); + sky130_fd_sc_hd__nor2_2 _22338_ ( + .A(_03358_), + .B(_11593_), + .Y(_03359_) + ); + sky130_fd_sc_hd__a22o_2 _22339_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[24] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[25] ), + .X(_11618_) + ); + sky130_fd_sc_hd__a221o_2 _22340_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[22] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[18] ), + .C1(_11618_), + .X(_03361_) + ); + sky130_fd_sc_hd__nand2_2 _22341_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[27] ), + .Y(_03364_) + ); + sky130_fd_sc_hd__nor2_2 _22342_ ( + .A(_03370_), + .B(_11593_), + .Y(_03371_) + ); + sky130_fd_sc_hd__a22o_2 _22343_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[25] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[26] ), + .X(_11619_) + ); + sky130_fd_sc_hd__a221o_2 _22344_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[23] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[19] ), + .C1(_11619_), + .X(_03373_) + ); + sky130_fd_sc_hd__nand2_2 _22345_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[28] ), + .Y(_03376_) + ); + sky130_fd_sc_hd__nor2_2 _22346_ ( + .A(_03382_), + .B(_11593_), + .Y(_03383_) + ); + sky130_fd_sc_hd__a22o_2 _22347_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[26] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[27] ), + .X(_11620_) + ); + sky130_fd_sc_hd__a221o_2 _22348_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[24] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[20] ), + .C1(_11620_), + .X(_03385_) + ); + sky130_fd_sc_hd__nand2_2 _22349_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[29] ), + .Y(_03388_) + ); + sky130_fd_sc_hd__nor2_2 _22350_ ( + .A(_03394_), + .B(_11593_), + .Y(_03395_) + ); + sky130_fd_sc_hd__a22o_2 _22351_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[27] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[28] ), + .X(_11621_) + ); + sky130_fd_sc_hd__a221o_2 _22352_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[25] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[21] ), + .C1(_11621_), + .X(_03397_) + ); + sky130_fd_sc_hd__nand2_2 _22353_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[30] ), + .Y(_03400_) + ); + sky130_fd_sc_hd__nor2_2 _22354_ ( + .A(_03406_), + .B(_11593_), + .Y(_03407_) + ); + sky130_fd_sc_hd__a22o_2 _22355_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[28] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[29] ), + .X(_11622_) + ); + sky130_fd_sc_hd__a221o_2 _22356_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[26] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[22] ), + .C1(_11622_), + .X(_03409_) + ); + sky130_fd_sc_hd__nand2_2 _22357_ ( + .A(_11367_), + .B(\mgmt_buffers.mprj_adr_o_core[31] ), + .Y(_03412_) + ); + sky130_fd_sc_hd__nor2_2 _22358_ ( + .A(_03418_), + .B(_11593_), + .Y(_03419_) + ); + sky130_fd_sc_hd__a22o_2 _22359_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[29] ), + .A2(_11118_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[23] ), + .X(_11623_) + ); + sky130_fd_sc_hd__a221o_2 _22360_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[27] ), + .A2(_11116_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[30] ), + .C1(_11623_), + .X(_03421_) + ); + sky130_fd_sc_hd__and2_4 _22361_ ( + .A(\soc.core.state ), + .B(\soc.core.slave_sel_r[6] ), + .X(_11624_) + ); + sky130_fd_sc_hd__nand2_2 _22362_ ( + .A(\soc.core.state ), + .B(\soc.core.slave_sel_r[6] ), + .Y(_11625_) + ); + sky130_fd_sc_hd__nor4_2 _22363_ ( + .A(\soc.core.interface4_bank_bus_dat_r[0] ), + .B(\soc.core.interface5_bank_bus_dat_r[0] ), + .C(\soc.core.interface6_bank_bus_dat_r[0] ), + .D(\soc.core.interface7_bank_bus_dat_r[0] ), + .Y(_11626_) + ); + sky130_fd_sc_hd__nor4_2 _22364_ ( + .A(\soc.core.interface1_bank_bus_dat_r[0] ), + .B(\soc.core.interface0_bank_bus_dat_r[0] ), + .C(\soc.core.interface2_bank_bus_dat_r[0] ), + .D(\soc.core.interface3_bank_bus_dat_r[0] ), + .Y(_11627_) + ); + sky130_fd_sc_hd__nor4_2 _22365_ ( + .A(\soc.core.interface16_bank_bus_dat_r[0] ), + .B(\soc.core.interface17_bank_bus_dat_r[0] ), + .C(\soc.core.interface18_bank_bus_dat_r[0] ), + .D(\soc.core.interface19_bank_bus_dat_r[0] ), + .Y(_11628_) + ); + sky130_fd_sc_hd__nor4_2 _22366_ ( + .A(\soc.core.interface12_bank_bus_dat_r[0] ), + .B(\soc.core.interface13_bank_bus_dat_r[0] ), + .C(\soc.core.interface14_bank_bus_dat_r[0] ), + .D(\soc.core.interface15_bank_bus_dat_r[0] ), + .Y(_11629_) + ); + sky130_fd_sc_hd__nor4_2 _22367_ ( + .A(\soc.core.interface8_bank_bus_dat_r[0] ), + .B(\soc.core.interface9_bank_bus_dat_r[0] ), + .C(\soc.core.interface10_bank_bus_dat_r[0] ), + .D(\soc.core.interface11_bank_bus_dat_r[0] ), + .Y(_11630_) + ); + sky130_fd_sc_hd__and3_2 _22368_ ( + .A(_11628_), + .B(_11629_), + .C(_11630_), + .X(_11631_) + ); + sky130_fd_sc_hd__and3_2 _22369_ ( + .A(_11626_), + .B(_11627_), + .C(_11631_), + .X(_11632_) + ); + sky130_fd_sc_hd__and2b_2 _22370_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[0] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11633_) + ); + sky130_fd_sc_hd__a22o_2 _22371_ ( + .A1(_03423_), + .A2(\soc.core.slave_sel_r[1] ), + .B1(\soc.core.hk_dat_i[0] ), + .B2(\soc.core.slave_sel_r[5] ), + .X(_11634_) + ); + sky130_fd_sc_hd__a211o_2 _22372_ ( + .A1(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[0] ), + .A2(\soc.core.slave_sel_r[0] ), + .B1(_11633_), + .C1(_11634_), + .X(_11635_) + ); + sky130_fd_sc_hd__and4b_2 _22373_ ( + .A_N(\soc.core.litespi_grant ), + .B(\soc.core.slave_sel_r[3] ), + .C(_05112_), + .D(\soc.core.litespi_state[3] ), + .X(_11636_) + ); + sky130_fd_sc_hd__a2bb2o_2 _22374_ ( + .A1_N(_11625_), + .A2_N(_11632_), + .B1(_11636_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[24] ), + .X(_11637_) + ); + sky130_fd_sc_hd__a211o_2 _22375_ ( + .A1(\soc.core.dff2_bus_dat_r[0] ), + .A2(\soc.core.slave_sel_r[2] ), + .B1(_11635_), + .C1(_11637_), + .X(_11638_) + ); + sky130_fd_sc_hd__a21o_2 _22376_ ( + .A1(_11174_), + .A2(_11177_), + .B1(_11638_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[0] ) + ); + sky130_fd_sc_hd__and2b_2 _22377_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[1] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11639_) + ); + sky130_fd_sc_hd__a22o_2 _22378_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[1] ), + .B1(\soc.core.hk_dat_i[1] ), + .B2(\soc.core.slave_sel_r[5] ), + .X(_11640_) + ); + sky130_fd_sc_hd__a211o_2 _22379_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03424_), + .B1(_11639_), + .C1(_11640_), + .X(_11641_) + ); + sky130_fd_sc_hd__nor4_2 _22380_ ( + .A(\soc.core.interface4_bank_bus_dat_r[1] ), + .B(\soc.core.interface6_bank_bus_dat_r[1] ), + .C(\soc.core.interface9_bank_bus_dat_r[1] ), + .D(\soc.core.interface10_bank_bus_dat_r[1] ), + .Y(_11642_) + ); + sky130_fd_sc_hd__nor4_2 _22381_ ( + .A(\soc.core.interface3_bank_bus_dat_r[1] ), + .B(\soc.core.interface0_bank_bus_dat_r[1] ), + .C(\soc.core.interface11_bank_bus_dat_r[1] ), + .D(\soc.core.interface19_bank_bus_dat_r[1] ), + .Y(_11643_) + ); + sky130_fd_sc_hd__a21oi_2 _22382_ ( + .A1(_11642_), + .A2(_11643_), + .B1(_11625_), + .Y(_11644_) + ); + sky130_fd_sc_hd__a211o_2 _22383_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[1] ), + .B1(_11641_), + .C1(_11644_), + .X(_11645_) + ); + sky130_fd_sc_hd__a211o_2 _22384_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[25] ), + .A2(_11636_), + .B1(_11645_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[1] ) + ); + sky130_fd_sc_hd__and2b_2 _22385_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[2] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11646_) + ); + sky130_fd_sc_hd__a221o_2 _22386_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[2] ), + .B1(_03425_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11646_), + .X(_11647_) + ); + sky130_fd_sc_hd__a21o_2 _22387_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[2] ), + .B1(_11647_), + .X(_11648_) + ); + sky130_fd_sc_hd__nor4_2 _22388_ ( + .A(\soc.core.interface4_bank_bus_dat_r[2] ), + .B(\soc.core.interface6_bank_bus_dat_r[2] ), + .C(\soc.core.interface9_bank_bus_dat_r[2] ), + .D(\soc.core.interface10_bank_bus_dat_r[2] ), + .Y(_11649_) + ); + sky130_fd_sc_hd__nor4_2 _22389_ ( + .A(\soc.core.interface3_bank_bus_dat_r[2] ), + .B(\soc.core.interface0_bank_bus_dat_r[2] ), + .C(\soc.core.interface11_bank_bus_dat_r[2] ), + .D(\soc.core.interface19_bank_bus_dat_r[2] ), + .Y(_11650_) + ); + sky130_fd_sc_hd__a21oi_2 _22390_ ( + .A1(_11649_), + .A2(_11650_), + .B1(_11625_), + .Y(_11651_) + ); + sky130_fd_sc_hd__a211o_2 _22391_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[2] ), + .B1(_11651_), + .C1(_11648_), + .X(_11652_) + ); + sky130_fd_sc_hd__a211o_2 _22392_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[26] ), + .A2(_11636_), + .B1(_11179_), + .C1(_11652_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[2] ) + ); + sky130_fd_sc_hd__and2b_2 _22393_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[3] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11653_) + ); + sky130_fd_sc_hd__a221o_2 _22394_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03426_), + .B1(\soc.core.hk_dat_i[3] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11653_), + .X(_11654_) + ); + sky130_fd_sc_hd__a221o_2 _22395_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[3] ), + .B1(\soc.core.dff2_bus_dat_r[3] ), + .B2(\soc.core.slave_sel_r[2] ), + .C1(_11654_), + .X(_11655_) + ); + sky130_fd_sc_hd__nor3_2 _22396_ ( + .A(\soc.core.interface9_bank_bus_dat_r[3] ), + .B(\soc.core.interface10_bank_bus_dat_r[3] ), + .C(\soc.core.interface11_bank_bus_dat_r[3] ), + .Y(_11656_) + ); + sky130_fd_sc_hd__nor4_2 _22397_ ( + .A(\soc.core.interface3_bank_bus_dat_r[3] ), + .B(\soc.core.interface0_bank_bus_dat_r[3] ), + .C(\soc.core.interface4_bank_bus_dat_r[3] ), + .D(\soc.core.interface6_bank_bus_dat_r[3] ), + .Y(_11657_) + ); + sky130_fd_sc_hd__a21oi_2 _22398_ ( + .A1(_11656_), + .A2(_11657_), + .B1(_11625_), + .Y(_11658_) + ); + sky130_fd_sc_hd__a2111o_2 _22399_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[27] ), + .A2(_11636_), + .B1(_11658_), + .C1(_11655_), + .D1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[3] ) + ); + sky130_fd_sc_hd__and2b_2 _22400_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[4] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11659_) + ); + sky130_fd_sc_hd__a221o_2 _22401_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[4] ), + .B1(_03427_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11659_), + .X(_11660_) + ); + sky130_fd_sc_hd__a21o_2 _22402_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[4] ), + .B1(_11660_), + .X(_11661_) + ); + sky130_fd_sc_hd__nor3_2 _22403_ ( + .A(\soc.core.interface9_bank_bus_dat_r[4] ), + .B(\soc.core.interface10_bank_bus_dat_r[4] ), + .C(\soc.core.interface11_bank_bus_dat_r[4] ), + .Y(_11662_) + ); + sky130_fd_sc_hd__nor4_2 _22404_ ( + .A(\soc.core.interface3_bank_bus_dat_r[4] ), + .B(\soc.core.interface0_bank_bus_dat_r[4] ), + .C(\soc.core.interface4_bank_bus_dat_r[4] ), + .D(\soc.core.interface6_bank_bus_dat_r[4] ), + .Y(_11663_) + ); + sky130_fd_sc_hd__a21oi_2 _22405_ ( + .A1(_11662_), + .A2(_11663_), + .B1(_11625_), + .Y(_11664_) + ); + sky130_fd_sc_hd__a211o_2 _22406_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[4] ), + .B1(_11664_), + .C1(_11661_), + .X(_11665_) + ); + sky130_fd_sc_hd__a211o_2 _22407_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[28] ), + .A2(_11636_), + .B1(_11179_), + .C1(_11665_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[4] ) + ); + sky130_fd_sc_hd__and2b_2 _22408_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[5] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11666_) + ); + sky130_fd_sc_hd__a221o_2 _22409_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[5] ), + .B1(_03428_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11666_), + .X(_11667_) + ); + sky130_fd_sc_hd__a221o_2 _22410_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[5] ), + .B1(\soc.core.hk_dat_i[5] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11667_), + .X(_11668_) + ); + sky130_fd_sc_hd__nor3_2 _22411_ ( + .A(\soc.core.interface9_bank_bus_dat_r[5] ), + .B(\soc.core.interface10_bank_bus_dat_r[5] ), + .C(\soc.core.interface11_bank_bus_dat_r[5] ), + .Y(_11669_) + ); + sky130_fd_sc_hd__nor4_2 _22412_ ( + .A(\soc.core.interface3_bank_bus_dat_r[5] ), + .B(\soc.core.interface0_bank_bus_dat_r[5] ), + .C(\soc.core.interface4_bank_bus_dat_r[5] ), + .D(\soc.core.interface6_bank_bus_dat_r[5] ), + .Y(_11670_) + ); + sky130_fd_sc_hd__a21oi_2 _22413_ ( + .A1(_11669_), + .A2(_11670_), + .B1(_11625_), + .Y(_11671_) + ); + sky130_fd_sc_hd__a2111o_2 _22414_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[29] ), + .A2(_11636_), + .B1(_11671_), + .C1(_11668_), + .D1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[5] ) + ); + sky130_fd_sc_hd__and2b_2 _22415_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[6] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11672_) + ); + sky130_fd_sc_hd__a221o_2 _22416_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[6] ), + .B1(_03429_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11672_), + .X(_11673_) + ); + sky130_fd_sc_hd__a21o_2 _22417_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[6] ), + .B1(_11673_), + .X(_11674_) + ); + sky130_fd_sc_hd__nor3_2 _22418_ ( + .A(\soc.core.interface9_bank_bus_dat_r[6] ), + .B(\soc.core.interface10_bank_bus_dat_r[6] ), + .C(\soc.core.interface11_bank_bus_dat_r[6] ), + .Y(_11675_) + ); + sky130_fd_sc_hd__nor4_2 _22419_ ( + .A(\soc.core.interface3_bank_bus_dat_r[6] ), + .B(\soc.core.interface0_bank_bus_dat_r[6] ), + .C(\soc.core.interface4_bank_bus_dat_r[6] ), + .D(\soc.core.interface6_bank_bus_dat_r[6] ), + .Y(_11676_) + ); + sky130_fd_sc_hd__a21oi_2 _22420_ ( + .A1(_11675_), + .A2(_11676_), + .B1(_11625_), + .Y(_11677_) + ); + sky130_fd_sc_hd__a211o_2 _22421_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[6] ), + .B1(_11677_), + .C1(_11674_), + .X(_11678_) + ); + sky130_fd_sc_hd__a211o_2 _22422_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[30] ), + .A2(_11636_), + .B1(_11179_), + .C1(_11678_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[6] ) + ); + sky130_fd_sc_hd__a22o_2 _22423_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[7] ), + .B1(\soc.core.dff2_bus_dat_r[7] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11679_) + ); + sky130_fd_sc_hd__and2b_2 _22424_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[7] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11680_) + ); + sky130_fd_sc_hd__a221o_2 _22425_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03430_), + .B1(\soc.core.hk_dat_i[7] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11680_), + .X(_11681_) + ); + sky130_fd_sc_hd__nor2_2 _22426_ ( + .A(_11679_), + .B(_11681_), + .Y(_11682_) + ); + sky130_fd_sc_hd__nor4_2 _22427_ ( + .A(\soc.core.interface3_bank_bus_dat_r[7] ), + .B(\soc.core.interface0_bank_bus_dat_r[7] ), + .C(\soc.core.interface4_bank_bus_dat_r[7] ), + .D(\soc.core.interface6_bank_bus_dat_r[7] ), + .Y(_11683_) + ); + sky130_fd_sc_hd__nor4b_2 _22428_ ( + .A(\soc.core.interface9_bank_bus_dat_r[7] ), + .B(\soc.core.interface10_bank_bus_dat_r[7] ), + .C(\soc.core.interface11_bank_bus_dat_r[7] ), + .D_N(_11683_), + .Y(_11684_) + ); + sky130_fd_sc_hd__o21ai_2 _22429_ ( + .A1(_11625_), + .A2(_11684_), + .B1(_11682_), + .Y(_11685_) + ); + sky130_fd_sc_hd__a211o_2 _22430_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[31] ), + .A2(_11636_), + .B1(_11685_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[7] ) + ); + sky130_fd_sc_hd__nor4_2 _22431_ ( + .A(\soc.core.interface3_bank_bus_dat_r[8] ), + .B(\soc.core.interface0_bank_bus_dat_r[8] ), + .C(\soc.core.interface6_bank_bus_dat_r[8] ), + .D(\soc.core.interface10_bank_bus_dat_r[8] ), + .Y(_11686_) + ); + sky130_fd_sc_hd__nand2b_2 _22432_ ( + .A_N(\soc.core.interface9_bank_bus_dat_r[8] ), + .B(_11686_), + .Y(_11687_) + ); + sky130_fd_sc_hd__a22o_2 _22433_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[8] ), + .B1(_03431_), + .B2(\soc.core.slave_sel_r[1] ), + .X(_11688_) + ); + sky130_fd_sc_hd__and2b_2 _22434_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[8] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11689_) + ); + sky130_fd_sc_hd__a221o_2 _22435_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[8] ), + .B1(\soc.core.hk_dat_i[8] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11689_), + .X(_11690_) + ); + sky130_fd_sc_hd__a211o_2 _22436_ ( + .A1(_11624_), + .A2(_11687_), + .B1(_11688_), + .C1(_11690_), + .X(_11691_) + ); + sky130_fd_sc_hd__a211o_2 _22437_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[16] ), + .A2(_11636_), + .B1(_11691_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[8] ) + ); + sky130_fd_sc_hd__nor2_2 _22438_ ( + .A(\soc.core.interface3_bank_bus_dat_r[9] ), + .B(\soc.core.interface0_bank_bus_dat_r[9] ), + .Y(_11692_) + ); + sky130_fd_sc_hd__nor3_2 _22439_ ( + .A(\soc.core.interface6_bank_bus_dat_r[9] ), + .B(\soc.core.interface9_bank_bus_dat_r[9] ), + .C(\soc.core.interface10_bank_bus_dat_r[9] ), + .Y(_11693_) + ); + sky130_fd_sc_hd__a21oi_2 _22440_ ( + .A1(_11692_), + .A2(_11693_), + .B1(_11625_), + .Y(_11694_) + ); + sky130_fd_sc_hd__and2b_2 _22441_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[9] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11695_) + ); + sky130_fd_sc_hd__a221o_2 _22442_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[9] ), + .B1(_03432_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11695_), + .X(_11696_) + ); + sky130_fd_sc_hd__a221o_2 _22443_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[9] ), + .B1(\soc.core.hk_dat_i[9] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11694_), + .X(_11697_) + ); + sky130_fd_sc_hd__a211o_2 _22444_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[17] ), + .A2(_11636_), + .B1(_11696_), + .C1(_11697_), + .X(_11698_) + ); + sky130_fd_sc_hd__a21o_2 _22445_ ( + .A1(_11174_), + .A2(_11177_), + .B1(_11698_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[9] ) + ); + sky130_fd_sc_hd__a22o_2 _22446_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03433_), + .B1(\soc.core.dff2_bus_dat_r[10] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11699_) + ); + sky130_fd_sc_hd__and2b_2 _22447_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[10] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11700_) + ); + sky130_fd_sc_hd__a21o_2 _22448_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[10] ), + .B1(_11700_), + .X(_11701_) + ); + sky130_fd_sc_hd__nor2_2 _22449_ ( + .A(\soc.core.interface3_bank_bus_dat_r[10] ), + .B(\soc.core.interface0_bank_bus_dat_r[10] ), + .Y(_11702_) + ); + sky130_fd_sc_hd__nor3_2 _22450_ ( + .A(\soc.core.interface6_bank_bus_dat_r[10] ), + .B(\soc.core.interface9_bank_bus_dat_r[10] ), + .C(\soc.core.interface10_bank_bus_dat_r[10] ), + .Y(_11703_) + ); + sky130_fd_sc_hd__a21oi_2 _22451_ ( + .A1(_11702_), + .A2(_11703_), + .B1(_11625_), + .Y(_11704_) + ); + sky130_fd_sc_hd__a2111o_2 _22452_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[10] ), + .B1(_11699_), + .C1(_11701_), + .D1(_11704_), + .X(_11705_) + ); + sky130_fd_sc_hd__a211o_2 _22453_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[18] ), + .A2(_11636_), + .B1(_11705_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[10] ) + ); + sky130_fd_sc_hd__nor2_2 _22454_ ( + .A(\soc.core.interface3_bank_bus_dat_r[11] ), + .B(\soc.core.interface0_bank_bus_dat_r[11] ), + .Y(_11706_) + ); + sky130_fd_sc_hd__nor3_2 _22455_ ( + .A(\soc.core.interface6_bank_bus_dat_r[11] ), + .B(\soc.core.interface9_bank_bus_dat_r[11] ), + .C(\soc.core.interface10_bank_bus_dat_r[11] ), + .Y(_11707_) + ); + sky130_fd_sc_hd__a21oi_2 _22456_ ( + .A1(_11706_), + .A2(_11707_), + .B1(_11625_), + .Y(_11708_) + ); + sky130_fd_sc_hd__and2b_2 _22457_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[11] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11709_) + ); + sky130_fd_sc_hd__a221o_2 _22458_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[11] ), + .B1(\soc.core.dff2_bus_dat_r[11] ), + .B2(\soc.core.slave_sel_r[2] ), + .C1(_11709_), + .X(_11710_) + ); + sky130_fd_sc_hd__a221o_2 _22459_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03434_), + .B1(\soc.core.hk_dat_i[11] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11708_), + .X(_11711_) + ); + sky130_fd_sc_hd__a211o_2 _22460_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[19] ), + .A2(_11636_), + .B1(_11710_), + .C1(_11711_), + .X(_11712_) + ); + sky130_fd_sc_hd__a21o_2 _22461_ ( + .A1(_11174_), + .A2(_11177_), + .B1(_11712_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[11] ) + ); + sky130_fd_sc_hd__a22o_2 _22462_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[12] ), + .B1(\soc.core.hk_dat_i[12] ), + .B2(\soc.core.slave_sel_r[5] ), + .X(_11713_) + ); + sky130_fd_sc_hd__and2b_2 _22463_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[12] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11714_) + ); + sky130_fd_sc_hd__a21o_2 _22464_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03435_), + .B1(_11714_), + .X(_11715_) + ); + sky130_fd_sc_hd__nor2_2 _22465_ ( + .A(\soc.core.interface3_bank_bus_dat_r[12] ), + .B(\soc.core.interface0_bank_bus_dat_r[12] ), + .Y(_11716_) + ); + sky130_fd_sc_hd__nor3_2 _22466_ ( + .A(\soc.core.interface6_bank_bus_dat_r[12] ), + .B(\soc.core.interface9_bank_bus_dat_r[12] ), + .C(\soc.core.interface10_bank_bus_dat_r[12] ), + .Y(_11717_) + ); + sky130_fd_sc_hd__a21oi_2 _22467_ ( + .A1(_11716_), + .A2(_11717_), + .B1(_11625_), + .Y(_11718_) + ); + sky130_fd_sc_hd__a2111o_2 _22468_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[12] ), + .B1(_11713_), + .C1(_11715_), + .D1(_11718_), + .X(_11719_) + ); + sky130_fd_sc_hd__a211o_2 _22469_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[20] ), + .A2(_11636_), + .B1(_11719_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[12] ) + ); + sky130_fd_sc_hd__a22o_2 _22470_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[13] ), + .B1(_03436_), + .B2(\soc.core.slave_sel_r[1] ), + .X(_11720_) + ); + sky130_fd_sc_hd__and2b_2 _22471_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[13] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11721_) + ); + sky130_fd_sc_hd__a221o_2 _22472_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[13] ), + .B1(\soc.core.hk_dat_i[13] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11721_), + .X(_11722_) + ); + sky130_fd_sc_hd__nor4_2 _22473_ ( + .A(\soc.core.interface3_bank_bus_dat_r[13] ), + .B(\soc.core.interface0_bank_bus_dat_r[13] ), + .C(\soc.core.interface6_bank_bus_dat_r[13] ), + .D(\soc.core.interface10_bank_bus_dat_r[13] ), + .Y(_11723_) + ); + sky130_fd_sc_hd__nand2b_2 _22474_ ( + .A_N(\soc.core.interface9_bank_bus_dat_r[13] ), + .B(_11723_), + .Y(_11724_) + ); + sky130_fd_sc_hd__a211o_2 _22475_ ( + .A1(_11724_), + .A2(_11624_), + .B1(_11720_), + .C1(_11722_), + .X(_11725_) + ); + sky130_fd_sc_hd__a211o_2 _22476_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[21] ), + .A2(_11636_), + .B1(_11725_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[13] ) + ); + sky130_fd_sc_hd__nor2_2 _22477_ ( + .A(\soc.core.interface3_bank_bus_dat_r[14] ), + .B(\soc.core.interface0_bank_bus_dat_r[14] ), + .Y(_11726_) + ); + sky130_fd_sc_hd__nor3_2 _22478_ ( + .A(\soc.core.interface6_bank_bus_dat_r[14] ), + .B(\soc.core.interface9_bank_bus_dat_r[14] ), + .C(\soc.core.interface10_bank_bus_dat_r[14] ), + .Y(_11727_) + ); + sky130_fd_sc_hd__a21oi_2 _22479_ ( + .A1(_11726_), + .A2(_11727_), + .B1(_11625_), + .Y(_11728_) + ); + sky130_fd_sc_hd__and2b_2 _22480_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[14] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11729_) + ); + sky130_fd_sc_hd__a22o_2 _22481_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[14] ), + .B1(\soc.core.hk_dat_i[14] ), + .B2(\soc.core.slave_sel_r[5] ), + .X(_11730_) + ); + sky130_fd_sc_hd__a21o_2 _22482_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[14] ), + .B1(_11729_), + .X(_11731_) + ); + sky130_fd_sc_hd__a2111o_2 _22483_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03437_), + .B1(_11730_), + .C1(_11731_), + .D1(_11728_), + .X(_11732_) + ); + sky130_fd_sc_hd__a211o_2 _22484_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[22] ), + .A2(_11636_), + .B1(_11732_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[14] ) + ); + sky130_fd_sc_hd__a22o_2 _22485_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[15] ), + .B1(_03438_), + .B2(\soc.core.slave_sel_r[1] ), + .X(_11733_) + ); + sky130_fd_sc_hd__and2b_2 _22486_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[15] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11734_) + ); + sky130_fd_sc_hd__a221o_2 _22487_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[15] ), + .B1(\soc.core.hk_dat_i[15] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11734_), + .X(_11735_) + ); + sky130_fd_sc_hd__nor4_2 _22488_ ( + .A(\soc.core.interface3_bank_bus_dat_r[15] ), + .B(\soc.core.interface0_bank_bus_dat_r[15] ), + .C(\soc.core.interface6_bank_bus_dat_r[15] ), + .D(\soc.core.interface10_bank_bus_dat_r[15] ), + .Y(_11736_) + ); + sky130_fd_sc_hd__nand2b_2 _22489_ ( + .A_N(\soc.core.interface9_bank_bus_dat_r[15] ), + .B(_11736_), + .Y(_11737_) + ); + sky130_fd_sc_hd__a211o_2 _22490_ ( + .A1(_11737_), + .A2(_11624_), + .B1(_11733_), + .C1(_11735_), + .X(_11738_) + ); + sky130_fd_sc_hd__a211o_2 _22491_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[23] ), + .A2(_11636_), + .B1(_11738_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[15] ) + ); + sky130_fd_sc_hd__a22o_2 _22492_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[16] ), + .B1(_03439_), + .B2(\soc.core.slave_sel_r[1] ), + .X(_11739_) + ); + sky130_fd_sc_hd__and2b_2 _22493_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[16] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11740_) + ); + sky130_fd_sc_hd__a221o_2 _22494_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[16] ), + .B1(\soc.core.hk_dat_i[16] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11740_), + .X(_11741_) + ); + sky130_fd_sc_hd__nor4_2 _22495_ ( + .A(\soc.core.interface3_bank_bus_dat_r[16] ), + .B(\soc.core.interface0_bank_bus_dat_r[16] ), + .C(\soc.core.interface6_bank_bus_dat_r[16] ), + .D(\soc.core.interface10_bank_bus_dat_r[16] ), + .Y(_11742_) + ); + sky130_fd_sc_hd__nand2b_2 _22496_ ( + .A_N(\soc.core.interface9_bank_bus_dat_r[16] ), + .B(_11742_), + .Y(_11743_) + ); + sky130_fd_sc_hd__a211o_2 _22497_ ( + .A1(_11743_), + .A2(_11624_), + .B1(_11739_), + .C1(_11741_), + .X(_11744_) + ); + sky130_fd_sc_hd__a211o_2 _22498_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[8] ), + .A2(_11636_), + .B1(_11744_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[16] ) + ); + sky130_fd_sc_hd__o41a_2 _22499_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[17] ), + .A2(\soc.core.interface0_bank_bus_dat_r[17] ), + .A3(\soc.core.interface6_bank_bus_dat_r[17] ), + .A4(\soc.core.interface10_bank_bus_dat_r[17] ), + .B1(_11624_), + .X(_11745_) + ); + sky130_fd_sc_hd__and2b_2 _22500_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[17] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11746_) + ); + sky130_fd_sc_hd__a221o_2 _22501_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[17] ), + .B1(_03440_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11746_), + .X(_11747_) + ); + sky130_fd_sc_hd__a221o_2 _22502_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[17] ), + .B1(\soc.core.hk_dat_i[17] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11747_), + .X(_11748_) + ); + sky130_fd_sc_hd__a2111o_2 _22503_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[9] ), + .A2(_11636_), + .B1(_11745_), + .C1(_11748_), + .D1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[17] ) + ); + sky130_fd_sc_hd__o41a_2 _22504_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[18] ), + .A2(\soc.core.interface0_bank_bus_dat_r[18] ), + .A3(\soc.core.interface6_bank_bus_dat_r[18] ), + .A4(\soc.core.interface10_bank_bus_dat_r[18] ), + .B1(_11624_), + .X(_11749_) + ); + sky130_fd_sc_hd__a22o_2 _22505_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[18] ), + .B1(\soc.core.dff2_bus_dat_r[18] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11750_) + ); + sky130_fd_sc_hd__and2b_2 _22506_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[18] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11751_) + ); + sky130_fd_sc_hd__a21o_2 _22507_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03441_), + .B1(_11751_), + .X(_11752_) + ); + sky130_fd_sc_hd__a2111o_2 _22508_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[18] ), + .B1(_11750_), + .C1(_11752_), + .D1(_11749_), + .X(_11753_) + ); + sky130_fd_sc_hd__a211o_2 _22509_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[10] ), + .A2(_11636_), + .B1(_11753_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[18] ) + ); + sky130_fd_sc_hd__o41a_2 _22510_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[19] ), + .A2(\soc.core.interface0_bank_bus_dat_r[19] ), + .A3(\soc.core.interface6_bank_bus_dat_r[19] ), + .A4(\soc.core.interface10_bank_bus_dat_r[19] ), + .B1(_11624_), + .X(_11754_) + ); + sky130_fd_sc_hd__a22o_2 _22511_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[19] ), + .B1(\soc.core.dff2_bus_dat_r[19] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11755_) + ); + sky130_fd_sc_hd__and2b_2 _22512_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[19] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11756_) + ); + sky130_fd_sc_hd__a21o_2 _22513_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03442_), + .B1(_11756_), + .X(_11757_) + ); + sky130_fd_sc_hd__a2111o_2 _22514_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[19] ), + .B1(_11755_), + .C1(_11757_), + .D1(_11754_), + .X(_11758_) + ); + sky130_fd_sc_hd__a211o_2 _22515_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[11] ), + .A2(_11636_), + .B1(_11758_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[19] ) + ); + sky130_fd_sc_hd__o41a_2 _22516_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[20] ), + .A2(\soc.core.interface0_bank_bus_dat_r[20] ), + .A3(\soc.core.interface6_bank_bus_dat_r[20] ), + .A4(\soc.core.interface10_bank_bus_dat_r[20] ), + .B1(_11624_), + .X(_11759_) + ); + sky130_fd_sc_hd__and2b_2 _22517_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[20] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11760_) + ); + sky130_fd_sc_hd__a221o_2 _22518_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[20] ), + .B1(\soc.core.dff2_bus_dat_r[20] ), + .B2(\soc.core.slave_sel_r[2] ), + .C1(_11760_), + .X(_11761_) + ); + sky130_fd_sc_hd__a221o_2 _22519_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03443_), + .B1(\soc.core.hk_dat_i[20] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11759_), + .X(_11762_) + ); + sky130_fd_sc_hd__a211o_2 _22520_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[12] ), + .A2(_11636_), + .B1(_11761_), + .C1(_11762_), + .X(_11763_) + ); + sky130_fd_sc_hd__a21o_2 _22521_ ( + .A1(_11174_), + .A2(_11177_), + .B1(_11763_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[20] ) + ); + sky130_fd_sc_hd__o41a_2 _22522_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[21] ), + .A2(\soc.core.interface0_bank_bus_dat_r[21] ), + .A3(\soc.core.interface6_bank_bus_dat_r[21] ), + .A4(\soc.core.interface10_bank_bus_dat_r[21] ), + .B1(_11624_), + .X(_11764_) + ); + sky130_fd_sc_hd__a22o_2 _22523_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[21] ), + .B1(\soc.core.dff2_bus_dat_r[21] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11765_) + ); + sky130_fd_sc_hd__and2b_2 _22524_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[21] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11766_) + ); + sky130_fd_sc_hd__a21o_2 _22525_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03444_), + .B1(_11766_), + .X(_11767_) + ); + sky130_fd_sc_hd__a2111o_2 _22526_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[21] ), + .B1(_11765_), + .C1(_11767_), + .D1(_11764_), + .X(_11768_) + ); + sky130_fd_sc_hd__a211o_2 _22527_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[13] ), + .A2(_11636_), + .B1(_11768_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[21] ) + ); + sky130_fd_sc_hd__o41a_2 _22528_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[22] ), + .A2(\soc.core.interface0_bank_bus_dat_r[22] ), + .A3(\soc.core.interface6_bank_bus_dat_r[22] ), + .A4(\soc.core.interface10_bank_bus_dat_r[22] ), + .B1(_11624_), + .X(_11769_) + ); + sky130_fd_sc_hd__and2b_2 _22529_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[22] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11770_) + ); + sky130_fd_sc_hd__a221o_2 _22530_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[22] ), + .B1(_03445_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11770_), + .X(_11771_) + ); + sky130_fd_sc_hd__a221o_2 _22531_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[22] ), + .B1(\soc.core.hk_dat_i[22] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11771_), + .X(_11772_) + ); + sky130_fd_sc_hd__a2111o_2 _22532_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[14] ), + .A2(_11636_), + .B1(_11769_), + .C1(_11772_), + .D1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[22] ) + ); + sky130_fd_sc_hd__o41a_2 _22533_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[23] ), + .A2(\soc.core.interface0_bank_bus_dat_r[23] ), + .A3(\soc.core.interface6_bank_bus_dat_r[23] ), + .A4(\soc.core.interface10_bank_bus_dat_r[23] ), + .B1(_11624_), + .X(_11773_) + ); + sky130_fd_sc_hd__a22o_2 _22534_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[23] ), + .B1(\soc.core.dff2_bus_dat_r[23] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11774_) + ); + sky130_fd_sc_hd__and2b_2 _22535_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[23] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11775_) + ); + sky130_fd_sc_hd__a21o_2 _22536_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03446_), + .B1(_11775_), + .X(_11776_) + ); + sky130_fd_sc_hd__a2111o_2 _22537_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[23] ), + .B1(_11774_), + .C1(_11776_), + .D1(_11773_), + .X(_11777_) + ); + sky130_fd_sc_hd__a211o_2 _22538_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[15] ), + .A2(_11636_), + .B1(_11777_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[23] ) + ); + sky130_fd_sc_hd__o41a_2 _22539_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[24] ), + .A2(\soc.core.interface0_bank_bus_dat_r[24] ), + .A3(\soc.core.interface6_bank_bus_dat_r[24] ), + .A4(\soc.core.interface10_bank_bus_dat_r[24] ), + .B1(_11624_), + .X(_11778_) + ); + sky130_fd_sc_hd__a22o_2 _22540_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[24] ), + .B1(\soc.core.dff2_bus_dat_r[24] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11779_) + ); + sky130_fd_sc_hd__and2b_2 _22541_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[24] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11780_) + ); + sky130_fd_sc_hd__a21o_2 _22542_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03447_), + .B1(_11780_), + .X(_11781_) + ); + sky130_fd_sc_hd__a2111o_2 _22543_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[24] ), + .B1(_11779_), + .C1(_11781_), + .D1(_11778_), + .X(_11782_) + ); + sky130_fd_sc_hd__a211o_2 _22544_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[0] ), + .A2(_11636_), + .B1(_11782_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[24] ) + ); + sky130_fd_sc_hd__o41a_2 _22545_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[25] ), + .A2(\soc.core.interface0_bank_bus_dat_r[25] ), + .A3(\soc.core.interface6_bank_bus_dat_r[25] ), + .A4(\soc.core.interface10_bank_bus_dat_r[25] ), + .B1(_11624_), + .X(_11783_) + ); + sky130_fd_sc_hd__and2b_2 _22546_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[25] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11784_) + ); + sky130_fd_sc_hd__a221o_2 _22547_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[25] ), + .B1(_03448_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11784_), + .X(_11785_) + ); + sky130_fd_sc_hd__a221o_2 _22548_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[25] ), + .B1(\soc.core.hk_dat_i[25] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11785_), + .X(_11786_) + ); + sky130_fd_sc_hd__a2111o_2 _22549_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[1] ), + .A2(_11636_), + .B1(_11783_), + .C1(_11786_), + .D1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[25] ) + ); + sky130_fd_sc_hd__o41a_2 _22550_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[26] ), + .A2(\soc.core.interface0_bank_bus_dat_r[26] ), + .A3(\soc.core.interface6_bank_bus_dat_r[26] ), + .A4(\soc.core.interface10_bank_bus_dat_r[26] ), + .B1(_11624_), + .X(_11787_) + ); + sky130_fd_sc_hd__and2b_2 _22551_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[26] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11788_) + ); + sky130_fd_sc_hd__a221o_2 _22552_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[26] ), + .B1(_03449_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11788_), + .X(_11789_) + ); + sky130_fd_sc_hd__a221o_2 _22553_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[26] ), + .B1(\soc.core.hk_dat_i[26] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11789_), + .X(_11790_) + ); + sky130_fd_sc_hd__a2111o_2 _22554_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[2] ), + .A2(_11636_), + .B1(_11787_), + .C1(_11790_), + .D1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[26] ) + ); + sky130_fd_sc_hd__o41a_2 _22555_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[27] ), + .A2(\soc.core.interface0_bank_bus_dat_r[27] ), + .A3(\soc.core.interface6_bank_bus_dat_r[27] ), + .A4(\soc.core.interface10_bank_bus_dat_r[27] ), + .B1(_11624_), + .X(_11791_) + ); + sky130_fd_sc_hd__a22o_2 _22556_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[27] ), + .B1(\soc.core.dff2_bus_dat_r[27] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11792_) + ); + sky130_fd_sc_hd__and2b_2 _22557_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[27] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11793_) + ); + sky130_fd_sc_hd__a21o_2 _22558_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03450_), + .B1(_11793_), + .X(_11794_) + ); + sky130_fd_sc_hd__a2111o_2 _22559_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[27] ), + .B1(_11792_), + .C1(_11794_), + .D1(_11791_), + .X(_11795_) + ); + sky130_fd_sc_hd__a211o_2 _22560_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[3] ), + .A2(_11636_), + .B1(_11795_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[27] ) + ); + sky130_fd_sc_hd__o41a_2 _22561_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[28] ), + .A2(\soc.core.interface0_bank_bus_dat_r[28] ), + .A3(\soc.core.interface6_bank_bus_dat_r[28] ), + .A4(\soc.core.interface10_bank_bus_dat_r[28] ), + .B1(_11624_), + .X(_11796_) + ); + sky130_fd_sc_hd__a22o_2 _22562_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[28] ), + .B1(\soc.core.dff2_bus_dat_r[28] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11797_) + ); + sky130_fd_sc_hd__and2b_2 _22563_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[28] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11798_) + ); + sky130_fd_sc_hd__a21o_2 _22564_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03451_), + .B1(_11798_), + .X(_11799_) + ); + sky130_fd_sc_hd__a2111o_2 _22565_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[28] ), + .B1(_11797_), + .C1(_11799_), + .D1(_11796_), + .X(_11800_) + ); + sky130_fd_sc_hd__a211o_2 _22566_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[4] ), + .A2(_11636_), + .B1(_11800_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[28] ) + ); + sky130_fd_sc_hd__o41a_2 _22567_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[29] ), + .A2(\soc.core.interface0_bank_bus_dat_r[29] ), + .A3(\soc.core.interface6_bank_bus_dat_r[29] ), + .A4(\soc.core.interface10_bank_bus_dat_r[29] ), + .B1(_11624_), + .X(_11801_) + ); + sky130_fd_sc_hd__and2b_2 _22568_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[29] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11802_) + ); + sky130_fd_sc_hd__a221o_2 _22569_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[29] ), + .B1(\soc.core.dff2_bus_dat_r[29] ), + .B2(\soc.core.slave_sel_r[2] ), + .C1(_11802_), + .X(_11803_) + ); + sky130_fd_sc_hd__a221o_2 _22570_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03452_), + .B1(\soc.core.hk_dat_i[29] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11801_), + .X(_11804_) + ); + sky130_fd_sc_hd__a211o_2 _22571_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[5] ), + .A2(_11636_), + .B1(_11803_), + .C1(_11804_), + .X(_11805_) + ); + sky130_fd_sc_hd__a21o_2 _22572_ ( + .A1(_11174_), + .A2(_11177_), + .B1(_11805_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[29] ) + ); + sky130_fd_sc_hd__o41a_2 _22573_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[30] ), + .A2(\soc.core.interface0_bank_bus_dat_r[30] ), + .A3(\soc.core.interface6_bank_bus_dat_r[30] ), + .A4(\soc.core.interface10_bank_bus_dat_r[30] ), + .B1(_11624_), + .X(_11806_) + ); + sky130_fd_sc_hd__a22o_2 _22574_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[30] ), + .B1(\soc.core.dff2_bus_dat_r[30] ), + .B2(\soc.core.slave_sel_r[2] ), + .X(_11807_) + ); + sky130_fd_sc_hd__and2b_2 _22575_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[30] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11808_) + ); + sky130_fd_sc_hd__a21o_2 _22576_ ( + .A1(\soc.core.slave_sel_r[1] ), + .A2(_03453_), + .B1(_11808_), + .X(_11809_) + ); + sky130_fd_sc_hd__a2111o_2 _22577_ ( + .A1(\soc.core.slave_sel_r[5] ), + .A2(\soc.core.hk_dat_i[30] ), + .B1(_11807_), + .C1(_11809_), + .D1(_11806_), + .X(_11810_) + ); + sky130_fd_sc_hd__a211o_2 _22578_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[6] ), + .A2(_11636_), + .B1(_11810_), + .C1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[30] ) + ); + sky130_fd_sc_hd__o41a_2 _22579_ ( + .A1(\soc.core.interface3_bank_bus_dat_r[31] ), + .A2(\soc.core.interface0_bank_bus_dat_r[31] ), + .A3(\soc.core.interface6_bank_bus_dat_r[31] ), + .A4(\soc.core.interface10_bank_bus_dat_r[31] ), + .B1(_11624_), + .X(_11811_) + ); + sky130_fd_sc_hd__and2b_2 _22580_ ( + .A_N(\mgmt_buffers.mprj_dat_i_core_bar[31] ), + .B(\soc.core.slave_sel_r[4] ), + .X(_11812_) + ); + sky130_fd_sc_hd__a221o_2 _22581_ ( + .A1(\soc.core.slave_sel_r[0] ), + .A2(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[31] ), + .B1(_03454_), + .B2(\soc.core.slave_sel_r[1] ), + .C1(_11812_), + .X(_11813_) + ); + sky130_fd_sc_hd__a221o_2 _22582_ ( + .A1(\soc.core.slave_sel_r[2] ), + .A2(\soc.core.dff2_bus_dat_r[31] ), + .B1(\soc.core.hk_dat_i[31] ), + .B2(\soc.core.slave_sel_r[5] ), + .C1(_11813_), + .X(_11814_) + ); + sky130_fd_sc_hd__a2111o_2 _22583_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[7] ), + .A2(_11636_), + .B1(_11811_), + .C1(_11814_), + .D1(_11179_), + .X(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[31] ) + ); + sky130_fd_sc_hd__and2b_2 _22584_ ( + .A_N(\soc.core.multiregimpl1_regs1 ), + .B(\soc.core.dbg_uart_rx_rx_d ), + .X(_03455_) + ); + sky130_fd_sc_hd__and4bb_2 _22585_ ( + .A_N(\soc.core.dbg_uart_rx_count[1] ), + .B_N(\soc.core.dbg_uart_rx_count[2] ), + .C(\soc.core.dbg_uart_rx_count[3] ), + .D(\soc.core.dbg_uart_rx_count[0] ), + .X(_11815_) + ); + sky130_fd_sc_hd__nand2_2 _22586_ ( + .A(\soc.core.dbg_uart_rx_tick ), + .B(_11815_), + .Y(_03456_) + ); + sky130_fd_sc_hd__and2_4 _22587_ ( + .A(\soc.core.dbg_uart_tx_data[0] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_03457_) + ); + sky130_fd_sc_hd__nor2_2 _22588_ ( + .A(\soc.core.dbg_uart_bytes_count[1] ), + .B(\soc.core.dbg_uart_bytes_count[0] ), + .Y(_03458_) + ); + sky130_fd_sc_hd__nand2_2 _22589_ ( + .A(\soc.core.dbg_uart_bytes_count[1] ), + .B(\soc.core.dbg_uart_bytes_count[0] ), + .Y(_11816_) + ); + sky130_fd_sc_hd__and2b_2 _22590_ ( + .A_N(\soc.core.dbg_uart_bytes_count[1] ), + .B(\soc.core.dbg_uart_bytes_count[0] ), + .X(_11817_) + ); + sky130_fd_sc_hd__and2b_2 _22591_ ( + .A_N(\soc.core.dbg_uart_bytes_count[0] ), + .B(\soc.core.dbg_uart_bytes_count[1] ), + .X(_11818_) + ); + sky130_fd_sc_hd__a22o_2 _22592_ ( + .A1(\soc.core.dbg_uart_data[16] ), + .A2(_11817_), + .B1(_11818_), + .B2(\soc.core.dbg_uart_data[8] ), + .X(_11819_) + ); + sky130_fd_sc_hd__a31o_2 _22593_ ( + .A1(\soc.core.dbg_uart_bytes_count[1] ), + .A2(\soc.core.dbg_uart_bytes_count[0] ), + .A3(\soc.core.dbg_uart_data[0] ), + .B1(_11819_), + .X(_03459_) + ); + sky130_fd_sc_hd__and3_2 _22594_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_03460_), + .C(_11139_), + .X(_03461_) + ); + sky130_fd_sc_hd__and2_4 _22595_ ( + .A(\soc.core.dbg_uart_tx_data[1] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_03462_) + ); + sky130_fd_sc_hd__and3_2 _22596_ ( + .A(\soc.core.dbg_uart_bytes_count[1] ), + .B(\soc.core.dbg_uart_bytes_count[0] ), + .C(\soc.core.dbg_uart_data[1] ), + .X(_11820_) + ); + sky130_fd_sc_hd__a221o_2 _22597_ ( + .A1(\soc.core.dbg_uart_data[17] ), + .A2(_11817_), + .B1(_11818_), + .B2(\soc.core.dbg_uart_data[9] ), + .C1(_11820_), + .X(_03463_) + ); + sky130_fd_sc_hd__and3_2 _22598_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_03464_), + .C(_11139_), + .X(_03465_) + ); + sky130_fd_sc_hd__and2_4 _22599_ ( + .A(\soc.core.dbg_uart_tx_data[2] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_03466_) + ); + sky130_fd_sc_hd__a22o_2 _22600_ ( + .A1(\soc.core.dbg_uart_data[18] ), + .A2(_11817_), + .B1(_11818_), + .B2(\soc.core.dbg_uart_data[10] ), + .X(_11821_) + ); + sky130_fd_sc_hd__a31o_2 _22601_ ( + .A1(\soc.core.dbg_uart_bytes_count[1] ), + .A2(\soc.core.dbg_uart_bytes_count[0] ), + .A3(\soc.core.dbg_uart_data[2] ), + .B1(_11821_), + .X(_03467_) + ); + sky130_fd_sc_hd__and3_2 _22602_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_03468_), + .C(_11139_), + .X(_03469_) + ); + sky130_fd_sc_hd__and2_4 _22603_ ( + .A(\soc.core.dbg_uart_tx_data[3] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_03470_) + ); + sky130_fd_sc_hd__and3_2 _22604_ ( + .A(\soc.core.dbg_uart_bytes_count[1] ), + .B(\soc.core.dbg_uart_bytes_count[0] ), + .C(\soc.core.dbg_uart_data[3] ), + .X(_11822_) + ); + sky130_fd_sc_hd__a221o_2 _22605_ ( + .A1(\soc.core.dbg_uart_data[19] ), + .A2(_11817_), + .B1(_11818_), + .B2(\soc.core.dbg_uart_data[11] ), + .C1(_11822_), + .X(_03471_) + ); + sky130_fd_sc_hd__and3_2 _22606_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_03472_), + .C(_11139_), + .X(_03473_) + ); + sky130_fd_sc_hd__and2_4 _22607_ ( + .A(\soc.core.dbg_uart_tx_data[4] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_03474_) + ); + sky130_fd_sc_hd__a22o_2 _22608_ ( + .A1(\soc.core.dbg_uart_data[20] ), + .A2(_11817_), + .B1(_11818_), + .B2(\soc.core.dbg_uart_data[12] ), + .X(_11823_) + ); + sky130_fd_sc_hd__a31o_2 _22609_ ( + .A1(\soc.core.dbg_uart_bytes_count[1] ), + .A2(\soc.core.dbg_uart_bytes_count[0] ), + .A3(\soc.core.dbg_uart_data[4] ), + .B1(_11823_), + .X(_03475_) + ); + sky130_fd_sc_hd__and3_2 _22610_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_03476_), + .C(_11139_), + .X(_03477_) + ); + sky130_fd_sc_hd__and2_4 _22611_ ( + .A(\soc.core.dbg_uart_tx_data[5] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_03478_) + ); + sky130_fd_sc_hd__a22o_2 _22612_ ( + .A1(\soc.core.dbg_uart_data[21] ), + .A2(_11817_), + .B1(_11818_), + .B2(\soc.core.dbg_uart_data[13] ), + .X(_11824_) + ); + sky130_fd_sc_hd__a31o_2 _22613_ ( + .A1(\soc.core.dbg_uart_bytes_count[1] ), + .A2(\soc.core.dbg_uart_bytes_count[0] ), + .A3(\soc.core.dbg_uart_data[5] ), + .B1(_11824_), + .X(_03479_) + ); + sky130_fd_sc_hd__and3_2 _22614_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_03480_), + .C(_11139_), + .X(_03481_) + ); + sky130_fd_sc_hd__and2_4 _22615_ ( + .A(\soc.core.dbg_uart_tx_data[6] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_03482_) + ); + sky130_fd_sc_hd__and3_2 _22616_ ( + .A(\soc.core.dbg_uart_bytes_count[1] ), + .B(\soc.core.dbg_uart_bytes_count[0] ), + .C(\soc.core.dbg_uart_data[6] ), + .X(_11825_) + ); + sky130_fd_sc_hd__a221o_2 _22617_ ( + .A1(\soc.core.dbg_uart_data[22] ), + .A2(_11817_), + .B1(_11818_), + .B2(\soc.core.dbg_uart_data[14] ), + .C1(_11825_), + .X(_03483_) + ); + sky130_fd_sc_hd__and3_2 _22618_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_03484_), + .C(_11139_), + .X(_03485_) + ); + sky130_fd_sc_hd__and2_4 _22619_ ( + .A(\soc.core.dbg_uart_tx_data[7] ), + .B(\soc.core.dbg_uart_tx_tick ), + .X(_03486_) + ); + sky130_fd_sc_hd__a22o_2 _22620_ ( + .A1(\soc.core.dbg_uart_data[23] ), + .A2(_11817_), + .B1(_11818_), + .B2(\soc.core.dbg_uart_data[15] ), + .X(_11826_) + ); + sky130_fd_sc_hd__a31o_2 _22621_ ( + .A1(\soc.core.dbg_uart_bytes_count[1] ), + .A2(\soc.core.dbg_uart_bytes_count[0] ), + .A3(\soc.core.dbg_uart_data[7] ), + .B1(_11826_), + .X(_03487_) + ); + sky130_fd_sc_hd__and3_2 _22622_ ( + .A(\soc.core.uartwishbonebridge_state[1] ), + .B(_03488_), + .C(_11139_), + .X(_03489_) + ); + sky130_fd_sc_hd__and2b_2 _22623_ ( + .A_N(\soc.core.multiregimpl0_regs1 ), + .B(\soc.core.uart_phy_rx_rx_d ), + .X(_03491_) + ); + sky130_fd_sc_hd__and4bb_2 _22624_ ( + .A_N(\soc.core.uart_phy_rx_count[1] ), + .B_N(\soc.core.uart_phy_rx_count[2] ), + .C(\soc.core.uart_phy_rx_count[3] ), + .D(\soc.core.uart_phy_rx_count[0] ), + .X(_11827_) + ); + sky130_fd_sc_hd__nand2_2 _22625_ ( + .A(\soc.core.uart_phy_rx_tick ), + .B(_11827_), + .Y(_03492_) + ); + sky130_fd_sc_hd__and2_4 _22626_ ( + .A(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.uart_phy_tx_data[0] ), + .X(_03494_) + ); + sky130_fd_sc_hd__and2_4 _22627_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .B(\soc.core.memdat_1[0] ), + .X(_03495_) + ); + sky130_fd_sc_hd__and2_4 _22628_ ( + .A(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.uart_phy_tx_data[1] ), + .X(_03496_) + ); + sky130_fd_sc_hd__and2_4 _22629_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .B(\soc.core.memdat_1[1] ), + .X(_03497_) + ); + sky130_fd_sc_hd__and2_4 _22630_ ( + .A(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.uart_phy_tx_data[2] ), + .X(_03498_) + ); + sky130_fd_sc_hd__and2_4 _22631_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .B(\soc.core.memdat_1[2] ), + .X(_03499_) + ); + sky130_fd_sc_hd__and2_4 _22632_ ( + .A(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.uart_phy_tx_data[3] ), + .X(_03500_) + ); + sky130_fd_sc_hd__and2_4 _22633_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .B(\soc.core.memdat_1[3] ), + .X(_03501_) + ); + sky130_fd_sc_hd__and2_4 _22634_ ( + .A(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.uart_phy_tx_data[4] ), + .X(_03502_) + ); + sky130_fd_sc_hd__and2_4 _22635_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .B(\soc.core.memdat_1[4] ), + .X(_03503_) + ); + sky130_fd_sc_hd__and2_4 _22636_ ( + .A(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.uart_phy_tx_data[5] ), + .X(_03504_) + ); + sky130_fd_sc_hd__and2_4 _22637_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .B(\soc.core.memdat_1[5] ), + .X(_03505_) + ); + sky130_fd_sc_hd__and2_4 _22638_ ( + .A(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.uart_phy_tx_data[6] ), + .X(_03506_) + ); + sky130_fd_sc_hd__and2_4 _22639_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .B(\soc.core.memdat_1[6] ), + .X(_03507_) + ); + sky130_fd_sc_hd__and2_4 _22640_ ( + .A(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.uart_phy_tx_data[7] ), + .X(_03508_) + ); + sky130_fd_sc_hd__and2_4 _22641_ ( + .A(\soc.core.uart_tx_fifo_readable ), + .B(\soc.core.memdat_1[7] ), + .X(_03509_) + ); + sky130_fd_sc_hd__and2b_2 _22642_ ( + .A_N(\soc.core.VexRiscv._zz_execute_ALU_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_ALU_CTRL[0] ), + .X(_11828_) + ); + sky130_fd_sc_hd__nand2b_2 _22643_ ( + .A_N(\soc.core.VexRiscv._zz_execute_ALU_CTRL[0] ), + .B(\soc.core.VexRiscv._zz_execute_ALU_CTRL[1] ), + .Y(_11829_) + ); + sky130_fd_sc_hd__and2b_2 _22644_ ( + .A_N(_11828_), + .B(_11829_), + .X(_03511_) + ); + sky130_fd_sc_hd__and2b_2 _22645_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .X(_11830_) + ); + sky130_fd_sc_hd__and3b_2 _22646_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .X(_11831_) + ); + sky130_fd_sc_hd__a21oi_2 _22647_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[31] ), + .A2(_11268_), + .B1(_11831_), + .Y(_03514_) + ); + sky130_fd_sc_hd__inv_4 _22648_ ( + .A(_03514_), + .Y(_03513_) + ); + sky130_fd_sc_hd__nor2_2 _22649_ ( + .A(_04930_), + .B(_11126_), + .Y(_11832_) + ); + sky130_fd_sc_hd__nor3_2 _22650_ ( + .A(_11126_), + .B(_03512_), + .C(_04930_), + .Y(_11833_) + ); + sky130_fd_sc_hd__a21oi_2 _22651_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[31] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03516_) + ); + sky130_fd_sc_hd__nand2_2 _22652_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ), + .B(_11830_), + .Y(_11834_) + ); + sky130_fd_sc_hd__o31a_2 _22653_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04912_), + .B1(_11834_), + .X(_11835_) + ); + sky130_fd_sc_hd__inv_4 _22654_ ( + .A(_11835_), + .Y(_03518_) + ); + sky130_fd_sc_hd__a21oi_2 _22655_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[30] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03520_) + ); + sky130_fd_sc_hd__nand2_2 _22656_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ), + .B(_11830_), + .Y(_11836_) + ); + sky130_fd_sc_hd__o31a_2 _22657_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04910_), + .B1(_11836_), + .X(_11837_) + ); + sky130_fd_sc_hd__inv_4 _22658_ ( + .A(_11837_), + .Y(_03522_) + ); + sky130_fd_sc_hd__a21oi_2 _22659_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[29] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03524_) + ); + sky130_fd_sc_hd__nand2_2 _22660_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ), + .B(_11830_), + .Y(_11838_) + ); + sky130_fd_sc_hd__o31a_2 _22661_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04908_), + .B1(_11838_), + .X(_11839_) + ); + sky130_fd_sc_hd__inv_4 _22662_ ( + .A(_11839_), + .Y(_03526_) + ); + sky130_fd_sc_hd__a21oi_2 _22663_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[28] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03528_) + ); + sky130_fd_sc_hd__and3b_2 _22664_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[27] ), + .X(_11840_) + ); + sky130_fd_sc_hd__a21oi_2 _22665_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[27] ), + .A2(_11268_), + .B1(_11840_), + .Y(_11841_) + ); + sky130_fd_sc_hd__inv_4 _22666_ ( + .A(_11841_), + .Y(_03530_) + ); + sky130_fd_sc_hd__a21oi_2 _22667_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[27] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03532_) + ); + sky130_fd_sc_hd__nand2_2 _22668_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ), + .B(_11830_), + .Y(_11842_) + ); + sky130_fd_sc_hd__o31a_2 _22669_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04904_), + .B1(_11842_), + .X(_11843_) + ); + sky130_fd_sc_hd__inv_4 _22670_ ( + .A(_11843_), + .Y(_03534_) + ); + sky130_fd_sc_hd__a21oi_2 _22671_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[26] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03536_) + ); + sky130_fd_sc_hd__nand2_2 _22672_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ), + .B(_11830_), + .Y(_11844_) + ); + sky130_fd_sc_hd__o31a_2 _22673_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04902_), + .B1(_11844_), + .X(_11845_) + ); + sky130_fd_sc_hd__inv_4 _22674_ ( + .A(_11845_), + .Y(_03538_) + ); + sky130_fd_sc_hd__a21oi_2 _22675_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[25] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03540_) + ); + sky130_fd_sc_hd__nand2_2 _22676_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[24] ), + .B(_11830_), + .Y(_11846_) + ); + sky130_fd_sc_hd__o31a_2 _22677_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04900_), + .B1(_11846_), + .X(_11847_) + ); + sky130_fd_sc_hd__inv_4 _22678_ ( + .A(_11847_), + .Y(_03542_) + ); + sky130_fd_sc_hd__a21oi_2 _22679_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[24] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03544_) + ); + sky130_fd_sc_hd__nand2_2 _22680_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[23] ), + .B(_11830_), + .Y(_11848_) + ); + sky130_fd_sc_hd__o31a_2 _22681_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04898_), + .B1(_11848_), + .X(_11849_) + ); + sky130_fd_sc_hd__inv_4 _22682_ ( + .A(_11849_), + .Y(_03546_) + ); + sky130_fd_sc_hd__a21oi_2 _22683_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[23] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03548_) + ); + sky130_fd_sc_hd__nand2_2 _22684_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[22] ), + .B(_11830_), + .Y(_11850_) + ); + sky130_fd_sc_hd__o31a_2 _22685_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04896_), + .B1(_11850_), + .X(_11851_) + ); + sky130_fd_sc_hd__inv_4 _22686_ ( + .A(_11851_), + .Y(_03550_) + ); + sky130_fd_sc_hd__a21oi_2 _22687_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[22] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03552_) + ); + sky130_fd_sc_hd__nand2_2 _22688_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[21] ), + .B(_11830_), + .Y(_11852_) + ); + sky130_fd_sc_hd__o31a_2 _22689_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04894_), + .B1(_11852_), + .X(_11853_) + ); + sky130_fd_sc_hd__inv_4 _22690_ ( + .A(_11853_), + .Y(_03554_) + ); + sky130_fd_sc_hd__a21oi_2 _22691_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[21] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03556_) + ); + sky130_fd_sc_hd__nand2_2 _22692_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ), + .B(_11830_), + .Y(_11854_) + ); + sky130_fd_sc_hd__o31a_2 _22693_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04892_), + .B1(_11854_), + .X(_11855_) + ); + sky130_fd_sc_hd__inv_4 _22694_ ( + .A(_11855_), + .Y(_03558_) + ); + sky130_fd_sc_hd__a21oi_2 _22695_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[20] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03560_) + ); + sky130_fd_sc_hd__nor3b_2 _22696_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C_N(\soc.core.VexRiscv.decode_to_execute_RS1[19] ), + .Y(_11856_) + ); + sky130_fd_sc_hd__a21oi_2 _22697_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[19] ), + .A2(_11830_), + .B1(_11856_), + .Y(_11857_) + ); + sky130_fd_sc_hd__inv_4 _22698_ ( + .A(_11857_), + .Y(_03563_) + ); + sky130_fd_sc_hd__a21oi_2 _22699_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[19] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03565_) + ); + sky130_fd_sc_hd__and3b_2 _22700_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[18] ), + .X(_11858_) + ); + sky130_fd_sc_hd__a21oi_2 _22701_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[18] ), + .A2(_11268_), + .B1(_11858_), + .Y(_11859_) + ); + sky130_fd_sc_hd__inv_4 _22702_ ( + .A(_11859_), + .Y(_03568_) + ); + sky130_fd_sc_hd__a21oi_2 _22703_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[18] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03570_) + ); + sky130_fd_sc_hd__and3b_2 _22704_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[17] ), + .X(_11860_) + ); + sky130_fd_sc_hd__a21oi_2 _22705_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[17] ), + .A2(_11268_), + .B1(_11860_), + .Y(_11861_) + ); + sky130_fd_sc_hd__inv_4 _22706_ ( + .A(_11861_), + .Y(_03572_) + ); + sky130_fd_sc_hd__a21oi_2 _22707_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[17] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03574_) + ); + sky130_fd_sc_hd__and3b_2 _22708_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[16] ), + .X(_11862_) + ); + sky130_fd_sc_hd__a21oi_2 _22709_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[16] ), + .A2(_11268_), + .B1(_11862_), + .Y(_11863_) + ); + sky130_fd_sc_hd__inv_4 _22710_ ( + .A(_11863_), + .Y(_03576_) + ); + sky130_fd_sc_hd__a21oi_2 _22711_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[16] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03578_) + ); + sky130_fd_sc_hd__nand2_2 _22712_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[15] ), + .B(_11268_), + .Y(_11864_) + ); + sky130_fd_sc_hd__nand3b_2 _22713_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[15] ), + .Y(_11865_) + ); + sky130_fd_sc_hd__nand2_2 _22714_ ( + .A(_11864_), + .B(_11865_), + .Y(_03580_) + ); + sky130_fd_sc_hd__a21oi_2 _22715_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[15] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03582_) + ); + sky130_fd_sc_hd__nand2_2 _22716_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[14] ), + .B(_11268_), + .Y(_11866_) + ); + sky130_fd_sc_hd__nand2_2 _22717_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .B(_11830_), + .Y(_11867_) + ); + sky130_fd_sc_hd__a21boi_2 _22718_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .A2(_11830_), + .B1_N(_11866_), + .Y(_11868_) + ); + sky130_fd_sc_hd__inv_4 _22719_ ( + .A(_11868_), + .Y(_03584_) + ); + sky130_fd_sc_hd__a21oi_2 _22720_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[14] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03586_) + ); + sky130_fd_sc_hd__nor3b_2 _22721_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C_N(\soc.core.VexRiscv.decode_to_execute_RS1[13] ), + .Y(_11869_) + ); + sky130_fd_sc_hd__and3b_2 _22722_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(_11870_) + ); + sky130_fd_sc_hd__a21oi_2 _22723_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .A2(_11830_), + .B1(_11869_), + .Y(_11871_) + ); + sky130_fd_sc_hd__inv_4 _22724_ ( + .A(_11871_), + .Y(_03588_) + ); + sky130_fd_sc_hd__a21oi_2 _22725_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[13] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03590_) + ); + sky130_fd_sc_hd__and3b_2 _22726_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .X(_11872_) + ); + sky130_fd_sc_hd__a21oi_2 _22727_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[12] ), + .A2(_11268_), + .B1(_11872_), + .Y(_11873_) + ); + sky130_fd_sc_hd__inv_4 _22728_ ( + .A(_11873_), + .Y(_03593_) + ); + sky130_fd_sc_hd__a21oi_2 _22729_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[12] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03595_) + ); + sky130_fd_sc_hd__nor3b_2 _22730_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C_N(\soc.core.VexRiscv.decode_to_execute_RS1[11] ), + .Y(_03597_) + ); + sky130_fd_sc_hd__a21oi_2 _22731_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS2[11] ), + .A2(_11126_), + .B1(_11833_), + .Y(_03599_) + ); + sky130_fd_sc_hd__nor3_2 _22732_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(_04863_), + .Y(_03601_) + ); + sky130_fd_sc_hd__nand2_2 _22733_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS2[10] ), + .B(_11126_), + .Y(_11874_) + ); + sky130_fd_sc_hd__a21boi_2 _22734_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ), + .A2(_11832_), + .B1_N(_11874_), + .Y(_03603_) + ); + sky130_fd_sc_hd__nor3_2 _22735_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(_04861_), + .Y(_03605_) + ); + sky130_fd_sc_hd__nand2_2 _22736_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS2[9] ), + .B(_11126_), + .Y(_11875_) + ); + sky130_fd_sc_hd__a21boi_2 _22737_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ), + .A2(_11832_), + .B1_N(_11875_), + .Y(_03607_) + ); + sky130_fd_sc_hd__nor3_2 _22738_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(_04859_), + .Y(_03609_) + ); + sky130_fd_sc_hd__nand2_2 _22739_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS2[8] ), + .B(_11126_), + .Y(_11876_) + ); + sky130_fd_sc_hd__a21boi_2 _22740_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ), + .A2(_11832_), + .B1_N(_11876_), + .Y(_03611_) + ); + sky130_fd_sc_hd__nor3_2 _22741_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(_04857_), + .Y(_03613_) + ); + sky130_fd_sc_hd__nand2_2 _22742_ ( + .A(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[7] ), + .B(_11126_), + .Y(_11877_) + ); + sky130_fd_sc_hd__a21boi_2 _22743_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[27] ), + .A2(_11832_), + .B1_N(_11877_), + .Y(_03615_) + ); + sky130_fd_sc_hd__nor3_2 _22744_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(_04855_), + .Y(_03617_) + ); + sky130_fd_sc_hd__nand2_2 _22745_ ( + .A(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[6] ), + .B(_11126_), + .Y(_11878_) + ); + sky130_fd_sc_hd__a21boi_2 _22746_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ), + .A2(_11832_), + .B1_N(_11878_), + .Y(_03619_) + ); + sky130_fd_sc_hd__nor3_2 _22747_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(_04853_), + .Y(_03621_) + ); + sky130_fd_sc_hd__nand2_2 _22748_ ( + .A(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[5] ), + .B(_11126_), + .Y(_11879_) + ); + sky130_fd_sc_hd__a21boi_2 _22749_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ), + .A2(_11832_), + .B1_N(_11879_), + .Y(_03623_) + ); + sky130_fd_sc_hd__nand2_2 _22750_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[4] ), + .B(_11268_), + .Y(_03626_) + ); + sky130_fd_sc_hd__nand2_2 _22751_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[3] ), + .B(_11268_), + .Y(_03630_) + ); + sky130_fd_sc_hd__o21ba_2 _22752_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv.decode_to_execute_RS1[2] ), + .B1_N(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .X(_03632_) + ); + sky130_fd_sc_hd__xnor2_2 _22753_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03517_), + .Y(_11880_) + ); + sky130_fd_sc_hd__and2_4 _22754_ ( + .A(_03514_), + .B(_11880_), + .X(_11881_) + ); + sky130_fd_sc_hd__nor2_2 _22755_ ( + .A(_11880_), + .B(_03514_), + .Y(_11882_) + ); + sky130_fd_sc_hd__nor2_2 _22756_ ( + .A(_11881_), + .B(_11882_), + .Y(_11883_) + ); + sky130_fd_sc_hd__xnor2_2 _22757_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03521_), + .Y(_11884_) + ); + sky130_fd_sc_hd__and2_4 _22758_ ( + .A(_03518_), + .B(_11884_), + .X(_11885_) + ); + sky130_fd_sc_hd__nand2_2 _22759_ ( + .A(_03518_), + .B(_11884_), + .Y(_11886_) + ); + sky130_fd_sc_hd__and2_4 _22760_ ( + .A(_05033_), + .B(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .X(_11887_) + ); + sky130_fd_sc_hd__nor2_2 _22761_ ( + .A(_05033_), + .B(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .Y(_11888_) + ); + sky130_fd_sc_hd__o21a_2 _22762_ ( + .A1(_11887_), + .A2(_11888_), + .B1(_03633_), + .X(_11889_) + ); + sky130_fd_sc_hd__a21o_2 _22763_ ( + .A1(_05077_), + .A2(_05072_), + .B1(_03633_), + .X(_11890_) + ); + sky130_fd_sc_hd__o21ba_2 _22764_ ( + .A1(_11887_), + .A2(_11890_), + .B1_N(_11889_), + .X(_11891_) + ); + sky130_fd_sc_hd__nand2_2 _22765_ ( + .A(_11273_), + .B(_05073_), + .Y(_11892_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22766_ ( + .A1_N(_05073_), + .A2_N(_11273_), + .B1(_11271_), + .B2(_11272_), + .X(_11893_) + ); + sky130_fd_sc_hd__o211ai_2 _22767_ ( + .A1(_11271_), + .A2(_11272_), + .B1(_11891_), + .C1(_11892_), + .Y(_11894_) + ); + sky130_fd_sc_hd__nor2_2 _22768_ ( + .A(_05037_), + .B(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .Y(_11895_) + ); + sky130_fd_sc_hd__nor2_2 _22769_ ( + .A(_05079_), + .B(_05072_), + .Y(_11896_) + ); + sky130_fd_sc_hd__nand2_2 _22770_ ( + .A(_05037_), + .B(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .Y(_11897_) + ); + sky130_fd_sc_hd__o21a_2 _22771_ ( + .A1(_11895_), + .A2(_11896_), + .B1(_03666_), + .X(_11898_) + ); + sky130_fd_sc_hd__nor2_2 _22772_ ( + .A(_11889_), + .B(_11898_), + .Y(_11899_) + ); + sky130_fd_sc_hd__nand2_2 _22773_ ( + .A(_11894_), + .B(_11899_), + .Y(_11900_) + ); + sky130_fd_sc_hd__nand3b_2 _22774_ ( + .A_N(_11895_), + .B(_11897_), + .C(_03631_), + .Y(_11901_) + ); + sky130_fd_sc_hd__a21boi_2 _22775_ ( + .A1(_11894_), + .A2(_11899_), + .B1_N(_11901_), + .Y(_11902_) + ); + sky130_fd_sc_hd__nand2_2 _22776_ ( + .A(_11900_), + .B(_11901_), + .Y(_11903_) + ); + sky130_fd_sc_hd__and2_4 _22777_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03620_), + .X(_11904_) + ); + sky130_fd_sc_hd__nor2_2 _22778_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03620_), + .Y(_11905_) + ); + sky130_fd_sc_hd__a211oi_2 _22779_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[6] ), + .A2(_11268_), + .B1(_11904_), + .C1(_11905_), + .Y(_11906_) + ); + sky130_fd_sc_hd__o211a_2 _22780_ ( + .A1(_11904_), + .A2(_11905_), + .B1(\soc.core.VexRiscv.decode_to_execute_RS1[6] ), + .C1(_11268_), + .X(_11907_) + ); + sky130_fd_sc_hd__nor2_2 _22781_ ( + .A(_11906_), + .B(_11907_), + .Y(_11908_) + ); + sky130_fd_sc_hd__nor2_2 _22782_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03616_), + .Y(_11909_) + ); + sky130_fd_sc_hd__and2_4 _22783_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03616_), + .X(_11910_) + ); + sky130_fd_sc_hd__o211a_2 _22784_ ( + .A1(_11909_), + .A2(_11910_), + .B1(\soc.core.VexRiscv.decode_to_execute_RS1[7] ), + .C1(_11268_), + .X(_11911_) + ); + sky130_fd_sc_hd__o21ai_2 _22785_ ( + .A1(_11909_), + .A2(_11910_), + .B1(_03613_), + .Y(_11912_) + ); + sky130_fd_sc_hd__o2bb2ai_2 _22786_ ( + .A1_N(\soc.core.VexRiscv.decode_to_execute_RS1[7] ), + .A2_N(_11268_), + .B1(_03616_), + .B2(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .Y(_11913_) + ); + sky130_fd_sc_hd__o21ai_2 _22787_ ( + .A1(_11910_), + .A2(_11913_), + .B1(_11912_), + .Y(_11914_) + ); + sky130_fd_sc_hd__nor3_2 _22788_ ( + .A(_11906_), + .B(_11907_), + .C(_11914_), + .Y(_11915_) + ); + sky130_fd_sc_hd__nor2_2 _22789_ ( + .A(_05042_), + .B(_05072_), + .Y(_11916_) + ); + sky130_fd_sc_hd__nor2_2 _22790_ ( + .A(_05041_), + .B(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .Y(_11917_) + ); + sky130_fd_sc_hd__o21a_2 _22791_ ( + .A1(_11916_), + .A2(_11917_), + .B1(_03628_), + .X(_11918_) + ); + sky130_fd_sc_hd__nor3_2 _22792_ ( + .A(_11917_), + .B(_03628_), + .C(_11916_), + .Y(_11919_) + ); + sky130_fd_sc_hd__nor2_2 _22793_ ( + .A(_11918_), + .B(_11919_), + .Y(_11920_) + ); + sky130_fd_sc_hd__nor2_2 _22794_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03624_), + .Y(_11921_) + ); + sky130_fd_sc_hd__and2_4 _22795_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03624_), + .X(_11922_) + ); + sky130_fd_sc_hd__a211oi_2 _22796_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[5] ), + .A2(_11268_), + .B1(_11921_), + .C1(_11922_), + .Y(_11923_) + ); + sky130_fd_sc_hd__o211a_2 _22797_ ( + .A1(_11921_), + .A2(_11922_), + .B1(\soc.core.VexRiscv.decode_to_execute_RS1[5] ), + .C1(_11268_), + .X(_11924_) + ); + sky130_fd_sc_hd__nor2_2 _22798_ ( + .A(_11923_), + .B(_11924_), + .Y(_11925_) + ); + sky130_fd_sc_hd__and3_2 _22799_ ( + .A(_11915_), + .B(_11920_), + .C(_11925_), + .X(_11926_) + ); + sky130_fd_sc_hd__nand3_2 _22800_ ( + .A(_11900_), + .B(_11901_), + .C(_11926_), + .Y(_11927_) + ); + sky130_fd_sc_hd__o21ba_2 _22801_ ( + .A1(_11918_), + .A2(_11924_), + .B1_N(_11923_), + .X(_11928_) + ); + sky130_fd_sc_hd__o22a_2 _22802_ ( + .A1(_11910_), + .A2(_11913_), + .B1(_11911_), + .B2(_11907_), + .X(_11929_) + ); + sky130_fd_sc_hd__a21oi_2 _22803_ ( + .A1(_11928_), + .A2(_11915_), + .B1(_11929_), + .Y(_11930_) + ); + sky130_fd_sc_hd__a21boi_2 _22804_ ( + .A1(_11902_), + .A2(_11926_), + .B1_N(_11930_), + .Y(_11931_) + ); + sky130_fd_sc_hd__nor2_2 _22805_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03604_), + .Y(_11932_) + ); + sky130_fd_sc_hd__and2_4 _22806_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03604_), + .X(_11933_) + ); + sky130_fd_sc_hd__o21ai_2 _22807_ ( + .A1(_11932_), + .A2(_11933_), + .B1(_03601_), + .Y(_11934_) + ); + sky130_fd_sc_hd__a2bb2o_2 _22808_ ( + .A1_N(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .A2_N(_03604_), + .B1(_11268_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS1[10] ), + .X(_11935_) + ); + sky130_fd_sc_hd__o21a_2 _22809_ ( + .A1(_11933_), + .A2(_11935_), + .B1(_11934_), + .X(_11936_) + ); + sky130_fd_sc_hd__and2_4 _22810_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03600_), + .X(_11937_) + ); + sky130_fd_sc_hd__nor2_2 _22811_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03600_), + .Y(_11938_) + ); + sky130_fd_sc_hd__o21ai_2 _22812_ ( + .A1(_11937_), + .A2(_11938_), + .B1(_03597_), + .Y(_11939_) + ); + sky130_fd_sc_hd__a211o_2 _22813_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[11] ), + .A2(_11268_), + .B1(_11937_), + .C1(_11938_), + .X(_11940_) + ); + sky130_fd_sc_hd__nand2_2 _22814_ ( + .A(_11939_), + .B(_11940_), + .Y(_11941_) + ); + sky130_fd_sc_hd__o2111a_2 _22815_ ( + .A1(_11933_), + .A2(_11935_), + .B1(_11939_), + .C1(_11940_), + .D1(_11934_), + .X(_11942_) + ); + sky130_fd_sc_hd__xnor2_2 _22816_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03608_), + .Y(_11943_) + ); + sky130_fd_sc_hd__a21oi_2 _22817_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[9] ), + .A2(_11268_), + .B1(_11943_), + .Y(_11944_) + ); + sky130_fd_sc_hd__a21o_2 _22818_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[9] ), + .A2(_11268_), + .B1(_11943_), + .X(_11945_) + ); + sky130_fd_sc_hd__nand2_2 _22819_ ( + .A(_03605_), + .B(_11943_), + .Y(_11946_) + ); + sky130_fd_sc_hd__nand2_2 _22820_ ( + .A(_11945_), + .B(_11946_), + .Y(_11947_) + ); + sky130_fd_sc_hd__nor2_2 _22821_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03612_), + .Y(_11948_) + ); + sky130_fd_sc_hd__and2_4 _22822_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03612_), + .X(_11949_) + ); + sky130_fd_sc_hd__o21ai_2 _22823_ ( + .A1(_11948_), + .A2(_11949_), + .B1(_03609_), + .Y(_11950_) + ); + sky130_fd_sc_hd__a2bb2o_2 _22824_ ( + .A1_N(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .A2_N(_03612_), + .B1(_11268_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS1[8] ), + .X(_11951_) + ); + sky130_fd_sc_hd__o21ai_2 _22825_ ( + .A1(_11949_), + .A2(_11951_), + .B1(_11950_), + .Y(_11952_) + ); + sky130_fd_sc_hd__and4bb_2 _22826_ ( + .A_N(_11944_), + .B_N(_11952_), + .C(_11946_), + .D(_11942_), + .X(_11953_) + ); + sky130_fd_sc_hd__inv_4 _22827_ ( + .A(_11953_), + .Y(_11954_) + ); + sky130_fd_sc_hd__xor2_2 _22828_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03587_), + .X(_11955_) + ); + sky130_fd_sc_hd__a21o_2 _22829_ ( + .A1(_11866_), + .A2(_11867_), + .B1(_11955_), + .X(_11956_) + ); + sky130_fd_sc_hd__nand2_2 _22830_ ( + .A(_11868_), + .B(_11955_), + .Y(_11957_) + ); + sky130_fd_sc_hd__nand2_2 _22831_ ( + .A(_11956_), + .B(_11957_), + .Y(_11958_) + ); + sky130_fd_sc_hd__xnor2_2 _22832_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03583_), + .Y(_11959_) + ); + sky130_fd_sc_hd__nand2_2 _22833_ ( + .A(_03580_), + .B(_11959_), + .Y(_11960_) + ); + sky130_fd_sc_hd__nor2_2 _22834_ ( + .A(_11959_), + .B(_03580_), + .Y(_11961_) + ); + sky130_fd_sc_hd__xor2_2 _22835_ ( + .A(_03580_), + .B(_11959_), + .X(_11962_) + ); + sky130_fd_sc_hd__xnor2_2 _22836_ ( + .A(_03580_), + .B(_11959_), + .Y(_11963_) + ); + sky130_fd_sc_hd__xnor2_2 _22837_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03596_), + .Y(_11964_) + ); + sky130_fd_sc_hd__nand2_2 _22838_ ( + .A(_03593_), + .B(_11964_), + .Y(_11965_) + ); + sky130_fd_sc_hd__a211o_2 _22839_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[12] ), + .A2(_11268_), + .B1(_11872_), + .C1(_11964_), + .X(_11966_) + ); + sky130_fd_sc_hd__and2_4 _22840_ ( + .A(_11965_), + .B(_11966_), + .X(_11967_) + ); + sky130_fd_sc_hd__xor2_2 _22841_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03591_), + .X(_11968_) + ); + sky130_fd_sc_hd__o21bai_2 _22842_ ( + .A1(_11869_), + .A2(_11870_), + .B1_N(_11968_), + .Y(_11969_) + ); + sky130_fd_sc_hd__nand2_2 _22843_ ( + .A(_11871_), + .B(_11968_), + .Y(_11970_) + ); + sky130_fd_sc_hd__nand4_2 _22844_ ( + .A(_11965_), + .B(_11966_), + .C(_11969_), + .D(_11970_), + .Y(_11971_) + ); + sky130_fd_sc_hd__nor3_2 _22845_ ( + .A(_11958_), + .B(_11963_), + .C(_11971_), + .Y(_11972_) + ); + sky130_fd_sc_hd__nand2_2 _22846_ ( + .A(_11953_), + .B(_11972_), + .Y(_11973_) + ); + sky130_fd_sc_hd__a21oi_2 _22847_ ( + .A1(_11927_), + .A2(_11930_), + .B1(_11973_), + .Y(_11974_) + ); + sky130_fd_sc_hd__a21oi_2 _22848_ ( + .A1(_11946_), + .A2(_11950_), + .B1(_11944_), + .Y(_11975_) + ); + sky130_fd_sc_hd__nand2_2 _22849_ ( + .A(_11934_), + .B(_11939_), + .Y(_11976_) + ); + sky130_fd_sc_hd__a22o_2 _22850_ ( + .A1(_11940_), + .A2(_11976_), + .B1(_11942_), + .B2(_11975_), + .X(_11977_) + ); + sky130_fd_sc_hd__nand2_2 _22851_ ( + .A(_11972_), + .B(_11977_), + .Y(_11978_) + ); + sky130_fd_sc_hd__a2bb2o_2 _22852_ ( + .A1_N(_11871_), + .A2_N(_11968_), + .B1(_11964_), + .B2(_03593_), + .X(_11979_) + ); + sky130_fd_sc_hd__nand4b_2 _22853_ ( + .A_N(_11958_), + .B(_11962_), + .C(_11970_), + .D(_11979_), + .Y(_11980_) + ); + sky130_fd_sc_hd__o31a_2 _22854_ ( + .A1(_11868_), + .A2(_11955_), + .A3(_11961_), + .B1(_11960_), + .X(_11981_) + ); + sky130_fd_sc_hd__nand3_2 _22855_ ( + .A(_11978_), + .B(_11980_), + .C(_11981_), + .Y(_11982_) + ); + sky130_fd_sc_hd__nor2_2 _22856_ ( + .A(_11982_), + .B(_11974_), + .Y(_11983_) + ); + sky130_fd_sc_hd__o21bai_2 _22857_ ( + .A1(_11973_), + .A2(_11931_), + .B1_N(_11982_), + .Y(_11984_) + ); + sky130_fd_sc_hd__xnor2_2 _22858_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03553_), + .Y(_11985_) + ); + sky130_fd_sc_hd__nand2_2 _22859_ ( + .A(_03550_), + .B(_11985_), + .Y(_11986_) + ); + sky130_fd_sc_hd__a221o_2 _22860_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[22] ), + .A2(_11268_), + .B1(_11830_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[22] ), + .C1(_11985_), + .X(_11987_) + ); + sky130_fd_sc_hd__nand2_2 _22861_ ( + .A(_11986_), + .B(_11987_), + .Y(_11988_) + ); + sky130_fd_sc_hd__and2_4 _22862_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03549_), + .X(_11989_) + ); + sky130_fd_sc_hd__nor2_2 _22863_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03549_), + .Y(_11990_) + ); + sky130_fd_sc_hd__nor2_2 _22864_ ( + .A(_11989_), + .B(_11990_), + .Y(_11991_) + ); + sky130_fd_sc_hd__xnor2_2 _22865_ ( + .A(_11849_), + .B(_11991_), + .Y(_11992_) + ); + sky130_fd_sc_hd__nor2_2 _22866_ ( + .A(_11988_), + .B(_11992_), + .Y(_11993_) + ); + sky130_fd_sc_hd__xnor2_2 _22867_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03557_), + .Y(_11994_) + ); + sky130_fd_sc_hd__nor2_2 _22868_ ( + .A(_11994_), + .B(_03554_), + .Y(_11995_) + ); + sky130_fd_sc_hd__a221o_2 _22869_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[21] ), + .A2(_11268_), + .B1(_11830_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[21] ), + .C1(_11994_), + .X(_11996_) + ); + sky130_fd_sc_hd__nand2_2 _22870_ ( + .A(_03554_), + .B(_11994_), + .Y(_11997_) + ); + sky130_fd_sc_hd__nand2_2 _22871_ ( + .A(_11996_), + .B(_11997_), + .Y(_11998_) + ); + sky130_fd_sc_hd__xnor2_2 _22872_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03561_), + .Y(_11999_) + ); + sky130_fd_sc_hd__a221o_2 _22873_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[20] ), + .A2(_11268_), + .B1(_11830_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ), + .C1(_11999_), + .X(_12000_) + ); + sky130_fd_sc_hd__nand2_2 _22874_ ( + .A(_03558_), + .B(_11999_), + .Y(_12001_) + ); + sky130_fd_sc_hd__nand2_2 _22875_ ( + .A(_12000_), + .B(_12001_), + .Y(_12002_) + ); + sky130_fd_sc_hd__and4_2 _22876_ ( + .A(_11996_), + .B(_11997_), + .C(_12000_), + .D(_12001_), + .X(_12003_) + ); + sky130_fd_sc_hd__inv_4 _22877_ ( + .A(_12003_), + .Y(_12004_) + ); + sky130_fd_sc_hd__xor2_2 _22878_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03579_), + .X(_12005_) + ); + sky130_fd_sc_hd__xor2_2 _22879_ ( + .A(_03576_), + .B(_12005_), + .X(_12006_) + ); + sky130_fd_sc_hd__xnor2_2 _22880_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03575_), + .Y(_12007_) + ); + sky130_fd_sc_hd__xnor2_2 _22881_ ( + .A(_03572_), + .B(_12007_), + .Y(_12008_) + ); + sky130_fd_sc_hd__xnor2_2 _22882_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03566_), + .Y(_12009_) + ); + sky130_fd_sc_hd__xnor2_2 _22883_ ( + .A(_11857_), + .B(_12009_), + .Y(_12010_) + ); + sky130_fd_sc_hd__xnor2_2 _22884_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03571_), + .Y(_12011_) + ); + sky130_fd_sc_hd__nand2_2 _22885_ ( + .A(_03568_), + .B(_12011_), + .Y(_12012_) + ); + sky130_fd_sc_hd__a211o_2 _22886_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[18] ), + .A2(_11268_), + .B1(_11858_), + .C1(_12011_), + .X(_12013_) + ); + sky130_fd_sc_hd__and2_4 _22887_ ( + .A(_12012_), + .B(_12013_), + .X(_12014_) + ); + sky130_fd_sc_hd__nand2_2 _22888_ ( + .A(_12012_), + .B(_12013_), + .Y(_12015_) + ); + sky130_fd_sc_hd__nand2_2 _22889_ ( + .A(_12010_), + .B(_12014_), + .Y(_12016_) + ); + sky130_fd_sc_hd__nor3_2 _22890_ ( + .A(_12006_), + .B(_12008_), + .C(_12016_), + .Y(_12017_) + ); + sky130_fd_sc_hd__and3_2 _22891_ ( + .A(_11993_), + .B(_12003_), + .C(_12017_), + .X(_12018_) + ); + sky130_fd_sc_hd__nand3_2 _22892_ ( + .A(_11993_), + .B(_12003_), + .C(_12017_), + .Y(_12019_) + ); + sky130_fd_sc_hd__o21bai_2 _22893_ ( + .A1(_11982_), + .A2(_11974_), + .B1_N(_12019_), + .Y(_12020_) + ); + sky130_fd_sc_hd__a2bb2o_2 _22894_ ( + .A1_N(_12005_), + .A2_N(_11863_), + .B1(_03572_), + .B2(_12007_), + .X(_12021_) + ); + sky130_fd_sc_hd__o21ai_2 _22895_ ( + .A1(_03572_), + .A2(_12007_), + .B1(_12021_), + .Y(_12022_) + ); + sky130_fd_sc_hd__o2111ai_2 _22896_ ( + .A1(_03572_), + .A2(_12007_), + .B1(_12010_), + .C1(_12021_), + .D1(_12014_), + .Y(_12023_) + ); + sky130_fd_sc_hd__o211a_2 _22897_ ( + .A1(_12009_), + .A2(_03563_), + .B1(_03568_), + .C1(_12011_), + .X(_12024_) + ); + sky130_fd_sc_hd__a21oi_2 _22898_ ( + .A1(_03563_), + .A2(_12009_), + .B1(_12024_), + .Y(_12025_) + ); + sky130_fd_sc_hd__nand2_2 _22899_ ( + .A(_12023_), + .B(_12025_), + .Y(_12026_) + ); + sky130_fd_sc_hd__nand3_2 _22900_ ( + .A(_12026_), + .B(_12003_), + .C(_11993_), + .Y(_12027_) + ); + sky130_fd_sc_hd__a21boi_2 _22901_ ( + .A1(_03558_), + .A2(_11999_), + .B1_N(_11997_), + .Y(_12028_) + ); + sky130_fd_sc_hd__nand3b_2 _22902_ ( + .A_N(_12028_), + .B(_11993_), + .C(_11996_), + .Y(_12029_) + ); + sky130_fd_sc_hd__o311a_2 _22903_ ( + .A1(_11989_), + .A2(_11990_), + .A3(_03546_), + .B1(_03550_), + .C1(_11985_), + .X(_12030_) + ); + sky130_fd_sc_hd__o21ba_2 _22904_ ( + .A1(_11849_), + .A2(_11991_), + .B1_N(_12030_), + .X(_12031_) + ); + sky130_fd_sc_hd__and3_2 _22905_ ( + .A(_12027_), + .B(_12029_), + .C(_12031_), + .X(_12032_) + ); + sky130_fd_sc_hd__nand3_2 _22906_ ( + .A(_12027_), + .B(_12029_), + .C(_12031_), + .Y(_12033_) + ); + sky130_fd_sc_hd__a21oi_2 _22907_ ( + .A1(_11984_), + .A2(_12018_), + .B1(_12033_), + .Y(_12034_) + ); + sky130_fd_sc_hd__and2_4 _22908_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03533_), + .X(_12035_) + ); + sky130_fd_sc_hd__nor2_2 _22909_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03533_), + .Y(_12036_) + ); + sky130_fd_sc_hd__o21a_2 _22910_ ( + .A1(_12035_), + .A2(_12036_), + .B1(_03530_), + .X(_12037_) + ); + sky130_fd_sc_hd__a2111o_2 _22911_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[27] ), + .A2(_11268_), + .B1(_11840_), + .C1(_12035_), + .D1(_12036_), + .X(_12038_) + ); + sky130_fd_sc_hd__nand2b_2 _22912_ ( + .A_N(_12037_), + .B(_12038_), + .Y(_12039_) + ); + sky130_fd_sc_hd__xnor2_2 _22913_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03537_), + .Y(_12040_) + ); + sky130_fd_sc_hd__and2_4 _22914_ ( + .A(_03534_), + .B(_12040_), + .X(_12041_) + ); + sky130_fd_sc_hd__a221o_2 _22915_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[26] ), + .A2(_11268_), + .B1(_11830_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ), + .C1(_12040_), + .X(_12042_) + ); + sky130_fd_sc_hd__nand2b_2 _22916_ ( + .A_N(_12041_), + .B(_12042_), + .Y(_12043_) + ); + sky130_fd_sc_hd__nor2_2 _22917_ ( + .A(_12039_), + .B(_12043_), + .Y(_12044_) + ); + sky130_fd_sc_hd__xnor2_2 _22918_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03541_), + .Y(_12045_) + ); + sky130_fd_sc_hd__nor2_2 _22919_ ( + .A(_12045_), + .B(_03538_), + .Y(_12046_) + ); + sky130_fd_sc_hd__a221o_2 _22920_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[25] ), + .A2(_11268_), + .B1(_11830_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ), + .C1(_12045_), + .X(_12047_) + ); + sky130_fd_sc_hd__nand2_2 _22921_ ( + .A(_03538_), + .B(_12045_), + .Y(_12048_) + ); + sky130_fd_sc_hd__xnor2_2 _22922_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03545_), + .Y(_12049_) + ); + sky130_fd_sc_hd__nand2_2 _22923_ ( + .A(_03542_), + .B(_12049_), + .Y(_12050_) + ); + sky130_fd_sc_hd__a221o_2 _22924_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[24] ), + .A2(_11268_), + .B1(_11830_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[24] ), + .C1(_12049_), + .X(_12051_) + ); + sky130_fd_sc_hd__nand2_2 _22925_ ( + .A(_12050_), + .B(_12051_), + .Y(_12052_) + ); + sky130_fd_sc_hd__nand4_2 _22926_ ( + .A(_12047_), + .B(_12048_), + .C(_12050_), + .D(_12051_), + .Y(_12053_) + ); + sky130_fd_sc_hd__nand4bb_2 _22927_ ( + .A_N(_12046_), + .B_N(_12052_), + .C(_12044_), + .D(_12048_), + .Y(_12054_) + ); + sky130_fd_sc_hd__a21oi_2 _22928_ ( + .A1(_12020_), + .A2(_12032_), + .B1(_12054_), + .Y(_12055_) + ); + sky130_fd_sc_hd__o21ai_2 _22929_ ( + .A1(_12050_), + .A2(_12046_), + .B1(_12048_), + .Y(_12056_) + ); + sky130_fd_sc_hd__o311a_2 _22930_ ( + .A1(_12035_), + .A2(_12036_), + .A3(_03530_), + .B1(_12040_), + .C1(_03534_), + .X(_12057_) + ); + sky130_fd_sc_hd__a211o_2 _22931_ ( + .A1(_12044_), + .A2(_12056_), + .B1(_12057_), + .C1(_12037_), + .X(_12058_) + ); + sky130_fd_sc_hd__o21bai_2 _22932_ ( + .A1(_12054_), + .A2(_12034_), + .B1_N(_12058_), + .Y(_12059_) + ); + sky130_fd_sc_hd__xnor2_2 _22933_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03525_), + .Y(_12060_) + ); + sky130_fd_sc_hd__xnor2_2 _22934_ ( + .A(_11837_), + .B(_12060_), + .Y(_12061_) + ); + sky130_fd_sc_hd__xnor2_2 _22935_ ( + .A(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .B(_03529_), + .Y(_12062_) + ); + sky130_fd_sc_hd__and2_4 _22936_ ( + .A(_03526_), + .B(_12062_), + .X(_12063_) + ); + sky130_fd_sc_hd__nor2_2 _22937_ ( + .A(_03526_), + .B(_12062_), + .Y(_12064_) + ); + sky130_fd_sc_hd__nor2_2 _22938_ ( + .A(_12063_), + .B(_12064_), + .Y(_12065_) + ); + sky130_fd_sc_hd__nand2_2 _22939_ ( + .A(_12061_), + .B(_12065_), + .Y(_12066_) + ); + sky130_fd_sc_hd__inv_4 _22940_ ( + .A(_12066_), + .Y(_12067_) + ); + sky130_fd_sc_hd__o21bai_2 _22941_ ( + .A1(_12058_), + .A2(_12055_), + .B1_N(_12066_), + .Y(_12068_) + ); + sky130_fd_sc_hd__a21oi_2 _22942_ ( + .A1(_03522_), + .A2(_12060_), + .B1(_12063_), + .Y(_12069_) + ); + sky130_fd_sc_hd__o21ba_2 _22943_ ( + .A1(_03522_), + .A2(_12060_), + .B1_N(_12069_), + .X(_12070_) + ); + sky130_fd_sc_hd__o21bai_2 _22944_ ( + .A1(_03522_), + .A2(_12060_), + .B1_N(_12069_), + .Y(_12071_) + ); + sky130_fd_sc_hd__a21oi_2 _22945_ ( + .A1(_12059_), + .A2(_12067_), + .B1(_12070_), + .Y(_12072_) + ); + sky130_fd_sc_hd__a221o_2 _22946_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[30] ), + .A2(_11268_), + .B1(_11830_), + .B2(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ), + .C1(_11884_), + .X(_12073_) + ); + sky130_fd_sc_hd__nand2_2 _22947_ ( + .A(_11886_), + .B(_12073_), + .Y(_12074_) + ); + sky130_fd_sc_hd__a21oi_2 _22948_ ( + .A1(_12068_), + .A2(_12071_), + .B1(_12074_), + .Y(_12075_) + ); + sky130_fd_sc_hd__o211ai_2 _22949_ ( + .A1(_12074_), + .A2(_12072_), + .B1(_11886_), + .C1(_11883_), + .Y(_12076_) + ); + sky130_fd_sc_hd__o22ai_2 _22950_ ( + .A1(_11881_), + .A2(_11882_), + .B1(_11885_), + .B2(_12075_), + .Y(_12077_) + ); + sky130_fd_sc_hd__nand2_2 _22951_ ( + .A(_12076_), + .B(_12077_), + .Y(_03634_) + ); + sky130_fd_sc_hd__xor2_2 _22952_ ( + .A(_03517_), + .B(_03513_), + .X(_03636_) + ); + sky130_fd_sc_hd__nor2_2 _22953_ ( + .A(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .B(_03592_), + .Y(_12078_) + ); + sky130_fd_sc_hd__nand2b_2 _22954_ ( + .A_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .Y(_12079_) + ); + sky130_fd_sc_hd__and2b_2 _22955_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .B(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .X(_12080_) + ); + sky130_fd_sc_hd__nand2_2 _22956_ ( + .A(_03592_), + .B(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .Y(_12081_) + ); + sky130_fd_sc_hd__nand2_2 _22957_ ( + .A(_12079_), + .B(_12081_), + .Y(_12082_) + ); + sky130_fd_sc_hd__inv_4 _22958_ ( + .A(_12082_), + .Y(_03640_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22959_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_05065_), + .B2(_04931_), + .X(_12083_) + ); + sky130_fd_sc_hd__a221o_2 _22960_ ( + .A1(_05065_), + .A2(_04931_), + .B1(_12079_), + .B2(_12081_), + .C1(_12083_), + .X(_03641_) + ); + sky130_fd_sc_hd__a2bb2o_2 _22961_ ( + .A1_N(_11829_), + .A2_N(_03642_), + .B1(_04797_), + .B2(_11828_), + .X(_03643_) + ); + sky130_fd_sc_hd__and2b_2 _22962_ ( + .A_N(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[0] ), + .X(_03646_) + ); + sky130_fd_sc_hd__and2b_2 _22963_ ( + .A_N(_03646_), + .B(_03645_), + .X(_03647_) + ); + sky130_fd_sc_hd__a22o_2 _22964_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_834 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[0] ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mtval[0] ), + .X(_12084_) + ); + sky130_fd_sc_hd__a221o_2 _22965_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[0] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mepc[0] ), + .C1(_12084_), + .X(_12085_) + ); + sky130_fd_sc_hd__a31o_2 _22966_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[0] ), + .A2(\soc.core.VexRiscv.externalInterruptArray_regNext[0] ), + .A3(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .B1(_12085_), + .X(_03649_) + ); + sky130_fd_sc_hd__xor2_2 _22967_ ( + .A(_05071_), + .B(_11199_), + .X(_03650_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22968_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_05070_), + .B2(_11199_), + .X(_12086_) + ); + sky130_fd_sc_hd__a211o_2 _22969_ ( + .A1(_05070_), + .A2(_11199_), + .B1(_03640_), + .C1(_12086_), + .X(_03651_) + ); + sky130_fd_sc_hd__nor2_2 _22970_ ( + .A(_03652_), + .B(_11829_), + .Y(_03653_) + ); + sky130_fd_sc_hd__a22o_2 _22971_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[1] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[1] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12087_) + ); + sky130_fd_sc_hd__a221o_2 _22972_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[1] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_834 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[1] ), + .C1(_12087_), + .X(_12088_) + ); + sky130_fd_sc_hd__a31o_2 _22973_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[1] ), + .A2(\soc.core.VexRiscv.externalInterruptArray_regNext[1] ), + .A3(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .B1(_12088_), + .X(_03659_) + ); + sky130_fd_sc_hd__xor2_2 _22974_ ( + .A(_11891_), + .B(_11893_), + .X(_03660_) + ); + sky130_fd_sc_hd__xor2_2 _22975_ ( + .A(_05033_), + .B(_03633_), + .X(_03661_) + ); + sky130_fd_sc_hd__a31o_2 _22976_ ( + .A1(_12078_), + .A2(_05077_), + .A3(_03633_), + .B1(_12080_), + .X(_12089_) + ); + sky130_fd_sc_hd__o21ai_2 _22977_ ( + .A1(_05077_), + .A2(_03633_), + .B1(_12089_), + .Y(_03662_) + ); + sky130_fd_sc_hd__nor2_2 _22978_ ( + .A(_03663_), + .B(_11829_), + .Y(_03664_) + ); + sky130_fd_sc_hd__a22o_2 _22979_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_834 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[2] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[2] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12090_) + ); + sky130_fd_sc_hd__a221o_2 _22980_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[2] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mepc[2] ), + .C1(_12090_), + .X(_12091_) + ); + sky130_fd_sc_hd__a31o_2 _22981_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[2] ), + .A2(\soc.core.VexRiscv.externalInterruptArray_regNext[2] ), + .A3(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .B1(_12091_), + .X(_03670_) + ); + sky130_fd_sc_hd__nand2b_2 _22982_ ( + .A_N(_11898_), + .B(_11901_), + .Y(_12092_) + ); + sky130_fd_sc_hd__a21o_2 _22983_ ( + .A1(_11893_), + .A2(_11891_), + .B1(_11889_), + .X(_12093_) + ); + sky130_fd_sc_hd__xnor2_2 _22984_ ( + .A(_12092_), + .B(_12093_), + .Y(_03671_) + ); + sky130_fd_sc_hd__xnor2_2 _22985_ ( + .A(_05037_), + .B(_03631_), + .Y(_03672_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22986_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_05037_), + .B2(_03631_), + .X(_12094_) + ); + sky130_fd_sc_hd__a211o_2 _22987_ ( + .A1(_05037_), + .A2(_03631_), + .B1(_03640_), + .C1(_12094_), + .X(_03673_) + ); + sky130_fd_sc_hd__nor2_2 _22988_ ( + .A(_03674_), + .B(_11829_), + .Y(_03675_) + ); + sky130_fd_sc_hd__a22o_2 _22989_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_mstatus_MIE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .B1(\soc.core.VexRiscv.CsrPlugin_mepc[3] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .X(_12095_) + ); + sky130_fd_sc_hd__a22o_2 _22990_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_mie_MSIE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ), + .B1(\soc.core.VexRiscv.CsrPlugin_mip_MSIP ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_836 ), + .X(_12096_) + ); + sky130_fd_sc_hd__a32o_2 _22991_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[3] ), + .A2(\soc.core.VexRiscv.externalInterruptArray_regNext[3] ), + .A3(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .B1(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[3] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_834 ), + .X(_12097_) + ); + sky130_fd_sc_hd__a211o_2 _22992_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[3] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12095_), + .C1(_12097_), + .X(_12098_) + ); + sky130_fd_sc_hd__a211o_2 _22993_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mtval[3] ), + .B1(_12096_), + .C1(_12098_), + .X(_03680_) + ); + sky130_fd_sc_hd__xor2_2 _22994_ ( + .A(_11902_), + .B(_11920_), + .X(_03681_) + ); + sky130_fd_sc_hd__xnor2_2 _22995_ ( + .A(_05041_), + .B(_03627_), + .Y(_03682_) + ); + sky130_fd_sc_hd__o2bb2a_2 _22996_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_05041_), + .B2(_03627_), + .X(_12099_) + ); + sky130_fd_sc_hd__a211o_2 _22997_ ( + .A1(_05041_), + .A2(_03627_), + .B1(_03640_), + .C1(_12099_), + .X(_03683_) + ); + sky130_fd_sc_hd__nor2_2 _22998_ ( + .A(_03684_), + .B(_11829_), + .Y(_03685_) + ); + sky130_fd_sc_hd__and3_2 _22999_ ( + .A(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[4] ), + .B(\soc.core.VexRiscv.externalInterruptArray_regNext[4] ), + .C(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .X(_12100_) + ); + sky130_fd_sc_hd__a22o_2 _23000_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[4] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[4] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12101_) + ); + sky130_fd_sc_hd__a211o_2 _23001_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[4] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12100_), + .C1(_12101_), + .X(_03690_) + ); + sky130_fd_sc_hd__o21ba_2 _23002_ ( + .A1(_11919_), + .A2(_11903_), + .B1_N(_11918_), + .X(_12102_) + ); + sky130_fd_sc_hd__xnor2_2 _23003_ ( + .A(_11925_), + .B(_12102_), + .Y(_03691_) + ); + sky130_fd_sc_hd__nand3b_2 _23004_ ( + .A_N(_03624_), + .B(_11268_), + .C(\soc.core.VexRiscv.decode_to_execute_RS1[5] ), + .Y(_12103_) + ); + sky130_fd_sc_hd__o31ai_2 _23005_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04853_), + .B1(_03624_), + .Y(_12104_) + ); + sky130_fd_sc_hd__nand2_2 _23006_ ( + .A(_12103_), + .B(_12104_), + .Y(_03692_) + ); + sky130_fd_sc_hd__and4b_2 _23007_ ( + .A_N(_03624_), + .B(_12082_), + .C(_11268_), + .D(\soc.core.VexRiscv.decode_to_execute_RS1[5] ), + .X(_12105_) + ); + sky130_fd_sc_hd__a21oi_2 _23008_ ( + .A1(_12080_), + .A2(_12104_), + .B1(_12105_), + .Y(_03693_) + ); + sky130_fd_sc_hd__nor2_2 _23009_ ( + .A(_03694_), + .B(_11829_), + .Y(_03695_) + ); + sky130_fd_sc_hd__a22o_2 _23010_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[5] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[5] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12106_) + ); + sky130_fd_sc_hd__a221o_2 _23011_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[5] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .B2(_11434_), + .C1(_12106_), + .X(_03700_) + ); + sky130_fd_sc_hd__and3_2 _23012_ ( + .A(_11902_), + .B(_11920_), + .C(_11925_), + .X(_12107_) + ); + sky130_fd_sc_hd__a311oi_2 _23013_ ( + .A1(_11902_), + .A2(_11920_), + .A3(_11925_), + .B1(_11928_), + .C1(_11908_), + .Y(_12108_) + ); + sky130_fd_sc_hd__o21a_2 _23014_ ( + .A1(_11928_), + .A2(_12107_), + .B1(_11908_), + .X(_12109_) + ); + sky130_fd_sc_hd__nor2_2 _23015_ ( + .A(_12108_), + .B(_12109_), + .Y(_03701_) + ); + sky130_fd_sc_hd__o31ai_2 _23016_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04855_), + .B1(_03620_), + .Y(_12110_) + ); + sky130_fd_sc_hd__nand3b_2 _23017_ ( + .A_N(_03620_), + .B(_11268_), + .C(\soc.core.VexRiscv.decode_to_execute_RS1[6] ), + .Y(_12111_) + ); + sky130_fd_sc_hd__nand2_2 _23018_ ( + .A(_12110_), + .B(_12111_), + .Y(_03702_) + ); + sky130_fd_sc_hd__and4b_2 _23019_ ( + .A_N(_03620_), + .B(_12082_), + .C(_11268_), + .D(\soc.core.VexRiscv.decode_to_execute_RS1[6] ), + .X(_12112_) + ); + sky130_fd_sc_hd__a21oi_2 _23020_ ( + .A1(_12080_), + .A2(_12110_), + .B1(_12112_), + .Y(_03703_) + ); + sky130_fd_sc_hd__nor2_2 _23021_ ( + .A(_03704_), + .B(_11829_), + .Y(_03705_) + ); + sky130_fd_sc_hd__a22o_2 _23022_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[6] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[6] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12113_) + ); + sky130_fd_sc_hd__and3_2 _23023_ ( + .A(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[6] ), + .B(\soc.core.VexRiscv.externalInterruptArray_regNext[6] ), + .C(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .X(_12114_) + ); + sky130_fd_sc_hd__a211o_2 _23024_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[6] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12114_), + .C1(_12113_), + .X(_03710_) + ); + sky130_fd_sc_hd__nor3_2 _23025_ ( + .A(_11907_), + .B(_11914_), + .C(_12109_), + .Y(_12115_) + ); + sky130_fd_sc_hd__o21ai_2 _23026_ ( + .A1(_11907_), + .A2(_12109_), + .B1(_11914_), + .Y(_12116_) + ); + sky130_fd_sc_hd__nand2b_2 _23027_ ( + .A_N(_12115_), + .B(_12116_), + .Y(_03711_) + ); + sky130_fd_sc_hd__o31ai_2 _23028_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04857_), + .B1(_03616_), + .Y(_12117_) + ); + sky130_fd_sc_hd__nand3b_2 _23029_ ( + .A_N(_03616_), + .B(_11268_), + .C(\soc.core.VexRiscv.decode_to_execute_RS1[7] ), + .Y(_12118_) + ); + sky130_fd_sc_hd__nand2_2 _23030_ ( + .A(_12117_), + .B(_12118_), + .Y(_03712_) + ); + sky130_fd_sc_hd__and4b_2 _23031_ ( + .A_N(_03616_), + .B(_12082_), + .C(_11268_), + .D(\soc.core.VexRiscv.decode_to_execute_RS1[7] ), + .X(_12119_) + ); + sky130_fd_sc_hd__a21oi_2 _23032_ ( + .A1(_12080_), + .A2(_12117_), + .B1(_12119_), + .Y(_03713_) + ); + sky130_fd_sc_hd__nor2_2 _23033_ ( + .A(_03714_), + .B(_11829_), + .Y(_03715_) + ); + sky130_fd_sc_hd__and3_2 _23034_ ( + .A(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[7] ), + .B(\soc.core.VexRiscv.externalInterruptArray_regNext[7] ), + .C(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .X(_12120_) + ); + sky130_fd_sc_hd__a22o_2 _23035_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_mie_MTIE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mtval[7] ), + .X(_12121_) + ); + sky130_fd_sc_hd__a221o_2 _23036_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mstatus_MPIE ), + .B1(\soc.core.VexRiscv.CsrPlugin_mepc[7] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .C1(_12121_), + .X(_12122_) + ); + sky130_fd_sc_hd__a211o_2 _23037_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[7] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12120_), + .C1(_12122_), + .X(_03720_) + ); + sky130_fd_sc_hd__and3_2 _23038_ ( + .A(_11927_), + .B(_11930_), + .C(_11952_), + .X(_12123_) + ); + sky130_fd_sc_hd__a21oi_2 _23039_ ( + .A1(_11927_), + .A2(_11930_), + .B1(_11952_), + .Y(_12124_) + ); + sky130_fd_sc_hd__nor2_2 _23040_ ( + .A(_12123_), + .B(_12124_), + .Y(_03721_) + ); + sky130_fd_sc_hd__nand3b_2 _23041_ ( + .A_N(_03612_), + .B(_11268_), + .C(\soc.core.VexRiscv.decode_to_execute_RS1[8] ), + .Y(_12125_) + ); + sky130_fd_sc_hd__o31ai_2 _23042_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04859_), + .B1(_03612_), + .Y(_12126_) + ); + sky130_fd_sc_hd__nand2_2 _23043_ ( + .A(_12125_), + .B(_12126_), + .Y(_03722_) + ); + sky130_fd_sc_hd__and4b_2 _23044_ ( + .A_N(_03612_), + .B(_12082_), + .C(_11268_), + .D(\soc.core.VexRiscv.decode_to_execute_RS1[8] ), + .X(_12127_) + ); + sky130_fd_sc_hd__a21oi_2 _23045_ ( + .A1(_12080_), + .A2(_12126_), + .B1(_12127_), + .Y(_03723_) + ); + sky130_fd_sc_hd__nor2_2 _23046_ ( + .A(_03724_), + .B(_11829_), + .Y(_03725_) + ); + sky130_fd_sc_hd__a22o_2 _23047_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[8] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[8] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12128_) + ); + sky130_fd_sc_hd__a21o_2 _23048_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[8] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12128_), + .X(_03730_) + ); + sky130_fd_sc_hd__a2bb2o_2 _23049_ ( + .A1_N(_11949_), + .A2_N(_11951_), + .B1(_11950_), + .B2(_11931_), + .X(_12129_) + ); + sky130_fd_sc_hd__xor2_2 _23050_ ( + .A(_11947_), + .B(_12129_), + .X(_03731_) + ); + sky130_fd_sc_hd__nor4_2 _23051_ ( + .A(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .C(_03608_), + .D(_04861_), + .Y(_12130_) + ); + sky130_fd_sc_hd__o31ai_2 _23052_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04861_), + .B1(_03608_), + .Y(_12131_) + ); + sky130_fd_sc_hd__nand2b_2 _23053_ ( + .A_N(_12130_), + .B(_12131_), + .Y(_03732_) + ); + sky130_fd_sc_hd__o211ai_2 _23054_ ( + .A1(_12080_), + .A2(_12130_), + .B1(_12131_), + .C1(_12082_), + .Y(_03733_) + ); + sky130_fd_sc_hd__nor2_2 _23055_ ( + .A(_03734_), + .B(_11829_), + .Y(_03735_) + ); + sky130_fd_sc_hd__a22o_2 _23056_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[9] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[9] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12132_) + ); + sky130_fd_sc_hd__a21o_2 _23057_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[9] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12132_), + .X(_03740_) + ); + sky130_fd_sc_hd__a31o_2 _23058_ ( + .A1(_12124_), + .A2(_11946_), + .A3(_11945_), + .B1(_11975_), + .X(_12133_) + ); + sky130_fd_sc_hd__xor2_2 _23059_ ( + .A(_11936_), + .B(_12133_), + .X(_03741_) + ); + sky130_fd_sc_hd__o31ai_2 _23060_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A3(_04863_), + .B1(_03604_), + .Y(_12134_) + ); + sky130_fd_sc_hd__nand3b_2 _23061_ ( + .A_N(_03604_), + .B(_11268_), + .C(\soc.core.VexRiscv.decode_to_execute_RS1[10] ), + .Y(_12135_) + ); + sky130_fd_sc_hd__nand2_2 _23062_ ( + .A(_12134_), + .B(_12135_), + .Y(_03742_) + ); + sky130_fd_sc_hd__and4b_2 _23063_ ( + .A_N(_03604_), + .B(_12082_), + .C(_11268_), + .D(\soc.core.VexRiscv.decode_to_execute_RS1[10] ), + .X(_12136_) + ); + sky130_fd_sc_hd__a21oi_2 _23064_ ( + .A1(_12080_), + .A2(_12134_), + .B1(_12136_), + .Y(_03743_) + ); + sky130_fd_sc_hd__nor2_2 _23065_ ( + .A(_03744_), + .B(_11829_), + .Y(_03745_) + ); + sky130_fd_sc_hd__a22o_2 _23066_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[10] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[10] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12137_) + ); + sky130_fd_sc_hd__a21o_2 _23067_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[10] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12137_), + .X(_03750_) + ); + sky130_fd_sc_hd__a21bo_2 _23068_ ( + .A1(_12133_), + .A2(_11936_), + .B1_N(_11934_), + .X(_12138_) + ); + sky130_fd_sc_hd__xnor2_2 _23069_ ( + .A(_11941_), + .B(_12138_), + .Y(_03751_) + ); + sky130_fd_sc_hd__a21bo_2 _23070_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_RS1[11] ), + .A2(_11268_), + .B1_N(_03600_), + .X(_12139_) + ); + sky130_fd_sc_hd__nand3b_2 _23071_ ( + .A_N(_03600_), + .B(_11268_), + .C(\soc.core.VexRiscv.decode_to_execute_RS1[11] ), + .Y(_12140_) + ); + sky130_fd_sc_hd__nand2_2 _23072_ ( + .A(_12139_), + .B(_12140_), + .Y(_03752_) + ); + sky130_fd_sc_hd__and4b_2 _23073_ ( + .A_N(_03600_), + .B(_12082_), + .C(_11268_), + .D(\soc.core.VexRiscv.decode_to_execute_RS1[11] ), + .X(_12141_) + ); + sky130_fd_sc_hd__a21oi_2 _23074_ ( + .A1(_12080_), + .A2(_12139_), + .B1(_12141_), + .Y(_03753_) + ); + sky130_fd_sc_hd__nor2_2 _23075_ ( + .A(_03754_), + .B(_11829_), + .Y(_03755_) + ); + sky130_fd_sc_hd__a22o_2 _23076_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_mie_MEIE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mstatus_MPP[0] ), + .X(_12142_) + ); + sky130_fd_sc_hd__a221o_2 _23077_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_mip_MEIP ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_836 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mepc[11] ), + .C1(_12142_), + .X(_12143_) + ); + sky130_fd_sc_hd__a221o_2 _23078_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[11] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mtval[11] ), + .C1(_12143_), + .X(_03760_) + ); + sky130_fd_sc_hd__o21bai_2 _23079_ ( + .A1(_11954_), + .A2(_11931_), + .B1_N(_11977_), + .Y(_12144_) + ); + sky130_fd_sc_hd__and2_4 _23080_ ( + .A(_12144_), + .B(_11967_), + .X(_12145_) + ); + sky130_fd_sc_hd__a21oi_2 _23081_ ( + .A1(_11965_), + .A2(_11966_), + .B1(_12144_), + .Y(_12146_) + ); + sky130_fd_sc_hd__nor2_2 _23082_ ( + .A(_12145_), + .B(_12146_), + .Y(_03761_) + ); + sky130_fd_sc_hd__xor2_2 _23083_ ( + .A(_03596_), + .B(_03593_), + .X(_03762_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23084_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03596_), + .B2(_11873_), + .X(_12147_) + ); + sky130_fd_sc_hd__a211o_2 _23085_ ( + .A1(_03596_), + .A2(_11873_), + .B1(_03640_), + .C1(_12147_), + .X(_03763_) + ); + sky130_fd_sc_hd__nor2_2 _23086_ ( + .A(_03764_), + .B(_11829_), + .Y(_03765_) + ); + sky130_fd_sc_hd__a22o_2 _23087_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[12] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mtval[12] ), + .X(_12148_) + ); + sky130_fd_sc_hd__a221o_2 _23088_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mstatus_MPP[1] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mepc[12] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .C1(_12148_), + .X(_03770_) + ); + sky130_fd_sc_hd__a21o_2 _23089_ ( + .A1(_03593_), + .A2(_11964_), + .B1(_12145_), + .X(_12149_) + ); + sky130_fd_sc_hd__a21oi_2 _23090_ ( + .A1(_11969_), + .A2(_11970_), + .B1(_12149_), + .Y(_12150_) + ); + sky130_fd_sc_hd__and3_2 _23091_ ( + .A(_11969_), + .B(_11970_), + .C(_12149_), + .X(_12151_) + ); + sky130_fd_sc_hd__nor2_2 _23092_ ( + .A(_12150_), + .B(_12151_), + .Y(_03771_) + ); + sky130_fd_sc_hd__xnor2_2 _23093_ ( + .A(_03591_), + .B(_11871_), + .Y(_03772_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23094_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03591_), + .B2(_11871_), + .X(_12152_) + ); + sky130_fd_sc_hd__a211o_2 _23095_ ( + .A1(_03591_), + .A2(_11871_), + .B1(_03640_), + .C1(_12152_), + .X(_03773_) + ); + sky130_fd_sc_hd__nor2_2 _23096_ ( + .A(_03774_), + .B(_11829_), + .Y(_03775_) + ); + sky130_fd_sc_hd__a22o_2 _23097_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[13] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[13] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12153_) + ); + sky130_fd_sc_hd__a21o_2 _23098_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[13] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12153_), + .X(_03780_) + ); + sky130_fd_sc_hd__o21ai_2 _23099_ ( + .A1(_11979_), + .A2(_12145_), + .B1(_11970_), + .Y(_12154_) + ); + sky130_fd_sc_hd__xor2_2 _23100_ ( + .A(_11958_), + .B(_12154_), + .X(_03781_) + ); + sky130_fd_sc_hd__xor2_2 _23101_ ( + .A(_03587_), + .B(_03584_), + .X(_03782_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23102_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03587_), + .B2(_11868_), + .X(_12155_) + ); + sky130_fd_sc_hd__a311o_2 _23103_ ( + .A1(_03587_), + .A2(_11866_), + .A3(_11867_), + .B1(_03640_), + .C1(_12155_), + .X(_03783_) + ); + sky130_fd_sc_hd__nor2_2 _23104_ ( + .A(_03784_), + .B(_11829_), + .Y(_03785_) + ); + sky130_fd_sc_hd__a22o_2 _23105_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[14] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[14] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12156_) + ); + sky130_fd_sc_hd__a21o_2 _23106_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[14] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12156_), + .X(_03790_) + ); + sky130_fd_sc_hd__o21ai_2 _23107_ ( + .A1(_11958_), + .A2(_12154_), + .B1(_11956_), + .Y(_12157_) + ); + sky130_fd_sc_hd__xor2_2 _23108_ ( + .A(_11962_), + .B(_12157_), + .X(_03791_) + ); + sky130_fd_sc_hd__and3_2 _23109_ ( + .A(_11864_), + .B(_11865_), + .C(_03583_), + .X(_12158_) + ); + sky130_fd_sc_hd__a21o_2 _23110_ ( + .A1(_11864_), + .A2(_11865_), + .B1(_03583_), + .X(_12159_) + ); + sky130_fd_sc_hd__nand2b_2 _23111_ ( + .A_N(_12158_), + .B(_12159_), + .Y(_03792_) + ); + sky130_fd_sc_hd__a211o_2 _23112_ ( + .A1(_12081_), + .A2(_12159_), + .B1(_12158_), + .C1(_03640_), + .X(_03793_) + ); + sky130_fd_sc_hd__nor2_2 _23113_ ( + .A(_03794_), + .B(_11829_), + .Y(_03795_) + ); + sky130_fd_sc_hd__a22o_2 _23114_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[15] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[15] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12160_) + ); + sky130_fd_sc_hd__a21o_2 _23115_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[15] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12160_), + .X(_03800_) + ); + sky130_fd_sc_hd__o21bai_2 _23116_ ( + .A1(_11982_), + .A2(_11974_), + .B1_N(_12006_), + .Y(_12161_) + ); + sky130_fd_sc_hd__xnor2_2 _23117_ ( + .A(_11984_), + .B(_12006_), + .Y(_03801_) + ); + sky130_fd_sc_hd__xor2_2 _23118_ ( + .A(_03579_), + .B(_03576_), + .X(_03802_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23119_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03579_), + .B2(_11863_), + .X(_12162_) + ); + sky130_fd_sc_hd__a211o_2 _23120_ ( + .A1(_03579_), + .A2(_11863_), + .B1(_03640_), + .C1(_12162_), + .X(_03803_) + ); + sky130_fd_sc_hd__nor2_2 _23121_ ( + .A(_03804_), + .B(_11829_), + .Y(_03805_) + ); + sky130_fd_sc_hd__a22o_2 _23122_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[16] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[16] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12163_) + ); + sky130_fd_sc_hd__a21o_2 _23123_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[16] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12163_), + .X(_03810_) + ); + sky130_fd_sc_hd__o21a_2 _23124_ ( + .A1(_11863_), + .A2(_12005_), + .B1(_12161_), + .X(_12164_) + ); + sky130_fd_sc_hd__xor2_2 _23125_ ( + .A(_12008_), + .B(_12164_), + .X(_03811_) + ); + sky130_fd_sc_hd__xor2_2 _23126_ ( + .A(_03575_), + .B(_03572_), + .X(_03812_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23127_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03575_), + .B2(_11861_), + .X(_12165_) + ); + sky130_fd_sc_hd__a211o_2 _23128_ ( + .A1(_03575_), + .A2(_11861_), + .B1(_03640_), + .C1(_12165_), + .X(_03813_) + ); + sky130_fd_sc_hd__nor2_2 _23129_ ( + .A(_03814_), + .B(_11829_), + .Y(_03815_) + ); + sky130_fd_sc_hd__a22o_2 _23130_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[17] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[17] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12166_) + ); + sky130_fd_sc_hd__a21o_2 _23131_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[17] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12166_), + .X(_03820_) + ); + sky130_fd_sc_hd__o31a_2 _23132_ ( + .A1(_12006_), + .A2(_12008_), + .A3(_11983_), + .B1(_12022_), + .X(_12167_) + ); + sky130_fd_sc_hd__xor2_2 _23133_ ( + .A(_12015_), + .B(_12167_), + .X(_03821_) + ); + sky130_fd_sc_hd__xor2_2 _23134_ ( + .A(_03571_), + .B(_03568_), + .X(_03822_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23135_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03571_), + .B2(_11859_), + .X(_12168_) + ); + sky130_fd_sc_hd__a211o_2 _23136_ ( + .A1(_03571_), + .A2(_11859_), + .B1(_03640_), + .C1(_12168_), + .X(_03823_) + ); + sky130_fd_sc_hd__nor2_2 _23137_ ( + .A(_03824_), + .B(_11829_), + .Y(_03825_) + ); + sky130_fd_sc_hd__a22o_2 _23138_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[18] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[18] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12169_) + ); + sky130_fd_sc_hd__a21o_2 _23139_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[18] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12169_), + .X(_03830_) + ); + sky130_fd_sc_hd__o21ai_2 _23140_ ( + .A1(_12015_), + .A2(_12167_), + .B1(_12012_), + .Y(_12170_) + ); + sky130_fd_sc_hd__xor2_2 _23141_ ( + .A(_12010_), + .B(_12170_), + .X(_03831_) + ); + sky130_fd_sc_hd__xnor2_2 _23142_ ( + .A(_03566_), + .B(_11857_), + .Y(_03832_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23143_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03566_), + .B2(_11857_), + .X(_12171_) + ); + sky130_fd_sc_hd__a211o_2 _23144_ ( + .A1(_03566_), + .A2(_11857_), + .B1(_03640_), + .C1(_12171_), + .X(_03833_) + ); + sky130_fd_sc_hd__nor2_2 _23145_ ( + .A(_03834_), + .B(_11829_), + .Y(_03835_) + ); + sky130_fd_sc_hd__a22o_2 _23146_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[19] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[19] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12172_) + ); + sky130_fd_sc_hd__a21o_2 _23147_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[19] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12172_), + .X(_03840_) + ); + sky130_fd_sc_hd__a21oi_2 _23148_ ( + .A1(_11984_), + .A2(_12017_), + .B1(_12026_), + .Y(_12173_) + ); + sky130_fd_sc_hd__xor2_2 _23149_ ( + .A(_12002_), + .B(_12173_), + .X(_03841_) + ); + sky130_fd_sc_hd__xor2_2 _23150_ ( + .A(_03561_), + .B(_03558_), + .X(_03842_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23151_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03561_), + .B2(_11855_), + .X(_12174_) + ); + sky130_fd_sc_hd__a211o_2 _23152_ ( + .A1(_03561_), + .A2(_11855_), + .B1(_03640_), + .C1(_12174_), + .X(_03843_) + ); + sky130_fd_sc_hd__nor2_2 _23153_ ( + .A(_03844_), + .B(_11829_), + .Y(_03845_) + ); + sky130_fd_sc_hd__a22o_2 _23154_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[20] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[20] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12175_) + ); + sky130_fd_sc_hd__a21o_2 _23155_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[20] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12175_), + .X(_03850_) + ); + sky130_fd_sc_hd__o21ai_2 _23156_ ( + .A1(_12002_), + .A2(_12173_), + .B1(_12001_), + .Y(_12176_) + ); + sky130_fd_sc_hd__xnor2_2 _23157_ ( + .A(_11998_), + .B(_12176_), + .Y(_03851_) + ); + sky130_fd_sc_hd__xor2_2 _23158_ ( + .A(_03557_), + .B(_03554_), + .X(_03852_) + ); + sky130_fd_sc_hd__o31ai_2 _23159_ ( + .A1(_03557_), + .A2(_12079_), + .A3(_11853_), + .B1(_12081_), + .Y(_12177_) + ); + sky130_fd_sc_hd__a21bo_2 _23160_ ( + .A1(_03557_), + .A2(_11853_), + .B1_N(_12177_), + .X(_03853_) + ); + sky130_fd_sc_hd__nor2_2 _23161_ ( + .A(_03854_), + .B(_11829_), + .Y(_03855_) + ); + sky130_fd_sc_hd__a22o_2 _23162_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[21] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[21] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12178_) + ); + sky130_fd_sc_hd__a21o_2 _23163_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[21] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12178_), + .X(_03860_) + ); + sky130_fd_sc_hd__o22ai_2 _23164_ ( + .A1(_11995_), + .A2(_12028_), + .B1(_12004_), + .B2(_12173_), + .Y(_12179_) + ); + sky130_fd_sc_hd__xnor2_2 _23165_ ( + .A(_11988_), + .B(_12179_), + .Y(_03861_) + ); + sky130_fd_sc_hd__xor2_2 _23166_ ( + .A(_03553_), + .B(_03550_), + .X(_03862_) + ); + sky130_fd_sc_hd__a21o_2 _23167_ ( + .A1(_03553_), + .A2(_11851_), + .B1(_12081_), + .X(_12180_) + ); + sky130_fd_sc_hd__o31a_2 _23168_ ( + .A1(_03553_), + .A2(_11851_), + .A3(_03640_), + .B1(_12180_), + .X(_03863_) + ); + sky130_fd_sc_hd__nor2_2 _23169_ ( + .A(_03864_), + .B(_11829_), + .Y(_03865_) + ); + sky130_fd_sc_hd__a22o_2 _23170_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[22] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[22] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12181_) + ); + sky130_fd_sc_hd__a21o_2 _23171_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[22] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12181_), + .X(_03870_) + ); + sky130_fd_sc_hd__a21boi_2 _23172_ ( + .A1(_12179_), + .A2(_11987_), + .B1_N(_11986_), + .Y(_12182_) + ); + sky130_fd_sc_hd__xor2_2 _23173_ ( + .A(_11992_), + .B(_12182_), + .X(_03871_) + ); + sky130_fd_sc_hd__xor2_2 _23174_ ( + .A(_03549_), + .B(_03546_), + .X(_03872_) + ); + sky130_fd_sc_hd__a21o_2 _23175_ ( + .A1(_03549_), + .A2(_11849_), + .B1(_12081_), + .X(_12183_) + ); + sky130_fd_sc_hd__o31a_2 _23176_ ( + .A1(_03549_), + .A2(_11849_), + .A3(_03640_), + .B1(_12183_), + .X(_03873_) + ); + sky130_fd_sc_hd__nor2_2 _23177_ ( + .A(_03874_), + .B(_11829_), + .Y(_03875_) + ); + sky130_fd_sc_hd__a22o_2 _23178_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[23] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[23] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12184_) + ); + sky130_fd_sc_hd__a21o_2 _23179_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[23] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12184_), + .X(_03880_) + ); + sky130_fd_sc_hd__xor2_2 _23180_ ( + .A(_12034_), + .B(_12052_), + .X(_03881_) + ); + sky130_fd_sc_hd__xor2_2 _23181_ ( + .A(_03545_), + .B(_03542_), + .X(_03882_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23182_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03545_), + .B2(_11847_), + .X(_12185_) + ); + sky130_fd_sc_hd__a211o_2 _23183_ ( + .A1(_03545_), + .A2(_11847_), + .B1(_03640_), + .C1(_12185_), + .X(_03883_) + ); + sky130_fd_sc_hd__nor2_2 _23184_ ( + .A(_03884_), + .B(_11829_), + .Y(_03885_) + ); + sky130_fd_sc_hd__a22o_2 _23185_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[24] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[24] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12186_) + ); + sky130_fd_sc_hd__a21o_2 _23186_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[24] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12186_), + .X(_03890_) + ); + sky130_fd_sc_hd__o21a_2 _23187_ ( + .A1(_12052_), + .A2(_12034_), + .B1(_12050_), + .X(_12187_) + ); + sky130_fd_sc_hd__a21oi_2 _23188_ ( + .A1(_12047_), + .A2(_12048_), + .B1(_12187_), + .Y(_12188_) + ); + sky130_fd_sc_hd__o2111ai_2 _23189_ ( + .A1(_12052_), + .A2(_12034_), + .B1(_12048_), + .C1(_12050_), + .D1(_12047_), + .Y(_12189_) + ); + sky130_fd_sc_hd__nand2b_2 _23190_ ( + .A_N(_12188_), + .B(_12189_), + .Y(_03891_) + ); + sky130_fd_sc_hd__xor2_2 _23191_ ( + .A(_03541_), + .B(_03538_), + .X(_03892_) + ); + sky130_fd_sc_hd__o31ai_2 _23192_ ( + .A1(_03541_), + .A2(_12079_), + .A3(_11845_), + .B1(_12081_), + .Y(_12190_) + ); + sky130_fd_sc_hd__a21bo_2 _23193_ ( + .A1(_03541_), + .A2(_11845_), + .B1_N(_12190_), + .X(_03893_) + ); + sky130_fd_sc_hd__nor2_2 _23194_ ( + .A(_03894_), + .B(_11829_), + .Y(_03895_) + ); + sky130_fd_sc_hd__a22o_2 _23195_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[25] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[25] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12191_) + ); + sky130_fd_sc_hd__a21o_2 _23196_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[25] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12191_), + .X(_03900_) + ); + sky130_fd_sc_hd__o21bai_2 _23197_ ( + .A1(_12053_), + .A2(_12034_), + .B1_N(_12056_), + .Y(_12192_) + ); + sky130_fd_sc_hd__xnor2_2 _23198_ ( + .A(_12043_), + .B(_12192_), + .Y(_03901_) + ); + sky130_fd_sc_hd__xor2_2 _23199_ ( + .A(_03537_), + .B(_03534_), + .X(_03902_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23200_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03537_), + .B2(_11843_), + .X(_12193_) + ); + sky130_fd_sc_hd__a211o_2 _23201_ ( + .A1(_03537_), + .A2(_11843_), + .B1(_03640_), + .C1(_12193_), + .X(_03903_) + ); + sky130_fd_sc_hd__nor2_2 _23202_ ( + .A(_03904_), + .B(_11829_), + .Y(_03905_) + ); + sky130_fd_sc_hd__a22o_2 _23203_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[26] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[26] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12194_) + ); + sky130_fd_sc_hd__a21o_2 _23204_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[26] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12194_), + .X(_03910_) + ); + sky130_fd_sc_hd__a21oi_2 _23205_ ( + .A1(_12192_), + .A2(_12042_), + .B1(_12041_), + .Y(_12195_) + ); + sky130_fd_sc_hd__xor2_2 _23206_ ( + .A(_12039_), + .B(_12195_), + .X(_03911_) + ); + sky130_fd_sc_hd__xor2_2 _23207_ ( + .A(_03533_), + .B(_03530_), + .X(_03912_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23208_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03533_), + .B2(_11841_), + .X(_12196_) + ); + sky130_fd_sc_hd__a211o_2 _23209_ ( + .A1(_03533_), + .A2(_11841_), + .B1(_03640_), + .C1(_12196_), + .X(_03913_) + ); + sky130_fd_sc_hd__nor2_2 _23210_ ( + .A(_03914_), + .B(_11829_), + .Y(_03915_) + ); + sky130_fd_sc_hd__a22o_2 _23211_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[27] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[27] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12197_) + ); + sky130_fd_sc_hd__a21o_2 _23212_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[27] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12197_), + .X(_03920_) + ); + sky130_fd_sc_hd__xor2_2 _23213_ ( + .A(_12059_), + .B(_12065_), + .X(_03921_) + ); + sky130_fd_sc_hd__xor2_2 _23214_ ( + .A(_03529_), + .B(_03526_), + .X(_03922_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23215_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03529_), + .B2(_11839_), + .X(_12198_) + ); + sky130_fd_sc_hd__a211o_2 _23216_ ( + .A1(_03529_), + .A2(_11839_), + .B1(_03640_), + .C1(_12198_), + .X(_03923_) + ); + sky130_fd_sc_hd__nor2_2 _23217_ ( + .A(_03924_), + .B(_11829_), + .Y(_03925_) + ); + sky130_fd_sc_hd__a22o_2 _23218_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[28] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[28] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12199_) + ); + sky130_fd_sc_hd__a21o_2 _23219_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[28] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12199_), + .X(_03930_) + ); + sky130_fd_sc_hd__a21oi_2 _23220_ ( + .A1(_12059_), + .A2(_12065_), + .B1(_12063_), + .Y(_12200_) + ); + sky130_fd_sc_hd__xnor2_2 _23221_ ( + .A(_12061_), + .B(_12200_), + .Y(_03931_) + ); + sky130_fd_sc_hd__xor2_2 _23222_ ( + .A(_03525_), + .B(_03522_), + .X(_03932_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23223_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03525_), + .B2(_11837_), + .X(_12201_) + ); + sky130_fd_sc_hd__a211o_2 _23224_ ( + .A1(_03525_), + .A2(_11837_), + .B1(_03640_), + .C1(_12201_), + .X(_03933_) + ); + sky130_fd_sc_hd__nor2_2 _23225_ ( + .A(_03934_), + .B(_11829_), + .Y(_03935_) + ); + sky130_fd_sc_hd__a22o_2 _23226_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[29] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[29] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12202_) + ); + sky130_fd_sc_hd__a21o_2 _23227_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[29] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12202_), + .X(_03940_) + ); + sky130_fd_sc_hd__nand2_2 _23228_ ( + .A(_12072_), + .B(_12074_), + .Y(_12203_) + ); + sky130_fd_sc_hd__and2b_2 _23229_ ( + .A_N(_12075_), + .B(_12203_), + .X(_03941_) + ); + sky130_fd_sc_hd__xor2_2 _23230_ ( + .A(_03521_), + .B(_03518_), + .X(_03942_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23231_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03521_), + .B2(_11835_), + .X(_12204_) + ); + sky130_fd_sc_hd__a211o_2 _23232_ ( + .A1(_03521_), + .A2(_11835_), + .B1(_03640_), + .C1(_12204_), + .X(_03943_) + ); + sky130_fd_sc_hd__nor2_2 _23233_ ( + .A(_03944_), + .B(_11829_), + .Y(_03945_) + ); + sky130_fd_sc_hd__a22o_2 _23234_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[30] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[30] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .X(_12205_) + ); + sky130_fd_sc_hd__a21o_2 _23235_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[30] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(_12205_), + .X(_03950_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23236_ ( + .A1_N(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .A2_N(_03592_), + .B1(_03517_), + .B2(_03514_), + .X(_12206_) + ); + sky130_fd_sc_hd__a221o_2 _23237_ ( + .A1(_03517_), + .A2(_03514_), + .B1(_12079_), + .B2(_12081_), + .C1(_12206_), + .X(_03951_) + ); + sky130_fd_sc_hd__nor2_2 _23238_ ( + .A(_03952_), + .B(_11829_), + .Y(_03953_) + ); + sky130_fd_sc_hd__and3_2 _23239_ ( + .A(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[0] ), + .C(_03947_), + .X(_03955_) + ); + sky130_fd_sc_hd__a22o_2 _23240_ ( + .A1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[31] ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_834 ), + .B2(\soc.core.VexRiscv.CsrPlugin_mcause_interrupt ), + .X(_12207_) + ); + sky130_fd_sc_hd__a221o_2 _23241_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.CsrPlugin_mepc[31] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mtval[31] ), + .B2(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .C1(_12207_), + .X(_03958_) + ); + sky130_fd_sc_hd__nand2_2 _23242_ ( + .A(\soc.core.VexRiscv.lastStageIsFiring ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ENABLE ), + .Y(_03960_) + ); + sky130_fd_sc_hd__nor2_2 _23243_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .Y(_03962_) + ); + sky130_fd_sc_hd__and2b_2 _23244_ ( + .A_N(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .X(_03964_) + ); + sky130_fd_sc_hd__and3_2 _23245_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .X(_12208_) + ); + sky130_fd_sc_hd__and2b_2 _23246_ ( + .A_N(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .X(_12209_) + ); + sky130_fd_sc_hd__a221oi_2 _23247_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .A2(_03964_), + .B1(_12209_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .C1(_12208_), + .Y(_03965_) + ); + sky130_fd_sc_hd__and3_2 _23248_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .X(_12210_) + ); + sky130_fd_sc_hd__a221oi_2 _23249_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .A2(_03964_), + .B1(_12209_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .C1(_12210_), + .Y(_03971_) + ); + sky130_fd_sc_hd__xor2_2 _23250_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[6] ), + .B(_11446_), + .X(_03974_) + ); + sky130_fd_sc_hd__and3_2 _23251_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[7] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[6] ), + .C(_11446_), + .X(_12211_) + ); + sky130_fd_sc_hd__o31a_2 _23252_ ( + .A1(_10890_), + .A2(_10891_), + .A3(_11445_), + .B1(_10889_), + .X(_12212_) + ); + sky130_fd_sc_hd__nor2_2 _23253_ ( + .A(_12211_), + .B(_12212_), + .Y(_03979_) + ); + sky130_fd_sc_hd__o41a_2 _23254_ ( + .A1(_10889_), + .A2(_10890_), + .A3(_10891_), + .A4(_11445_), + .B1(_10888_), + .X(_12213_) + ); + sky130_fd_sc_hd__nor4b_2 _23255_ ( + .A(_10888_), + .B(_10889_), + .C(_10890_), + .D_N(_11446_), + .Y(_12214_) + ); + sky130_fd_sc_hd__nor2_2 _23256_ ( + .A(_12213_), + .B(_12214_), + .Y(_03984_) + ); + sky130_fd_sc_hd__xor2_2 _23257_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ), + .B(_12214_), + .X(_03989_) + ); + sky130_fd_sc_hd__a21oi_2 _23258_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ), + .A2(_12214_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ), + .Y(_12215_) + ); + sky130_fd_sc_hd__and3_2 _23259_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ), + .C(_12214_), + .X(_12216_) + ); + sky130_fd_sc_hd__nor2_2 _23260_ ( + .A(_12215_), + .B(_12216_), + .Y(_03994_) + ); + sky130_fd_sc_hd__and4_2 _23261_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[11] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ), + .D(_12214_), + .X(_12217_) + ); + sky130_fd_sc_hd__o21ba_2 _23262_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[11] ), + .A2(_12216_), + .B1_N(_12217_), + .X(_03999_) + ); + sky130_fd_sc_hd__xor2_2 _23263_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .B(_12217_), + .X(_04004_) + ); + sky130_fd_sc_hd__a21oi_2 _23264_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .A2(_12217_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ), + .Y(_12218_) + ); + sky130_fd_sc_hd__and3_2 _23265_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .C(_12217_), + .X(_12219_) + ); + sky130_fd_sc_hd__nor2_2 _23266_ ( + .A(_12218_), + .B(_12219_), + .Y(_04009_) + ); + sky130_fd_sc_hd__nor2_2 _23267_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .B(_12219_), + .Y(_12220_) + ); + sky130_fd_sc_hd__and4_2 _23268_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .D(_12217_), + .X(_12221_) + ); + sky130_fd_sc_hd__nand4_2 _23269_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .D(_12217_), + .Y(_12222_) + ); + sky130_fd_sc_hd__nor2_2 _23270_ ( + .A(_12220_), + .B(_12221_), + .Y(_04014_) + ); + sky130_fd_sc_hd__a21oi_2 _23271_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .A2(_12219_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ), + .Y(_12223_) + ); + sky130_fd_sc_hd__and3_2 _23272_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .C(_12219_), + .X(_12224_) + ); + sky130_fd_sc_hd__nor2_2 _23273_ ( + .A(_12223_), + .B(_12224_), + .Y(_04019_) + ); + sky130_fd_sc_hd__nand2_2 _23274_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ), + .Y(_12225_) + ); + sky130_fd_sc_hd__nor2_2 _23275_ ( + .A(_12222_), + .B(_12225_), + .Y(_12226_) + ); + sky130_fd_sc_hd__o22a_2 _23276_ ( + .A1(_12222_), + .A2(_12225_), + .B1(_12224_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .X(_04024_) + ); + sky130_fd_sc_hd__and3_2 _23277_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .C(_12224_), + .X(_12227_) + ); + sky130_fd_sc_hd__o21ba_2 _23278_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ), + .A2(_12226_), + .B1_N(_12227_), + .X(_04029_) + ); + sky130_fd_sc_hd__nor2_2 _23279_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ), + .B(_12227_), + .Y(_12228_) + ); + sky130_fd_sc_hd__and4_2 _23280_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .D(_12224_), + .X(_12229_) + ); + sky130_fd_sc_hd__nor2_2 _23281_ ( + .A(_12228_), + .B(_12229_), + .Y(_04034_) + ); + sky130_fd_sc_hd__and3_2 _23282_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[19] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ), + .X(_12230_) + ); + sky130_fd_sc_hd__and4_2 _23283_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ), + .C(_12221_), + .D(_12230_), + .X(_12231_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23284_ ( + .A1_N(_12226_), + .A2_N(_12230_), + .B1(_12229_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[19] ), + .X(_04039_) + ); + sky130_fd_sc_hd__and3_2 _23285_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ), + .B(_12226_), + .C(_12230_), + .X(_12232_) + ); + sky130_fd_sc_hd__o21ba_2 _23286_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ), + .A2(_12231_), + .B1_N(_12232_), + .X(_04044_) + ); + sky130_fd_sc_hd__nor2_2 _23287_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ), + .B(_12232_), + .Y(_12233_) + ); + sky130_fd_sc_hd__and4_2 _23288_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ), + .C(_12226_), + .D(_12230_), + .X(_12234_) + ); + sky130_fd_sc_hd__nor2_2 _23289_ ( + .A(_12233_), + .B(_12234_), + .Y(_04049_) + ); + sky130_fd_sc_hd__and3_2 _23290_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[22] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ), + .X(_12235_) + ); + sky130_fd_sc_hd__and3_2 _23291_ ( + .A(_12226_), + .B(_12230_), + .C(_12235_), + .X(_12236_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23292_ ( + .A1_N(_12231_), + .A2_N(_12235_), + .B1(_12234_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[22] ), + .X(_04054_) + ); + sky130_fd_sc_hd__a21oi_2 _23293_ ( + .A1(_12231_), + .A2(_12235_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .Y(_12237_) + ); + sky130_fd_sc_hd__and3_2 _23294_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .B(_12231_), + .C(_12235_), + .X(_12238_) + ); + sky130_fd_sc_hd__nor2_2 _23295_ ( + .A(_12237_), + .B(_12238_), + .Y(_04059_) + ); + sky130_fd_sc_hd__xor2_2 _23296_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .B(_12238_), + .X(_04064_) + ); + sky130_fd_sc_hd__a31oi_2 _23297_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .A3(_12236_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ), + .Y(_12239_) + ); + sky130_fd_sc_hd__and4_2 _23298_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .D(_12236_), + .X(_12240_) + ); + sky130_fd_sc_hd__nor2_2 _23299_ ( + .A(_12239_), + .B(_12240_), + .Y(_04069_) + ); + sky130_fd_sc_hd__and4_2 _23300_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .X(_12241_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23301_ ( + .A1_N(_12236_), + .A2_N(_12241_), + .B1(_12240_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ), + .X(_04074_) + ); + sky130_fd_sc_hd__a21oi_2 _23302_ ( + .A1(_12236_), + .A2(_12241_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ), + .Y(_12242_) + ); + sky130_fd_sc_hd__and4_2 _23303_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .X(_12243_) + ); + sky130_fd_sc_hd__and3_2 _23304_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .B(_12236_), + .C(_12243_), + .X(_12244_) + ); + sky130_fd_sc_hd__nor2_2 _23305_ ( + .A(_12242_), + .B(_12244_), + .Y(_04079_) + ); + sky130_fd_sc_hd__nor2_2 _23306_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[28] ), + .B(_12244_), + .Y(_12245_) + ); + sky130_fd_sc_hd__and4_2 _23307_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[28] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ), + .C(_12236_), + .D(_12241_), + .X(_12246_) + ); + sky130_fd_sc_hd__nor2_2 _23308_ ( + .A(_12245_), + .B(_12246_), + .Y(_04084_) + ); + sky130_fd_sc_hd__xor2_2 _23309_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ), + .B(_12246_), + .X(_04089_) + ); + sky130_fd_sc_hd__a21oi_2 _23310_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ), + .A2(_12246_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[30] ), + .Y(_12247_) + ); + sky130_fd_sc_hd__and3_2 _23311_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[30] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ), + .C(_12246_), + .X(_12248_) + ); + sky130_fd_sc_hd__nor2_2 _23312_ ( + .A(_12247_), + .B(_12248_), + .Y(_04094_) + ); + sky130_fd_sc_hd__xor2_2 _23313_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_isIoAccess ), + .B(_12248_), + .X(_04099_) + ); + sky130_fd_sc_hd__and3_2 _23314_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .X(_12249_) + ); + sky130_fd_sc_hd__a221oi_2 _23315_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .A2(_03964_), + .B1(_12209_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .C1(_12249_), + .Y(_04107_) + ); + sky130_fd_sc_hd__and3_2 _23316_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .X(_12250_) + ); + sky130_fd_sc_hd__a221oi_2 _23317_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .A2(_03964_), + .B1(_12209_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .C1(_12250_), + .Y(_04113_) + ); + sky130_fd_sc_hd__and3_2 _23318_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .X(_12251_) + ); + sky130_fd_sc_hd__a221oi_2 _23319_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .A2(_03964_), + .B1(_12209_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .C1(_12251_), + .Y(_04119_) + ); + sky130_fd_sc_hd__and3_2 _23320_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .X(_12252_) + ); + sky130_fd_sc_hd__a221oi_2 _23321_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .A2(_03964_), + .B1(_12209_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .C1(_12252_), + .Y(_04125_) + ); + sky130_fd_sc_hd__and3_2 _23322_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .X(_12253_) + ); + sky130_fd_sc_hd__a221oi_2 _23323_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .A2(_03964_), + .B1(_12209_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .C1(_12253_), + .Y(_04131_) + ); + sky130_fd_sc_hd__and3_2 _23324_ ( + .A(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ), + .B(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .X(_12254_) + ); + sky130_fd_sc_hd__a221oi_2 _23325_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .A2(_03964_), + .B1(_12209_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .C1(_12254_), + .Y(_04137_) + ); + sky130_fd_sc_hd__nor4_2 _23326_ ( + .A(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[12] ), + .B(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[13] ), + .C(_04138_), + .D(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[14] ), + .Y(_12255_) + ); + sky130_fd_sc_hd__and2b_2 _23327_ ( + .A_N(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[13] ), + .B(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[12] ), + .X(_12256_) + ); + sky130_fd_sc_hd__a21oi_2 _23328_ ( + .A1(_04141_), + .A2(_12256_), + .B1(_12255_), + .Y(_04144_) + ); + sky130_fd_sc_hd__a21oi_2 _23329_ ( + .A1(_04148_), + .A2(_12256_), + .B1(_12255_), + .Y(_04150_) + ); + sky130_fd_sc_hd__a21oi_2 _23330_ ( + .A1(_04154_), + .A2(_12256_), + .B1(_12255_), + .Y(_04156_) + ); + sky130_fd_sc_hd__a21oi_2 _23331_ ( + .A1(_04160_), + .A2(_12256_), + .B1(_12255_), + .Y(_04162_) + ); + sky130_fd_sc_hd__a21oi_2 _23332_ ( + .A1(_04166_), + .A2(_12256_), + .B1(_12255_), + .Y(_04168_) + ); + sky130_fd_sc_hd__a21oi_2 _23333_ ( + .A1(_04172_), + .A2(_12256_), + .B1(_12255_), + .Y(_04174_) + ); + sky130_fd_sc_hd__a21oi_2 _23334_ ( + .A1(_04178_), + .A2(_12256_), + .B1(_12255_), + .Y(_04180_) + ); + sky130_fd_sc_hd__a21oi_2 _23335_ ( + .A1(_04184_), + .A2(_12256_), + .B1(_12255_), + .Y(_04186_) + ); + sky130_fd_sc_hd__nor2_2 _23336_ ( + .A(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[14] ), + .B(_04186_), + .Y(_04214_) + ); + sky130_fd_sc_hd__inv_4 _23337_ ( + .A(_04214_), + .Y(_04190_) + ); + sky130_fd_sc_hd__nor3b_2 _23338_ ( + .A(\soc.core.VexRiscv.CsrPlugin_hadException ), + .B(_11308_), + .C_N(\soc.core.VexRiscv.CsrPlugin_mstatus_MIE ), + .Y(_04263_) + ); + sky130_fd_sc_hd__a21o_2 _23339_ ( + .A1(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[28] ), + .A2(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[29] ), + .B1(_04267_), + .X(_04268_) + ); + sky130_fd_sc_hd__a311o_2 _23340_ ( + .A1(_11302_), + .A2(_11306_), + .A3(_11307_), + .B1(\soc.core.VexRiscv.CsrPlugin_mstatus_MPP[0] ), + .C1(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_04271_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23341_ ( + .A1_N(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[28] ), + .A2_N(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[29] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mstatus_MPP[0] ), + .B2(_11309_), + .X(_04272_) + ); + sky130_fd_sc_hd__a311o_2 _23342_ ( + .A1(_11302_), + .A2(_11306_), + .A3(_11307_), + .B1(\soc.core.VexRiscv.CsrPlugin_mstatus_MPP[1] ), + .C1(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_04275_) + ); + sky130_fd_sc_hd__o2bb2a_2 _23343_ ( + .A1_N(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[28] ), + .A2_N(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[29] ), + .B1(\soc.core.VexRiscv.CsrPlugin_mstatus_MPP[1] ), + .B2(_11309_), + .X(_04276_) + ); + sky130_fd_sc_hd__and4b_2 _23344_ ( + .A_N(_05087_), + .B(_11356_), + .C(_11311_), + .D(_05090_), + .X(_04279_) + ); + sky130_fd_sc_hd__o211a_2 _23345_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_1 ), + .A2(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .B1(_11285_), + .C1(_11311_), + .X(_04280_) + ); + sky130_fd_sc_hd__nor3b_2 _23346_ ( + .A(\soc.core.VexRiscv.CsrPlugin_hadException ), + .B(_11308_), + .C_N(\soc.core.VexRiscv.CsrPlugin_mepc[0] ), + .Y(_04281_) + ); + sky130_fd_sc_hd__nor3b_2 _23347_ ( + .A(\soc.core.VexRiscv.CsrPlugin_hadException ), + .B(_11308_), + .C_N(\soc.core.VexRiscv.CsrPlugin_mepc[1] ), + .Y(_04283_) + ); + sky130_fd_sc_hd__and4_2 _23348_ ( + .A(\soc.core.VexRiscv.memory_arbitration_isValid ), + .B(\soc.core.VexRiscv.execute_to_memory_MEMORY_ENABLE ), + .C(\soc.core.VexRiscv.execute_to_memory_ALIGNEMENT_FAULT ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[0] ), + .X(_04346_) + ); + sky130_fd_sc_hd__o21ba_2 _23349_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l261 ), + .A2(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .B1_N(\soc.core.VexRiscv.when_DebugPlugin_l261_1 ), + .X(_04543_) + ); + sky130_fd_sc_hd__o21bai_2 _23350_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_isValid ), + .A2(\soc.core.VexRiscv.lastStageIsFiring ), + .B1_N(_04546_), + .Y(_04547_) + ); + sky130_fd_sc_hd__a211o_2 _23351_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_hit_valid ), + .B1(_04548_), + .C1(_11287_), + .X(_04549_) + ); + sky130_fd_sc_hd__nand2b_2 _23352_ ( + .A_N(\soc.core.VexRiscv.DebugPlugin_isPipBusy ), + .B(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .Y(_12257_) + ); + sky130_fd_sc_hd__nand2b_2 _23353_ ( + .A_N(\soc.core.VexRiscv.DebugPlugin_godmode ), + .B(_12257_), + .Y(_04550_) + ); + sky130_fd_sc_hd__and2b_2 _23354_ ( + .A_N(\soc.core.VexRiscv.when_DebugPlugin_l261_1 ), + .B(_04550_), + .X(_04551_) + ); + sky130_fd_sc_hd__and2b_2 _23355_ ( + .A_N(\soc.core.VexRiscv.when_DebugPlugin_l261_1 ), + .B(\soc.core.VexRiscv.DebugPlugin_haltedByBreak ), + .X(_04554_) + ); + sky130_fd_sc_hd__o21bai_2 _23356_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_isValid ), + .A2(\soc.core.VexRiscv.lastStageIsFiring ), + .B1_N(_04557_), + .Y(_04558_) + ); + sky130_fd_sc_hd__a21o_2 _23357_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[2] ), + .B1(\pll.pll_control.tint[4] ), + .X(_04561_) + ); + sky130_fd_sc_hd__o31a_2 _23358_ ( + .A1(\pll.pll_control.tint[4] ), + .A2(\pll.pll_control.tint[2] ), + .A3(\pll.pll_control.tint[1] ), + .B1(_04562_), + .X(_04564_) + ); + sky130_fd_sc_hd__a31o_2 _23359_ ( + .A1(\pll.pll_control.tint[2] ), + .A2(\pll.pll_control.tint[1] ), + .A3(\pll.pll_control.tint[0] ), + .B1(_04562_), + .X(_04565_) + ); + sky130_fd_sc_hd__nand2b_2 _23360_ ( + .A_N(\pll.pll_control.tint[1] ), + .B(_11040_), + .Y(_04566_) + ); + sky130_fd_sc_hd__a31o_2 _23361_ ( + .A1(_11038_), + .A2(\pll.pll_control.tint[2] ), + .A3(\pll.pll_control.tint[3] ), + .B1(\pll.pll_control.tint[4] ), + .X(_04567_) + ); + sky130_fd_sc_hd__a211o_2 _23362_ ( + .A1(_11038_), + .A2(\pll.pll_control.tint[2] ), + .B1(\pll.pll_control.tint[3] ), + .C1(\pll.pll_control.tint[4] ), + .X(_04568_) + ); + sky130_fd_sc_hd__and4bb_2 _23363_ ( + .A_N(\pll.pll_control.tint[4] ), + .B_N(\pll.pll_control.tint[2] ), + .C(_11037_), + .D(\pll.pll_control.tint[3] ), + .X(_12258_) + ); + sky130_fd_sc_hd__o21ba_2 _23364_ ( + .A1(\pll.pll_control.tint[4] ), + .A2(\pll.pll_control.tint[3] ), + .B1_N(_12258_), + .X(_04569_) + ); + sky130_fd_sc_hd__a211o_2 _23365_ ( + .A1(\pll.pll_control.tint[1] ), + .A2(\pll.pll_control.tint[0] ), + .B1(\pll.pll_control.tint[2] ), + .C1(_04562_), + .X(_04570_) + ); + sky130_fd_sc_hd__a31o_2 _23366_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[1] ), + .A3(\pll.pll_control.tint[0] ), + .B1(_04561_), + .X(_04571_) + ); + sky130_fd_sc_hd__a211o_2 _23367_ ( + .A1(\pll.pll_control.tint[2] ), + .A2(\pll.pll_control.tint[1] ), + .B1(\pll.pll_control.tint[4] ), + .C1(\pll.pll_control.tint[3] ), + .X(_04572_) + ); + sky130_fd_sc_hd__a31o_2 _23368_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[2] ), + .A3(\pll.pll_control.tint[1] ), + .B1(\pll.pll_control.tint[4] ), + .X(_04573_) + ); + sky130_fd_sc_hd__and2_4 _23369_ ( + .A(\pll.pll_control.tint[4] ), + .B(\pll.pll_control.tint[3] ), + .X(_04586_) + ); + sky130_fd_sc_hd__o311a_2 _23370_ ( + .A1(\pll.pll_control.tint[2] ), + .A2(\pll.pll_control.tint[1] ), + .A3(\pll.pll_control.tint[0] ), + .B1(\pll.pll_control.tint[3] ), + .C1(\pll.pll_control.tint[4] ), + .X(_04575_) + ); + sky130_fd_sc_hd__o21a_2 _23371_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[2] ), + .B1(\pll.pll_control.tint[4] ), + .X(_04580_) + ); + sky130_fd_sc_hd__o31a_2 _23372_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[1] ), + .A3(\pll.pll_control.tint[0] ), + .B1(_04580_), + .X(_04576_) + ); + sky130_fd_sc_hd__a31o_2 _23373_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[2] ), + .A3(_11092_), + .B1(\pll.pll_control.tint[4] ), + .X(_04581_) + ); + sky130_fd_sc_hd__and2_4 _23374_ ( + .A(_04581_), + .B(_04577_), + .X(_04578_) + ); + sky130_fd_sc_hd__o21a_2 _23375_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[1] ), + .B1(_04580_), + .X(_04584_) + ); + sky130_fd_sc_hd__o21a_2 _23376_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[0] ), + .B1(_04584_), + .X(_04579_) + ); + sky130_fd_sc_hd__o211a_2 _23377_ ( + .A1(\pll.pll_control.tint[2] ), + .A2(\pll.pll_control.tint[1] ), + .B1(\pll.pll_control.tint[4] ), + .C1(\pll.pll_control.tint[3] ), + .X(_04582_) + ); + sky130_fd_sc_hd__o31a_2 _23378_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[2] ), + .A3(\pll.pll_control.tint[1] ), + .B1(\pll.pll_control.tint[4] ), + .X(_04583_) + ); + sky130_fd_sc_hd__o31a_2 _23379_ ( + .A1(\pll.pll_control.tint[3] ), + .A2(\pll.pll_control.tint[2] ), + .A3(_11092_), + .B1(\pll.pll_control.tint[4] ), + .X(_04587_) + ); + sky130_fd_sc_hd__nand2b_2 _23380_ ( + .A_N(mprj_io_dm[2]), + .B(mprj_io_dm[1]), + .Y(_04589_) + ); + sky130_fd_sc_hd__and2_4 _23381_ ( + .A(\gpio_control_bidir_1[0].gpio_outenb ), + .B(\mgmt_io_oeb_hk[0] ), + .X(_04592_) + ); + sky130_fd_sc_hd__nand2b_2 _23382_ ( + .A_N(mprj_io_dm[5]), + .B(mprj_io_dm[4]), + .Y(_04594_) + ); + sky130_fd_sc_hd__and2_4 _23383_ ( + .A(\gpio_control_bidir_1[1].gpio_outenb ), + .B(\mgmt_io_oeb_hk[1] ), + .X(_04597_) + ); + sky130_fd_sc_hd__nand2b_2 _23384_ ( + .A_N(mprj_io_dm[8]), + .B(mprj_io_dm[7]), + .Y(_04599_) + ); + sky130_fd_sc_hd__and2_4 _23385_ ( + .A(\gpio_control_in_1a[0].gpio_outenb ), + .B(\gpio_control_in_1a[0].mgmt_gpio_oeb ), + .X(_04602_) + ); + sky130_fd_sc_hd__nand2b_2 _23386_ ( + .A_N(mprj_io_dm[11]), + .B(mprj_io_dm[10]), + .Y(_04604_) + ); + sky130_fd_sc_hd__and2_4 _23387_ ( + .A(\gpio_control_in_1a[1].gpio_outenb ), + .B(\gpio_control_in_1a[1].mgmt_gpio_oeb ), + .X(_04607_) + ); + sky130_fd_sc_hd__nand2b_2 _23388_ ( + .A_N(mprj_io_dm[14]), + .B(mprj_io_dm[13]), + .Y(_04609_) + ); + sky130_fd_sc_hd__and2_4 _23389_ ( + .A(\gpio_control_in_1a[2].gpio_outenb ), + .B(\gpio_control_in_1a[2].mgmt_gpio_oeb ), + .X(_04612_) + ); + sky130_fd_sc_hd__nand2b_2 _23390_ ( + .A_N(mprj_io_dm[17]), + .B(mprj_io_dm[16]), + .Y(_04614_) + ); + sky130_fd_sc_hd__and2_4 _23391_ ( + .A(\gpio_control_in_1a[3].gpio_outenb ), + .B(\gpio_control_in_1a[3].mgmt_gpio_oeb ), + .X(_04617_) + ); + sky130_fd_sc_hd__nand2b_2 _23392_ ( + .A_N(mprj_io_dm[20]), + .B(mprj_io_dm[19]), + .Y(_04619_) + ); + sky130_fd_sc_hd__and2_4 _23393_ ( + .A(\gpio_control_in_1a[4].gpio_outenb ), + .B(\gpio_control_in_1a[4].mgmt_gpio_oeb ), + .X(_04622_) + ); + sky130_fd_sc_hd__nand2b_2 _23394_ ( + .A_N(mprj_io_dm[23]), + .B(mprj_io_dm[22]), + .Y(_04624_) + ); + sky130_fd_sc_hd__and2_4 _23395_ ( + .A(\gpio_control_in_1a[5].gpio_outenb ), + .B(\gpio_control_in_1a[5].mgmt_gpio_oeb ), + .X(_04627_) + ); + sky130_fd_sc_hd__nand2b_2 _23396_ ( + .A_N(mprj_io_dm[26]), + .B(mprj_io_dm[25]), + .Y(_04629_) + ); + sky130_fd_sc_hd__and2_4 _23397_ ( + .A(\gpio_control_in_1[0].gpio_outenb ), + .B(\gpio_control_in_1[0].mgmt_gpio_oeb ), + .X(_04632_) + ); + sky130_fd_sc_hd__nand2b_2 _23398_ ( + .A_N(mprj_io_dm[29]), + .B(mprj_io_dm[28]), + .Y(_04634_) + ); + sky130_fd_sc_hd__and2_4 _23399_ ( + .A(\gpio_control_in_1[1].gpio_outenb ), + .B(\gpio_control_in_1[1].mgmt_gpio_oeb ), + .X(_04637_) + ); + sky130_fd_sc_hd__nand2b_2 _23400_ ( + .A_N(mprj_io_dm[32]), + .B(mprj_io_dm[31]), + .Y(_04639_) + ); + sky130_fd_sc_hd__and2_4 _23401_ ( + .A(\gpio_control_in_1[2].gpio_outenb ), + .B(\gpio_control_in_1[2].mgmt_gpio_oeb ), + .X(_04642_) + ); + sky130_fd_sc_hd__nand2b_2 _23402_ ( + .A_N(mprj_io_dm[35]), + .B(mprj_io_dm[34]), + .Y(_04644_) + ); + sky130_fd_sc_hd__and2_4 _23403_ ( + .A(\gpio_control_in_1[3].gpio_outenb ), + .B(\gpio_control_in_1[3].mgmt_gpio_oeb ), + .X(_04647_) + ); + sky130_fd_sc_hd__nand2b_2 _23404_ ( + .A_N(mprj_io_dm[38]), + .B(mprj_io_dm[37]), + .Y(_04649_) + ); + sky130_fd_sc_hd__and2_4 _23405_ ( + .A(\gpio_control_in_1[4].gpio_outenb ), + .B(\gpio_control_in_1[4].mgmt_gpio_oeb ), + .X(_04652_) + ); + sky130_fd_sc_hd__nand2b_2 _23406_ ( + .A_N(mprj_io_dm[41]), + .B(mprj_io_dm[40]), + .Y(_04654_) + ); + sky130_fd_sc_hd__and2_4 _23407_ ( + .A(\gpio_control_in_1[5].gpio_outenb ), + .B(\gpio_control_in_1[5].mgmt_gpio_oeb ), + .X(_04657_) + ); + sky130_fd_sc_hd__nand2b_2 _23408_ ( + .A_N(mprj_io_dm[44]), + .B(mprj_io_dm[43]), + .Y(_04659_) + ); + sky130_fd_sc_hd__and2_4 _23409_ ( + .A(\gpio_control_in_1[6].gpio_outenb ), + .B(\gpio_control_in_1[6].mgmt_gpio_oeb ), + .X(_04662_) + ); + sky130_fd_sc_hd__nand2b_2 _23410_ ( + .A_N(mprj_io_dm[47]), + .B(mprj_io_dm[46]), + .Y(_04664_) + ); + sky130_fd_sc_hd__and2_4 _23411_ ( + .A(\gpio_control_in_1[7].gpio_outenb ), + .B(\gpio_control_in_1[7].mgmt_gpio_oeb ), + .X(_04667_) + ); + sky130_fd_sc_hd__nand2b_2 _23412_ ( + .A_N(mprj_io_dm[50]), + .B(mprj_io_dm[49]), + .Y(_04669_) + ); + sky130_fd_sc_hd__and2_4 _23413_ ( + .A(\gpio_control_in_1[8].gpio_outenb ), + .B(\gpio_control_in_1[8].mgmt_gpio_oeb ), + .X(_04672_) + ); + sky130_fd_sc_hd__nand2b_2 _23414_ ( + .A_N(mprj_io_dm[53]), + .B(mprj_io_dm[52]), + .Y(_04674_) + ); + sky130_fd_sc_hd__and2_4 _23415_ ( + .A(\gpio_control_in_1[9].gpio_outenb ), + .B(\gpio_control_in_1[9].mgmt_gpio_oeb ), + .X(_04677_) + ); + sky130_fd_sc_hd__nand2b_2 _23416_ ( + .A_N(mprj_io_dm[56]), + .B(mprj_io_dm[55]), + .Y(_04679_) + ); + sky130_fd_sc_hd__and2_4 _23417_ ( + .A(\gpio_control_in_1[10].gpio_outenb ), + .B(\gpio_control_in_1[10].mgmt_gpio_oeb ), + .X(_04682_) + ); + sky130_fd_sc_hd__nand2b_2 _23418_ ( + .A_N(mprj_io_dm[107]), + .B(mprj_io_dm[106]), + .Y(_04684_) + ); + sky130_fd_sc_hd__and2_4 _23419_ ( + .A(\gpio_control_bidir_2[0].gpio_outenb ), + .B(\mgmt_gpio_oeb_buf[0] ), + .X(_04687_) + ); + sky130_fd_sc_hd__nand2b_2 _23420_ ( + .A_N(mprj_io_dm[110]), + .B(mprj_io_dm[109]), + .Y(_04689_) + ); + sky130_fd_sc_hd__and2_4 _23421_ ( + .A(\gpio_control_bidir_2[1].gpio_outenb ), + .B(\mgmt_gpio_oeb_buf[1] ), + .X(_04692_) + ); + sky130_fd_sc_hd__nand2b_2 _23422_ ( + .A_N(mprj_io_dm[113]), + .B(mprj_io_dm[112]), + .Y(_04694_) + ); + sky130_fd_sc_hd__and2_4 _23423_ ( + .A(\gpio_control_bidir_2[2].gpio_outenb ), + .B(\mgmt_gpio_oeb_buf[2] ), + .X(_04697_) + ); + sky130_fd_sc_hd__nand2b_2 _23424_ ( + .A_N(mprj_io_dm[59]), + .B(mprj_io_dm[58]), + .Y(_04699_) + ); + sky130_fd_sc_hd__and2_4 _23425_ ( + .A(\gpio_control_in_2[0].gpio_outenb ), + .B(\gpio_control_in_2[0].mgmt_gpio_oeb ), + .X(_04702_) + ); + sky130_fd_sc_hd__nand2b_2 _23426_ ( + .A_N(mprj_io_dm[62]), + .B(mprj_io_dm[61]), + .Y(_04704_) + ); + sky130_fd_sc_hd__and2_4 _23427_ ( + .A(\gpio_control_in_2[1].gpio_outenb ), + .B(\gpio_control_in_2[1].mgmt_gpio_oeb ), + .X(_04707_) + ); + sky130_fd_sc_hd__nand2b_2 _23428_ ( + .A_N(mprj_io_dm[65]), + .B(mprj_io_dm[64]), + .Y(_04709_) + ); + sky130_fd_sc_hd__and2_4 _23429_ ( + .A(\gpio_control_in_2[2].gpio_outenb ), + .B(\gpio_control_in_2[2].mgmt_gpio_oeb ), + .X(_04712_) + ); + sky130_fd_sc_hd__nand2b_2 _23430_ ( + .A_N(mprj_io_dm[68]), + .B(mprj_io_dm[67]), + .Y(_04714_) + ); + sky130_fd_sc_hd__and2_4 _23431_ ( + .A(\gpio_control_in_2[3].gpio_outenb ), + .B(\gpio_control_in_2[3].mgmt_gpio_oeb ), + .X(_04717_) + ); + sky130_fd_sc_hd__nand2b_2 _23432_ ( + .A_N(mprj_io_dm[71]), + .B(mprj_io_dm[70]), + .Y(_04719_) + ); + sky130_fd_sc_hd__and2_4 _23433_ ( + .A(\gpio_control_in_2[4].gpio_outenb ), + .B(\gpio_control_in_2[4].mgmt_gpio_oeb ), + .X(_04722_) + ); + sky130_fd_sc_hd__nand2b_2 _23434_ ( + .A_N(mprj_io_dm[74]), + .B(mprj_io_dm[73]), + .Y(_04724_) + ); + sky130_fd_sc_hd__and2_4 _23435_ ( + .A(\gpio_control_in_2[5].gpio_outenb ), + .B(\gpio_control_in_2[5].mgmt_gpio_oeb ), + .X(_04727_) + ); + sky130_fd_sc_hd__nand2b_2 _23436_ ( + .A_N(mprj_io_dm[77]), + .B(mprj_io_dm[76]), + .Y(_04729_) + ); + sky130_fd_sc_hd__and2_4 _23437_ ( + .A(\gpio_control_in_2[6].gpio_outenb ), + .B(\gpio_control_in_2[6].mgmt_gpio_oeb ), + .X(_04732_) + ); + sky130_fd_sc_hd__nand2b_2 _23438_ ( + .A_N(mprj_io_dm[80]), + .B(mprj_io_dm[79]), + .Y(_04734_) + ); + sky130_fd_sc_hd__and2_4 _23439_ ( + .A(\gpio_control_in_2[7].gpio_outenb ), + .B(\gpio_control_in_2[7].mgmt_gpio_oeb ), + .X(_04737_) + ); + sky130_fd_sc_hd__nand2b_2 _23440_ ( + .A_N(mprj_io_dm[83]), + .B(mprj_io_dm[82]), + .Y(_04739_) + ); + sky130_fd_sc_hd__and2_4 _23441_ ( + .A(\gpio_control_in_2[8].gpio_outenb ), + .B(\gpio_control_in_2[8].mgmt_gpio_oeb ), + .X(_04742_) + ); + sky130_fd_sc_hd__nand2b_2 _23442_ ( + .A_N(mprj_io_dm[86]), + .B(mprj_io_dm[85]), + .Y(_04744_) + ); + sky130_fd_sc_hd__and2_4 _23443_ ( + .A(\gpio_control_in_2[9].gpio_outenb ), + .B(\gpio_control_in_2[9].mgmt_gpio_oeb ), + .X(_04747_) + ); + sky130_fd_sc_hd__nand2b_2 _23444_ ( + .A_N(mprj_io_dm[89]), + .B(mprj_io_dm[88]), + .Y(_04749_) + ); + sky130_fd_sc_hd__and2_4 _23445_ ( + .A(\gpio_control_in_2[10].gpio_outenb ), + .B(\gpio_control_in_2[10].mgmt_gpio_oeb ), + .X(_04752_) + ); + sky130_fd_sc_hd__nand2b_2 _23446_ ( + .A_N(mprj_io_dm[92]), + .B(mprj_io_dm[91]), + .Y(_04754_) + ); + sky130_fd_sc_hd__and2_4 _23447_ ( + .A(\gpio_control_in_2[11].gpio_outenb ), + .B(\gpio_control_in_2[11].mgmt_gpio_oeb ), + .X(_04757_) + ); + sky130_fd_sc_hd__nand2b_2 _23448_ ( + .A_N(mprj_io_dm[95]), + .B(mprj_io_dm[94]), + .Y(_04759_) + ); + sky130_fd_sc_hd__and2_4 _23449_ ( + .A(\gpio_control_in_2[12].gpio_outenb ), + .B(\gpio_control_in_2[12].mgmt_gpio_oeb ), + .X(_04762_) + ); + sky130_fd_sc_hd__nand2b_2 _23450_ ( + .A_N(mprj_io_dm[98]), + .B(mprj_io_dm[97]), + .Y(_04764_) + ); + sky130_fd_sc_hd__and2_4 _23451_ ( + .A(\gpio_control_in_2[13].gpio_outenb ), + .B(\gpio_control_in_2[13].mgmt_gpio_oeb ), + .X(_04767_) + ); + sky130_fd_sc_hd__nand2b_2 _23452_ ( + .A_N(mprj_io_dm[101]), + .B(mprj_io_dm[100]), + .Y(_04769_) + ); + sky130_fd_sc_hd__and2_4 _23453_ ( + .A(\gpio_control_in_2[14].gpio_outenb ), + .B(\gpio_control_in_2[14].mgmt_gpio_oeb ), + .X(_04772_) + ); + sky130_fd_sc_hd__nand2b_2 _23454_ ( + .A_N(mprj_io_dm[104]), + .B(mprj_io_dm[103]), + .Y(_04774_) + ); + sky130_fd_sc_hd__and2_4 _23455_ ( + .A(\gpio_control_in_2[15].gpio_outenb ), + .B(\gpio_control_in_2[15].mgmt_gpio_oeb ), + .X(_04777_) + ); + sky130_fd_sc_hd__nor4_2 _23456_ ( + .A(\soc.core.mgmtsoc_litespimmap_count[6] ), + .B(\soc.core.mgmtsoc_litespimmap_count[5] ), + .C(\soc.core.mgmtsoc_litespimmap_count[2] ), + .D(\soc.core.mgmtsoc_litespimmap_count[1] ), + .Y(_12259_) + ); + sky130_fd_sc_hd__nor4b_2 _23457_ ( + .A(\soc.core.mgmtsoc_litespimmap_count[4] ), + .B(\soc.core.mgmtsoc_litespimmap_count[3] ), + .C(\soc.core.mgmtsoc_litespimmap_count[0] ), + .D_N(_12259_), + .Y(_12260_) + ); + sky130_fd_sc_hd__nand2b_2 _23458_ ( + .A_N(\soc.core.mgmtsoc_litespimmap_count[7] ), + .B(_12260_), + .Y(_12261_) + ); + sky130_fd_sc_hd__o21a_2 _23459_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[8] ), + .A2(_12261_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_cs ), + .X(_04778_) + ); + sky130_fd_sc_hd__a22o_2 _23460_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[30] ), + .A2(_11118_), + .B1(_11591_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[31] ), + .X(_12262_) + ); + sky130_fd_sc_hd__a221o_2 _23461_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_out[28] ), + .A2(_11116_), + .B1(_11119_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_out[24] ), + .C1(_12262_), + .X(\soc.core.mgmtsoc_litespisdrphycore_dq_o ) + ); + sky130_fd_sc_hd__nand2b_2 _23462_ ( + .A_N(_03040_), + .B(_05126_), + .Y(_12263_) + ); + sky130_fd_sc_hd__nand2b_2 _23463_ ( + .A_N(_05126_), + .B(_03040_), + .Y(_12264_) + ); + sky130_fd_sc_hd__a21oi_2 _23464_ ( + .A1(_12263_), + .A2(_12264_), + .B1(_11369_), + .Y(_04780_) + ); + sky130_fd_sc_hd__and2b_2 _23465_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[0] ), + .B(_05126_), + .X(_12265_) + ); + sky130_fd_sc_hd__and2b_2 _23466_ ( + .A_N(_05126_), + .B(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[0] ), + .X(_12266_) + ); + sky130_fd_sc_hd__o2111a_2 _23467_ ( + .A1(_12265_), + .A2(_12266_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .C1(_11589_), + .D1(_11590_), + .X(_04782_) + ); + sky130_fd_sc_hd__nand3b_2 _23468_ ( + .A_N(_03043_), + .B(\soc.core.litespi_grant ), + .C(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[1] ), + .Y(_12267_) + ); + sky130_fd_sc_hd__nand2_2 _23469_ ( + .A(_11114_), + .B(_03043_), + .Y(_12268_) + ); + sky130_fd_sc_hd__a21oi_2 _23470_ ( + .A1(_12267_), + .A2(_12268_), + .B1(_12263_), + .Y(_12269_) + ); + sky130_fd_sc_hd__a311oi_2 _23471_ ( + .A1(_12263_), + .A2(_12267_), + .A3(_12268_), + .B1(_12269_), + .C1(_11369_), + .Y(_04783_) + ); + sky130_fd_sc_hd__nand2_2 _23472_ ( + .A(_11114_), + .B(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[1] ), + .Y(_12270_) + ); + sky130_fd_sc_hd__nor2_2 _23473_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[1] ), + .B(_11114_), + .Y(_12271_) + ); + sky130_fd_sc_hd__nand3b_2 _23474_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[1] ), + .B(\soc.core.litespi_grant ), + .C(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[1] ), + .Y(_12272_) + ); + sky130_fd_sc_hd__and3_2 _23475_ ( + .A(_12265_), + .B(_12270_), + .C(_12272_), + .X(_12273_) + ); + sky130_fd_sc_hd__a21oi_2 _23476_ ( + .A1(_12270_), + .A2(_12272_), + .B1(_12265_), + .Y(_12274_) + ); + sky130_fd_sc_hd__o2111a_2 _23477_ ( + .A1(_12273_), + .A2(_12274_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .C1(_11589_), + .D1(_11590_), + .X(_04784_) + ); + sky130_fd_sc_hd__a21boi_2 _23478_ ( + .A1(_12263_), + .A2(_12267_), + .B1_N(_12268_), + .Y(_12275_) + ); + sky130_fd_sc_hd__a21oi_2 _23479_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .A2(\soc.core.litespi_grant ), + .B1(_03047_), + .Y(_12276_) + ); + sky130_fd_sc_hd__and3_2 _23480_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .B(\soc.core.litespi_grant ), + .C(_03047_), + .X(_12277_) + ); + sky130_fd_sc_hd__o21ai_2 _23481_ ( + .A1(_12276_), + .A2(_12277_), + .B1(_12275_), + .Y(_12278_) + ); + sky130_fd_sc_hd__and3_2 _23482_ ( + .A(_12278_), + .B(_11036_), + .C(_05116_), + .X(_12279_) + ); + sky130_fd_sc_hd__o31a_2 _23483_ ( + .A1(_12275_), + .A2(_12276_), + .A3(_12277_), + .B1(_12279_), + .X(_04785_) + ); + sky130_fd_sc_hd__a21bo_2 _23484_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .A2(\soc.core.litespi_grant ), + .B1_N(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[2] ), + .X(_12280_) + ); + sky130_fd_sc_hd__and3b_2 _23485_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[2] ), + .B(\soc.core.litespi_grant ), + .C(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .X(_12281_) + ); + sky130_fd_sc_hd__nand3b_2 _23486_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[2] ), + .B(\soc.core.litespi_grant ), + .C(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .Y(_12282_) + ); + sky130_fd_sc_hd__o21a_2 _23487_ ( + .A1(_12265_), + .A2(_12271_), + .B1(_12270_), + .X(_12283_) + ); + sky130_fd_sc_hd__a21oi_2 _23488_ ( + .A1(_12280_), + .A2(_12282_), + .B1(_12283_), + .Y(_12284_) + ); + sky130_fd_sc_hd__and3_2 _23489_ ( + .A(_12280_), + .B(_12282_), + .C(_12283_), + .X(_12285_) + ); + sky130_fd_sc_hd__o2111a_2 _23490_ ( + .A1(_12284_), + .A2(_12285_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .C1(_11589_), + .D1(_11590_), + .X(_04786_) + ); + sky130_fd_sc_hd__a21oi_2 _23491_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .A2(\soc.core.litespi_grant ), + .B1(_03051_), + .Y(_12286_) + ); + sky130_fd_sc_hd__a21o_2 _23492_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .A2(\soc.core.litespi_grant ), + .B1(_03051_), + .X(_12287_) + ); + sky130_fd_sc_hd__o21bai_2 _23493_ ( + .A1(_12275_), + .A2(_12277_), + .B1_N(_12276_), + .Y(_12288_) + ); + sky130_fd_sc_hd__nand3_2 _23494_ ( + .A(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .B(\soc.core.litespi_grant ), + .C(_03051_), + .Y(_12289_) + ); + sky130_fd_sc_hd__nand2_2 _23495_ ( + .A(_12288_), + .B(_12289_), + .Y(_12290_) + ); + sky130_fd_sc_hd__a21o_2 _23496_ ( + .A1(_12287_), + .A2(_12289_), + .B1(_12288_), + .X(_12291_) + ); + sky130_fd_sc_hd__o211a_2 _23497_ ( + .A1(_12286_), + .A2(_12290_), + .B1(_05117_), + .C1(_12291_), + .X(_04787_) + ); + sky130_fd_sc_hd__a21boi_2 _23498_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .A2(\soc.core.litespi_grant ), + .B1_N(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[3] ), + .Y(_12292_) + ); + sky130_fd_sc_hd__a21bo_2 _23499_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .A2(\soc.core.litespi_grant ), + .B1_N(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[3] ), + .X(_12293_) + ); + sky130_fd_sc_hd__o21ai_2 _23500_ ( + .A1(_12281_), + .A2(_12283_), + .B1(_12280_), + .Y(_12294_) + ); + sky130_fd_sc_hd__nand3b_2 _23501_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[3] ), + .B(\soc.core.litespi_grant ), + .C(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .Y(_12295_) + ); + sky130_fd_sc_hd__nand2_2 _23502_ ( + .A(_12294_), + .B(_12295_), + .Y(_12296_) + ); + sky130_fd_sc_hd__a21o_2 _23503_ ( + .A1(_12293_), + .A2(_12295_), + .B1(_12294_), + .X(_12297_) + ); + sky130_fd_sc_hd__o211a_2 _23504_ ( + .A1(_12292_), + .A2(_12296_), + .B1(_12297_), + .C1(_03060_), + .X(_04788_) + ); + sky130_fd_sc_hd__and3b_2 _23505_ ( + .A_N(_03054_), + .B(_12287_), + .C(_12290_), + .X(_12298_) + ); + sky130_fd_sc_hd__nand3b_2 _23506_ ( + .A_N(_03054_), + .B(_12287_), + .C(_12290_), + .Y(_12299_) + ); + sky130_fd_sc_hd__o211a_2 _23507_ ( + .A1(_12286_), + .A2(_12288_), + .B1(_12289_), + .C1(_03054_), + .X(_12300_) + ); + sky130_fd_sc_hd__o211a_2 _23508_ ( + .A1(_12298_), + .A2(_12300_), + .B1(_05116_), + .C1(_11036_), + .X(_04789_) + ); + sky130_fd_sc_hd__o21a_2 _23509_ ( + .A1(_12292_), + .A2(_12294_), + .B1(_12295_), + .X(_12301_) + ); + sky130_fd_sc_hd__nor2_2 _23510_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ), + .B(_12301_), + .Y(_12302_) + ); + sky130_fd_sc_hd__o211a_2 _23511_ ( + .A1(_12292_), + .A2(_12294_), + .B1(_12295_), + .C1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ), + .X(_12303_) + ); + sky130_fd_sc_hd__o2111a_2 _23512_ ( + .A1(_12302_), + .A2(_12303_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .C1(_11589_), + .D1(_11590_), + .X(_04790_) + ); + sky130_fd_sc_hd__and4b_2 _23513_ ( + .A_N(_03057_), + .B(_11036_), + .C(_12298_), + .D(_05116_), + .X(_04793_) + ); + sky130_fd_sc_hd__a31o_2 _23514_ ( + .A1(_03057_), + .A2(_05117_), + .A3(_12299_), + .B1(_04793_), + .X(_04791_) + ); + sky130_fd_sc_hd__o21a_2 _23515_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ), + .A2(_12301_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[5] ), + .X(_12304_) + ); + sky130_fd_sc_hd__nor3_2 _23516_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[5] ), + .C(_12301_), + .Y(_12305_) + ); + sky130_fd_sc_hd__o2111a_2 _23517_ ( + .A1(_12304_), + .A2(_12305_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .C1(_11589_), + .D1(_11590_), + .X(_04792_) + ); + sky130_fd_sc_hd__nor3_2 _23518_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[5] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[6] ), + .Y(_12306_) + ); + sky130_fd_sc_hd__nor4_2 _23519_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[5] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[6] ), + .D(_12301_), + .Y(_12307_) + ); + sky130_fd_sc_hd__o31a_2 _23520_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[5] ), + .A3(_12301_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[6] ), + .X(_12308_) + ); + sky130_fd_sc_hd__o2111a_2 _23521_ ( + .A1(_12307_), + .A2(_12308_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .C1(_11589_), + .D1(_11590_), + .X(_04794_) + ); + sky130_fd_sc_hd__a21boi_2 _23522_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[7] ), + .A2(_12307_), + .B1_N(_03060_), + .Y(_12309_) + ); + sky130_fd_sc_hd__o21a_2 _23523_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[7] ), + .A2(_12307_), + .B1(_12309_), + .X(_04795_) + ); + sky130_fd_sc_hd__and4_2 _23524_ ( + .A(_03912_), + .B(_03922_), + .C(_03932_), + .D(_03942_), + .X(_12310_) + ); + sky130_fd_sc_hd__and3_2 _23525_ ( + .A(_03872_), + .B(_03892_), + .C(_03902_), + .X(_12311_) + ); + sky130_fd_sc_hd__and4_2 _23526_ ( + .A(_03832_), + .B(_03842_), + .C(_03852_), + .D(_03862_), + .X(_12312_) + ); + sky130_fd_sc_hd__and4_2 _23527_ ( + .A(_12310_), + .B(_12311_), + .C(_12312_), + .D(_03882_), + .X(_12313_) + ); + sky130_fd_sc_hd__nand4_2 _23528_ ( + .A(_03722_), + .B(_03732_), + .C(_03742_), + .D(_03752_), + .Y(_12314_) + ); + sky130_fd_sc_hd__nand4_2 _23529_ ( + .A(_03661_), + .B(_03672_), + .C(_03682_), + .D(_03692_), + .Y(_12315_) + ); + sky130_fd_sc_hd__a221o_2 _23530_ ( + .A1(_12110_), + .A2(_12111_), + .B1(_12117_), + .B2(_12118_), + .C1(_12315_), + .X(_12316_) + ); + sky130_fd_sc_hd__and4_2 _23531_ ( + .A(_03792_), + .B(_03802_), + .C(_03812_), + .D(_03822_), + .X(_12317_) + ); + sky130_fd_sc_hd__and4_2 _23532_ ( + .A(_03636_), + .B(_03762_), + .C(_03772_), + .D(_03782_), + .X(_12318_) + ); + sky130_fd_sc_hd__and4bb_2 _23533_ ( + .A_N(_12314_), + .B_N(_12316_), + .C(_03639_), + .D(_03650_), + .X(_12319_) + ); + sky130_fd_sc_hd__nand4_2 _23534_ ( + .A(_12313_), + .B(_12317_), + .C(_12318_), + .D(_12319_), + .Y(_12320_) + ); + sky130_fd_sc_hd__and4bb_2 _23535_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .C(_12320_), + .D(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .X(_12321_) + ); + sky130_fd_sc_hd__and3_2 _23536_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .C(_03638_), + .X(_12322_) + ); + sky130_fd_sc_hd__nor2_2 _23537_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .B(_12320_), + .Y(_12323_) + ); + sky130_fd_sc_hd__a211o_2 _23538_ ( + .A1(_11275_), + .A2(_12323_), + .B1(_12322_), + .C1(_12321_), + .X(_04799_) + ); + sky130_fd_sc_hd__and2_4 _23539_ ( + .A(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ), + .X(_04801_) + ); + sky130_fd_sc_hd__and2b_2 _23540_ ( + .A_N(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .B(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ), + .X(_04804_) + ); + sky130_fd_sc_hd__a22o_2 _23541_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[0] ), + .A2(_11275_), + .B1(_11277_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS2[8] ), + .X(_04806_) + ); + sky130_fd_sc_hd__a22o_2 _23542_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ), + .A2(_11275_), + .B1(_11277_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS2[9] ), + .X(_04807_) + ); + sky130_fd_sc_hd__a22o_2 _23543_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[2] ), + .A2(_11275_), + .B1(_11277_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS2[10] ), + .X(_04808_) + ); + sky130_fd_sc_hd__a22o_2 _23544_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[3] ), + .A2(_11275_), + .B1(_11277_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS2[11] ), + .X(_04809_) + ); + sky130_fd_sc_hd__a22o_2 _23545_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[4] ), + .A2(_11275_), + .B1(_11277_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS2[12] ), + .X(_04810_) + ); + sky130_fd_sc_hd__a22o_2 _23546_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[5] ), + .A2(_11275_), + .B1(_11277_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS2[13] ), + .X(_04811_) + ); + sky130_fd_sc_hd__a22o_2 _23547_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[6] ), + .A2(_11275_), + .B1(_11277_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS2[14] ), + .X(_04812_) + ); + sky130_fd_sc_hd__a22o_2 _23548_ ( + .A1(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[7] ), + .A2(_11275_), + .B1(_11277_), + .B2(\soc.core.VexRiscv.decode_to_execute_RS2[15] ), + .X(_04813_) + ); + sky130_fd_sc_hd__and2b_2 _23549_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[0] ), + .X(_04814_) + ); + sky130_fd_sc_hd__and2b_2 _23550_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ), + .X(_04815_) + ); + sky130_fd_sc_hd__and2b_2 _23551_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[2] ), + .X(_04816_) + ); + sky130_fd_sc_hd__and2b_2 _23552_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[3] ), + .X(_04817_) + ); + sky130_fd_sc_hd__and2b_2 _23553_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[4] ), + .X(_04818_) + ); + sky130_fd_sc_hd__and2b_2 _23554_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[5] ), + .X(_04819_) + ); + sky130_fd_sc_hd__and2b_2 _23555_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[6] ), + .X(_04820_) + ); + sky130_fd_sc_hd__and2b_2 _23556_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .B(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[7] ), + .X(_04821_) + ); + sky130_fd_sc_hd__nor3_2 _23557_ ( + .A(\soc.core.mgmtsoc_litespimmap_storage[2] ), + .B(\soc.core.mgmtsoc_litespimmap_storage[1] ), + .C(\soc.core.mgmtsoc_litespimmap_storage[0] ), + .Y(_12324_) + ); + sky130_fd_sc_hd__nor3_2 _23558_ ( + .A(\soc.core.litespi_state[1] ), + .B(\soc.core.litespi_state[0] ), + .C(\soc.core.mgmtsoc_litespimmap_storage[7] ), + .Y(_12325_) + ); + sky130_fd_sc_hd__nor4_2 _23559_ ( + .A(\soc.core.mgmtsoc_litespimmap_storage[6] ), + .B(\soc.core.mgmtsoc_litespimmap_storage[5] ), + .C(\soc.core.mgmtsoc_litespimmap_storage[4] ), + .D(\soc.core.mgmtsoc_litespimmap_storage[3] ), + .Y(_12326_) + ); + sky130_fd_sc_hd__a41o_2 _23560_ ( + .A1(\soc.core.litespi_state[2] ), + .A2(_12324_), + .A3(_12325_), + .A4(_12326_), + .B1(_05107_), + .X(_12327_) + ); + sky130_fd_sc_hd__o21ba_2 _23561_ ( + .A1(_05106_), + .A2(_12327_), + .B1_N(\soc.core.litespi_state[3] ), + .X(_04824_) + ); + sky130_fd_sc_hd__and3b_2 _23562_ ( + .A_N(\soc.core.litespi_state[3] ), + .B(_05110_), + .C(\soc.core.litespi_state[2] ), + .X(_12328_) + ); + sky130_fd_sc_hd__a211o_2 _23563_ ( + .A1(\soc.core.litespi_state[2] ), + .A2(_11366_), + .B1(_11367_), + .C1(_12328_), + .X(_04825_) + ); + sky130_fd_sc_hd__nor4_2 _23564_ ( + .A(\soc.core.dbg_uart_cmd[7] ), + .B(\soc.core.dbg_uart_cmd[6] ), + .C(\soc.core.dbg_uart_cmd[5] ), + .D(\soc.core.dbg_uart_cmd[4] ), + .Y(_12329_) + ); + sky130_fd_sc_hd__nor2_2 _23565_ ( + .A(\soc.core.dbg_uart_cmd[3] ), + .B(\soc.core.dbg_uart_cmd[2] ), + .Y(_12330_) + ); + sky130_fd_sc_hd__nand3_2 _23566_ ( + .A(\soc.core.dbg_uart_cmd[0] ), + .B(_12329_), + .C(_12330_), + .Y(_04829_) + ); + sky130_fd_sc_hd__nand4b_2 _23567_ ( + .A_N(\soc.core.dbg_uart_cmd[1] ), + .B(\soc.core.dbg_uart_cmd[0] ), + .C(_12329_), + .D(_12330_), + .Y(_04828_) + ); + sky130_fd_sc_hd__nor3_2 _23568_ ( + .A(\soc.core.spi_master_mosi_sel[2] ), + .B(\soc.core.spi_master_mosi_sel[1] ), + .C(\soc.core.spi_master_mosi_sel[0] ), + .Y(_12331_) + ); + sky130_fd_sc_hd__nor2_2 _23569_ ( + .A(_04830_), + .B(_12331_), + .Y(_12332_) + ); + sky130_fd_sc_hd__o21a_2 _23570_ ( + .A1(_03033_), + .A2(_03034_), + .B1(_12332_), + .X(_04831_) + ); + sky130_fd_sc_hd__and3b_2 _23571_ ( + .A_N(\soc.core.spi_master_mosi_sel[1] ), + .B(\soc.core.spi_master_mosi_sel[0] ), + .C(\soc.core.spi_master_mosi_data[1] ), + .X(_12333_) + ); + sky130_fd_sc_hd__and3b_2 _23572_ ( + .A_N(\soc.core.spi_master_mosi_sel[0] ), + .B(\soc.core.spi_master_mosi_data[2] ), + .C(\soc.core.spi_master_mosi_sel[1] ), + .X(_12334_) + ); + sky130_fd_sc_hd__a211o_2 _23573_ ( + .A1(\soc.core.spi_master_mosi_data[0] ), + .A2(_03034_), + .B1(_12333_), + .C1(_12334_), + .X(_12335_) + ); + sky130_fd_sc_hd__a211o_2 _23574_ ( + .A1(\soc.core.spi_master_mosi_data[3] ), + .A2(_03033_), + .B1(\soc.core.spi_master_mosi_sel[2] ), + .C1(_12335_), + .X(_12336_) + ); + sky130_fd_sc_hd__a21bo_2 _23575_ ( + .A1(\soc.core.spi_master_mosi_data[4] ), + .A2(_03034_), + .B1_N(\soc.core.spi_master_mosi_sel[2] ), + .X(_12337_) + ); + sky130_fd_sc_hd__and3b_2 _23576_ ( + .A_N(\soc.core.spi_master_mosi_sel[1] ), + .B(\soc.core.spi_master_mosi_sel[0] ), + .C(\soc.core.spi_master_mosi_data[5] ), + .X(_12338_) + ); + sky130_fd_sc_hd__and3b_2 _23577_ ( + .A_N(\soc.core.spi_master_mosi_sel[0] ), + .B(\soc.core.spi_master_mosi_data[6] ), + .C(\soc.core.spi_master_mosi_sel[1] ), + .X(_12339_) + ); + sky130_fd_sc_hd__o31a_2 _23578_ ( + .A1(_12337_), + .A2(_12338_), + .A3(_12339_), + .B1(_12336_), + .X(_04832_) + ); + sky130_fd_sc_hd__and2b_2 _23579_ ( + .A_N(\soc.core.grant[0] ), + .B(\soc.core.grant[1] ), + .X(_12340_) + ); + sky130_fd_sc_hd__nor2_2 _23580_ ( + .A(_04916_), + .B(_12340_), + .Y(_04833_) + ); + sky130_fd_sc_hd__and3b_2 _23581_ ( + .A_N(\soc.core.grant[0] ), + .B(_11142_), + .C(\soc.core.grant[1] ), + .X(_04834_) + ); + sky130_fd_sc_hd__and3_2 _23582_ ( + .A(\soc.core.uartwishbonebridge_state[2] ), + .B(_04916_), + .C(_05124_), + .X(_04835_) + ); + sky130_fd_sc_hd__nand2b_2 _23583_ ( + .A_N(\soc.core.litespi_state[3] ), + .B(_04837_), + .Y(_04838_) + ); + sky130_fd_sc_hd__nor2_2 _23584_ ( + .A(\soc.core.uart_enabled_storage ), + .B(\soc.core.debug_in ), + .Y(_12341_) + ); + sky130_fd_sc_hd__inv_4 _23585_ ( + .A(_12341_), + .Y(\soc.core.uart_enabled ) + ); + sky130_fd_sc_hd__and2_4 _23586_ ( + .A(\soc.core.mgmtsoc_enable_storage ), + .B(\soc.core.mgmtsoc_zero_pending ), + .X(\soc.core.mgmtsoc_irq ) + ); + sky130_fd_sc_hd__and4_2 _23587_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(_11442_), + .C(\mgmt_buffers.mprj_sel_o_core[0] ), + .D(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.RAM256.WE0[0] ) + ); + sky130_fd_sc_hd__and4_2 _23588_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(_11442_), + .C(\mgmt_buffers.mprj_sel_o_core[1] ), + .D(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.RAM256.WE0[1] ) + ); + sky130_fd_sc_hd__and4_2 _23589_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(_11442_), + .C(\mgmt_buffers.mprj_sel_o_core[2] ), + .D(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.RAM256.WE0[2] ) + ); + sky130_fd_sc_hd__and4_2 _23590_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(_11442_), + .C(\mgmt_buffers.mprj_sel_o_core[3] ), + .D(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.RAM256.WE0[3] ) + ); + sky130_fd_sc_hd__and4_2 _23591_ ( + .A(_05018_), + .B(_11439_), + .C(_11441_), + .D(\mgmt_buffers.mprj_adr_o_core[10] ), + .X(_12342_) + ); + sky130_fd_sc_hd__and4_2 _23592_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(_12342_), + .C(\mgmt_buffers.mprj_sel_o_core[0] ), + .D(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.dff2_we[0] ) + ); + sky130_fd_sc_hd__and4_2 _23593_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(_12342_), + .C(\mgmt_buffers.mprj_sel_o_core[1] ), + .D(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.dff2_we[1] ) + ); + sky130_fd_sc_hd__and4_2 _23594_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(_12342_), + .C(\mgmt_buffers.mprj_sel_o_core[2] ), + .D(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.dff2_we[2] ) + ); + sky130_fd_sc_hd__and4_2 _23595_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(_12342_), + .C(\mgmt_buffers.mprj_sel_o_core[3] ), + .D(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.dff2_we[3] ) + ); + sky130_fd_sc_hd__and2_4 _23596_ ( + .A(_12342_), + .B(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.dff2_en ) + ); + sky130_fd_sc_hd__a22o_2 _23597_ ( + .A1(\soc.core.uart_rx2 ), + .A2(\soc.core.uart_rx_pending ), + .B1(\soc.core.uart_tx_pending ), + .B2(\soc.core.uart_tx2 ), + .X(\soc.core.uart_irq ) + ); + sky130_fd_sc_hd__and2_4 _23598_ ( + .A(\soc.core.gpioin0_enable_storage ), + .B(\soc.core.gpioin0_gpioin0_pending ), + .X(\soc.core.gpioin0_gpioin0_irq ) + ); + sky130_fd_sc_hd__and2_4 _23599_ ( + .A(\soc.core.gpioin1_enable_storage ), + .B(\soc.core.gpioin1_gpioin1_pending ), + .X(\soc.core.gpioin1_gpioin1_irq ) + ); + sky130_fd_sc_hd__and2_4 _23600_ ( + .A(\soc.core.gpioin2_enable_storage ), + .B(\soc.core.gpioin2_gpioin2_pending ), + .X(\soc.core.gpioin2_gpioin2_irq ) + ); + sky130_fd_sc_hd__and2_4 _23601_ ( + .A(\soc.core.gpioin3_enable_storage ), + .B(\soc.core.gpioin3_gpioin3_pending ), + .X(\soc.core.gpioin3_gpioin3_irq ) + ); + sky130_fd_sc_hd__and2_4 _23602_ ( + .A(\soc.core.gpioin4_enable_storage ), + .B(\soc.core.gpioin4_gpioin4_pending ), + .X(\soc.core.gpioin4_gpioin4_irq ) + ); + sky130_fd_sc_hd__and2_4 _23603_ ( + .A(\soc.core.gpioin5_enable_storage ), + .B(\soc.core.gpioin5_gpioin5_pending ), + .X(\soc.core.gpioin5_gpioin5_irq ) + ); + sky130_fd_sc_hd__and4_2 _23604_ ( + .A(\mgmt_buffers.mprj_adr_o_core[26] ), + .B(\mgmt_buffers.mprj_adr_o_core[25] ), + .C(_04978_), + .D(_04981_), + .X(_12343_) + ); + sky130_fd_sc_hd__and4_2 _23605_ ( + .A(\mgmt_buffers.mprj_adr_o_core[29] ), + .B(_04928_), + .C(_04975_), + .D(_04972_), + .X(_12344_) + ); + sky130_fd_sc_hd__and4_2 _23606_ ( + .A(_04919_), + .B(_04922_), + .C(_12343_), + .D(_12344_), + .X(_12345_) + ); + sky130_fd_sc_hd__and2_4 _23607_ ( + .A(_12345_), + .B(\mgmt_buffers.mprj_stb_o_core ), + .X(\soc.core.hk_cyc ) + ); + sky130_fd_sc_hd__nand4b_2 _23608_ ( + .A_N(\soc.core.dbg_uart_cmd[0] ), + .B(_12329_), + .C(_12330_), + .D(\soc.core.dbg_uart_cmd[1] ), + .Y(_04840_) + ); + sky130_fd_sc_hd__and2b_2 _23609_ ( + .A_N(_04996_), + .B(\mgmt_buffers.mprj_logic1[12] ), + .X(\mgmt_buffers.mprj_adr_o_user[2] ) + ); + sky130_fd_sc_hd__and2b_2 _23610_ ( + .A_N(_04999_), + .B(\mgmt_buffers.mprj_logic1[13] ), + .X(\mgmt_buffers.mprj_adr_o_user[3] ) + ); + sky130_fd_sc_hd__and2b_2 _23611_ ( + .A_N(_05002_), + .B(\mgmt_buffers.mprj_logic1[14] ), + .X(\mgmt_buffers.mprj_adr_o_user[4] ) + ); + sky130_fd_sc_hd__and2b_2 _23612_ ( + .A_N(_05006_), + .B(\mgmt_buffers.mprj_logic1[15] ), + .X(\mgmt_buffers.mprj_adr_o_user[5] ) + ); + sky130_fd_sc_hd__and2b_2 _23613_ ( + .A_N(_05009_), + .B(\mgmt_buffers.mprj_logic1[16] ), + .X(\mgmt_buffers.mprj_adr_o_user[6] ) + ); + sky130_fd_sc_hd__and2b_2 _23614_ ( + .A_N(_05012_), + .B(\mgmt_buffers.mprj_logic1[17] ), + .X(\mgmt_buffers.mprj_adr_o_user[7] ) + ); + sky130_fd_sc_hd__and2b_2 _23615_ ( + .A_N(_05015_), + .B(\mgmt_buffers.mprj_logic1[18] ), + .X(\mgmt_buffers.mprj_adr_o_user[8] ) + ); + sky130_fd_sc_hd__and2b_2 _23616_ ( + .A_N(_05018_), + .B(\mgmt_buffers.mprj_logic1[19] ), + .X(\mgmt_buffers.mprj_adr_o_user[9] ) + ); + sky130_fd_sc_hd__and2b_2 _23617_ ( + .A_N(_04942_), + .B(\mgmt_buffers.mprj_logic1[20] ), + .X(\mgmt_buffers.mprj_adr_o_user[10] ) + ); + sky130_fd_sc_hd__and2b_2 _23618_ ( + .A_N(_04957_), + .B(\mgmt_buffers.mprj_logic1[21] ), + .X(\mgmt_buffers.mprj_adr_o_user[11] ) + ); + sky130_fd_sc_hd__and2b_2 _23619_ ( + .A_N(_04984_), + .B(\mgmt_buffers.mprj_logic1[22] ), + .X(\mgmt_buffers.mprj_adr_o_user[12] ) + ); + sky130_fd_sc_hd__and2b_2 _23620_ ( + .A_N(_04987_), + .B(\mgmt_buffers.mprj_logic1[23] ), + .X(\mgmt_buffers.mprj_adr_o_user[13] ) + ); + sky130_fd_sc_hd__and2b_2 _23621_ ( + .A_N(_04990_), + .B(\mgmt_buffers.mprj_logic1[24] ), + .X(\mgmt_buffers.mprj_adr_o_user[14] ) + ); + sky130_fd_sc_hd__and2b_2 _23622_ ( + .A_N(_04993_), + .B(\mgmt_buffers.mprj_logic1[25] ), + .X(\mgmt_buffers.mprj_adr_o_user[15] ) + ); + sky130_fd_sc_hd__and2b_2 _23623_ ( + .A_N(_04954_), + .B(\mgmt_buffers.mprj_logic1[26] ), + .X(\mgmt_buffers.mprj_adr_o_user[16] ) + ); + sky130_fd_sc_hd__and2b_2 _23624_ ( + .A_N(_04951_), + .B(\mgmt_buffers.mprj_logic1[27] ), + .X(\mgmt_buffers.mprj_adr_o_user[17] ) + ); + sky130_fd_sc_hd__and2b_2 _23625_ ( + .A_N(_04948_), + .B(\mgmt_buffers.mprj_logic1[28] ), + .X(\mgmt_buffers.mprj_adr_o_user[18] ) + ); + sky130_fd_sc_hd__and2b_2 _23626_ ( + .A_N(_04945_), + .B(\mgmt_buffers.mprj_logic1[29] ), + .X(\mgmt_buffers.mprj_adr_o_user[19] ) + ); + sky130_fd_sc_hd__and2b_2 _23627_ ( + .A_N(_04966_), + .B(\mgmt_buffers.mprj_logic1[30] ), + .X(\mgmt_buffers.mprj_adr_o_user[20] ) + ); + sky130_fd_sc_hd__and2b_2 _23628_ ( + .A_N(_04969_), + .B(\mgmt_buffers.mprj_logic1[31] ), + .X(\mgmt_buffers.mprj_adr_o_user[21] ) + ); + sky130_fd_sc_hd__and2b_2 _23629_ ( + .A_N(_04972_), + .B(\mgmt_buffers.mprj_logic1[32] ), + .X(\mgmt_buffers.mprj_adr_o_user[22] ) + ); + sky130_fd_sc_hd__and2b_2 _23630_ ( + .A_N(_04975_), + .B(\mgmt_buffers.mprj_logic1[33] ), + .X(\mgmt_buffers.mprj_adr_o_user[23] ) + ); + sky130_fd_sc_hd__and2b_2 _23631_ ( + .A_N(_04978_), + .B(\mgmt_buffers.mprj_logic1[34] ), + .X(\mgmt_buffers.mprj_adr_o_user[24] ) + ); + sky130_fd_sc_hd__and2b_2 _23632_ ( + .A_N(_04939_), + .B(\mgmt_buffers.mprj_logic1[35] ), + .X(\mgmt_buffers.mprj_adr_o_user[25] ) + ); + sky130_fd_sc_hd__and2b_2 _23633_ ( + .A_N(_04936_), + .B(\mgmt_buffers.mprj_logic1[36] ), + .X(\mgmt_buffers.mprj_adr_o_user[26] ) + ); + sky130_fd_sc_hd__and2b_2 _23634_ ( + .A_N(_04981_), + .B(\mgmt_buffers.mprj_logic1[37] ), + .X(\mgmt_buffers.mprj_adr_o_user[27] ) + ); + sky130_fd_sc_hd__and2b_2 _23635_ ( + .A_N(_04928_), + .B(\mgmt_buffers.mprj_logic1[38] ), + .X(\mgmt_buffers.mprj_adr_o_user[28] ) + ); + sky130_fd_sc_hd__and2b_2 _23636_ ( + .A_N(_04925_), + .B(\mgmt_buffers.mprj_logic1[39] ), + .X(\mgmt_buffers.mprj_adr_o_user[29] ) + ); + sky130_fd_sc_hd__and2b_2 _23637_ ( + .A_N(_04922_), + .B(\mgmt_buffers.mprj_logic1[40] ), + .X(\mgmt_buffers.mprj_adr_o_user[30] ) + ); + sky130_fd_sc_hd__and2b_2 _23638_ ( + .A_N(_04919_), + .B(\mgmt_buffers.mprj_logic1[41] ), + .X(\mgmt_buffers.mprj_adr_o_user[31] ) + ); + sky130_fd_sc_hd__and2b_2 _23639_ ( + .A_N(\soc.core.la_oe_storage[0] ), + .B(\mgmt_buffers.mprj_logic1[202] ), + .X(\mgmt_buffers.la_oenb_core[0] ) + ); + sky130_fd_sc_hd__and2b_2 _23640_ ( + .A_N(\soc.core.la_oe_storage[1] ), + .B(\mgmt_buffers.mprj_logic1[203] ), + .X(\mgmt_buffers.la_oenb_core[1] ) + ); + sky130_fd_sc_hd__and2b_2 _23641_ ( + .A_N(\soc.core.la_oe_storage[2] ), + .B(\mgmt_buffers.mprj_logic1[204] ), + .X(\mgmt_buffers.la_oenb_core[2] ) + ); + sky130_fd_sc_hd__and2b_2 _23642_ ( + .A_N(\soc.core.la_oe_storage[3] ), + .B(\mgmt_buffers.mprj_logic1[205] ), + .X(\mgmt_buffers.la_oenb_core[3] ) + ); + sky130_fd_sc_hd__and2b_2 _23643_ ( + .A_N(\soc.core.la_oe_storage[4] ), + .B(\mgmt_buffers.mprj_logic1[206] ), + .X(\mgmt_buffers.la_oenb_core[4] ) + ); + sky130_fd_sc_hd__and2b_2 _23644_ ( + .A_N(\soc.core.la_oe_storage[5] ), + .B(\mgmt_buffers.mprj_logic1[207] ), + .X(\mgmt_buffers.la_oenb_core[5] ) + ); + sky130_fd_sc_hd__and2b_2 _23645_ ( + .A_N(\soc.core.la_oe_storage[6] ), + .B(\mgmt_buffers.mprj_logic1[208] ), + .X(\mgmt_buffers.la_oenb_core[6] ) + ); + sky130_fd_sc_hd__and2b_2 _23646_ ( + .A_N(\soc.core.la_oe_storage[7] ), + .B(\mgmt_buffers.mprj_logic1[209] ), + .X(\mgmt_buffers.la_oenb_core[7] ) + ); + sky130_fd_sc_hd__and2b_2 _23647_ ( + .A_N(\soc.core.la_oe_storage[8] ), + .B(\mgmt_buffers.mprj_logic1[210] ), + .X(\mgmt_buffers.la_oenb_core[8] ) + ); + sky130_fd_sc_hd__and2b_2 _23648_ ( + .A_N(\soc.core.la_oe_storage[9] ), + .B(\mgmt_buffers.mprj_logic1[211] ), + .X(\mgmt_buffers.la_oenb_core[9] ) + ); + sky130_fd_sc_hd__and2b_2 _23649_ ( + .A_N(\soc.core.la_oe_storage[10] ), + .B(\mgmt_buffers.mprj_logic1[212] ), + .X(\mgmt_buffers.la_oenb_core[10] ) + ); + sky130_fd_sc_hd__and2b_2 _23650_ ( + .A_N(\soc.core.la_oe_storage[11] ), + .B(\mgmt_buffers.mprj_logic1[213] ), + .X(\mgmt_buffers.la_oenb_core[11] ) + ); + sky130_fd_sc_hd__and2b_2 _23651_ ( + .A_N(\soc.core.la_oe_storage[12] ), + .B(\mgmt_buffers.mprj_logic1[214] ), + .X(\mgmt_buffers.la_oenb_core[12] ) + ); + sky130_fd_sc_hd__and2b_2 _23652_ ( + .A_N(\soc.core.la_oe_storage[13] ), + .B(\mgmt_buffers.mprj_logic1[215] ), + .X(\mgmt_buffers.la_oenb_core[13] ) + ); + sky130_fd_sc_hd__and2b_2 _23653_ ( + .A_N(\soc.core.la_oe_storage[14] ), + .B(\mgmt_buffers.mprj_logic1[216] ), + .X(\mgmt_buffers.la_oenb_core[14] ) + ); + sky130_fd_sc_hd__and2b_2 _23654_ ( + .A_N(\soc.core.la_oe_storage[15] ), + .B(\mgmt_buffers.mprj_logic1[217] ), + .X(\mgmt_buffers.la_oenb_core[15] ) + ); + sky130_fd_sc_hd__and2b_2 _23655_ ( + .A_N(\soc.core.la_oe_storage[16] ), + .B(\mgmt_buffers.mprj_logic1[218] ), + .X(\mgmt_buffers.la_oenb_core[16] ) + ); + sky130_fd_sc_hd__and2b_2 _23656_ ( + .A_N(\soc.core.la_oe_storage[17] ), + .B(\mgmt_buffers.mprj_logic1[219] ), + .X(\mgmt_buffers.la_oenb_core[17] ) + ); + sky130_fd_sc_hd__and2b_2 _23657_ ( + .A_N(\soc.core.la_oe_storage[18] ), + .B(\mgmt_buffers.mprj_logic1[220] ), + .X(\mgmt_buffers.la_oenb_core[18] ) + ); + sky130_fd_sc_hd__and2b_2 _23658_ ( + .A_N(\soc.core.la_oe_storage[19] ), + .B(\mgmt_buffers.mprj_logic1[221] ), + .X(\mgmt_buffers.la_oenb_core[19] ) + ); + sky130_fd_sc_hd__and2b_2 _23659_ ( + .A_N(\soc.core.la_oe_storage[20] ), + .B(\mgmt_buffers.mprj_logic1[222] ), + .X(\mgmt_buffers.la_oenb_core[20] ) + ); + sky130_fd_sc_hd__and2b_2 _23660_ ( + .A_N(\soc.core.la_oe_storage[21] ), + .B(\mgmt_buffers.mprj_logic1[223] ), + .X(\mgmt_buffers.la_oenb_core[21] ) + ); + sky130_fd_sc_hd__and2b_2 _23661_ ( + .A_N(\soc.core.la_oe_storage[22] ), + .B(\mgmt_buffers.mprj_logic1[224] ), + .X(\mgmt_buffers.la_oenb_core[22] ) + ); + sky130_fd_sc_hd__and2b_2 _23662_ ( + .A_N(\soc.core.la_oe_storage[23] ), + .B(\mgmt_buffers.mprj_logic1[225] ), + .X(\mgmt_buffers.la_oenb_core[23] ) + ); + sky130_fd_sc_hd__and2b_2 _23663_ ( + .A_N(\soc.core.la_oe_storage[24] ), + .B(\mgmt_buffers.mprj_logic1[226] ), + .X(\mgmt_buffers.la_oenb_core[24] ) + ); + sky130_fd_sc_hd__and2b_2 _23664_ ( + .A_N(\soc.core.la_oe_storage[25] ), + .B(\mgmt_buffers.mprj_logic1[227] ), + .X(\mgmt_buffers.la_oenb_core[25] ) + ); + sky130_fd_sc_hd__and2b_2 _23665_ ( + .A_N(\soc.core.la_oe_storage[26] ), + .B(\mgmt_buffers.mprj_logic1[228] ), + .X(\mgmt_buffers.la_oenb_core[26] ) + ); + sky130_fd_sc_hd__and2b_2 _23666_ ( + .A_N(\soc.core.la_oe_storage[27] ), + .B(\mgmt_buffers.mprj_logic1[229] ), + .X(\mgmt_buffers.la_oenb_core[27] ) + ); + sky130_fd_sc_hd__and2b_2 _23667_ ( + .A_N(\soc.core.la_oe_storage[28] ), + .B(\mgmt_buffers.mprj_logic1[230] ), + .X(\mgmt_buffers.la_oenb_core[28] ) + ); + sky130_fd_sc_hd__and2b_2 _23668_ ( + .A_N(\soc.core.la_oe_storage[29] ), + .B(\mgmt_buffers.mprj_logic1[231] ), + .X(\mgmt_buffers.la_oenb_core[29] ) + ); + sky130_fd_sc_hd__and2b_2 _23669_ ( + .A_N(\soc.core.la_oe_storage[30] ), + .B(\mgmt_buffers.mprj_logic1[232] ), + .X(\mgmt_buffers.la_oenb_core[30] ) + ); + sky130_fd_sc_hd__and2b_2 _23670_ ( + .A_N(\soc.core.la_oe_storage[31] ), + .B(\mgmt_buffers.mprj_logic1[233] ), + .X(\mgmt_buffers.la_oenb_core[31] ) + ); + sky130_fd_sc_hd__and2b_2 _23671_ ( + .A_N(\soc.core.la_oe_storage[32] ), + .B(\mgmt_buffers.mprj_logic1[234] ), + .X(\mgmt_buffers.la_oenb_core[32] ) + ); + sky130_fd_sc_hd__and2b_2 _23672_ ( + .A_N(\soc.core.la_oe_storage[33] ), + .B(\mgmt_buffers.mprj_logic1[235] ), + .X(\mgmt_buffers.la_oenb_core[33] ) + ); + sky130_fd_sc_hd__and2b_2 _23673_ ( + .A_N(\soc.core.la_oe_storage[34] ), + .B(\mgmt_buffers.mprj_logic1[236] ), + .X(\mgmt_buffers.la_oenb_core[34] ) + ); + sky130_fd_sc_hd__and2b_2 _23674_ ( + .A_N(\soc.core.la_oe_storage[35] ), + .B(\mgmt_buffers.mprj_logic1[237] ), + .X(\mgmt_buffers.la_oenb_core[35] ) + ); + sky130_fd_sc_hd__and2b_2 _23675_ ( + .A_N(\soc.core.la_oe_storage[36] ), + .B(\mgmt_buffers.mprj_logic1[238] ), + .X(\mgmt_buffers.la_oenb_core[36] ) + ); + sky130_fd_sc_hd__and2b_2 _23676_ ( + .A_N(\soc.core.la_oe_storage[37] ), + .B(\mgmt_buffers.mprj_logic1[239] ), + .X(\mgmt_buffers.la_oenb_core[37] ) + ); + sky130_fd_sc_hd__and2b_2 _23677_ ( + .A_N(\soc.core.la_oe_storage[38] ), + .B(\mgmt_buffers.mprj_logic1[240] ), + .X(\mgmt_buffers.la_oenb_core[38] ) + ); + sky130_fd_sc_hd__and2b_2 _23678_ ( + .A_N(\soc.core.la_oe_storage[39] ), + .B(\mgmt_buffers.mprj_logic1[241] ), + .X(\mgmt_buffers.la_oenb_core[39] ) + ); + sky130_fd_sc_hd__and2b_2 _23679_ ( + .A_N(\soc.core.la_oe_storage[40] ), + .B(\mgmt_buffers.mprj_logic1[242] ), + .X(\mgmt_buffers.la_oenb_core[40] ) + ); + sky130_fd_sc_hd__and2b_2 _23680_ ( + .A_N(\soc.core.la_oe_storage[41] ), + .B(\mgmt_buffers.mprj_logic1[243] ), + .X(\mgmt_buffers.la_oenb_core[41] ) + ); + sky130_fd_sc_hd__and2b_2 _23681_ ( + .A_N(\soc.core.la_oe_storage[42] ), + .B(\mgmt_buffers.mprj_logic1[244] ), + .X(\mgmt_buffers.la_oenb_core[42] ) + ); + sky130_fd_sc_hd__and2b_2 _23682_ ( + .A_N(\soc.core.la_oe_storage[43] ), + .B(\mgmt_buffers.mprj_logic1[245] ), + .X(\mgmt_buffers.la_oenb_core[43] ) + ); + sky130_fd_sc_hd__and2b_2 _23683_ ( + .A_N(\soc.core.la_oe_storage[44] ), + .B(\mgmt_buffers.mprj_logic1[246] ), + .X(\mgmt_buffers.la_oenb_core[44] ) + ); + sky130_fd_sc_hd__and2b_2 _23684_ ( + .A_N(\soc.core.la_oe_storage[45] ), + .B(\mgmt_buffers.mprj_logic1[247] ), + .X(\mgmt_buffers.la_oenb_core[45] ) + ); + sky130_fd_sc_hd__and2b_2 _23685_ ( + .A_N(\soc.core.la_oe_storage[46] ), + .B(\mgmt_buffers.mprj_logic1[248] ), + .X(\mgmt_buffers.la_oenb_core[46] ) + ); + sky130_fd_sc_hd__and2b_2 _23686_ ( + .A_N(\soc.core.la_oe_storage[47] ), + .B(\mgmt_buffers.mprj_logic1[249] ), + .X(\mgmt_buffers.la_oenb_core[47] ) + ); + sky130_fd_sc_hd__and2b_2 _23687_ ( + .A_N(\soc.core.la_oe_storage[48] ), + .B(\mgmt_buffers.mprj_logic1[250] ), + .X(\mgmt_buffers.la_oenb_core[48] ) + ); + sky130_fd_sc_hd__and2b_2 _23688_ ( + .A_N(\soc.core.la_oe_storage[49] ), + .B(\mgmt_buffers.mprj_logic1[251] ), + .X(\mgmt_buffers.la_oenb_core[49] ) + ); + sky130_fd_sc_hd__and2b_2 _23689_ ( + .A_N(\soc.core.la_oe_storage[50] ), + .B(\mgmt_buffers.mprj_logic1[252] ), + .X(\mgmt_buffers.la_oenb_core[50] ) + ); + sky130_fd_sc_hd__and2b_2 _23690_ ( + .A_N(\soc.core.la_oe_storage[51] ), + .B(\mgmt_buffers.mprj_logic1[253] ), + .X(\mgmt_buffers.la_oenb_core[51] ) + ); + sky130_fd_sc_hd__and2b_2 _23691_ ( + .A_N(\soc.core.la_oe_storage[52] ), + .B(\mgmt_buffers.mprj_logic1[254] ), + .X(\mgmt_buffers.la_oenb_core[52] ) + ); + sky130_fd_sc_hd__and2b_2 _23692_ ( + .A_N(\soc.core.la_oe_storage[53] ), + .B(\mgmt_buffers.mprj_logic1[255] ), + .X(\mgmt_buffers.la_oenb_core[53] ) + ); + sky130_fd_sc_hd__and2b_2 _23693_ ( + .A_N(\soc.core.la_oe_storage[54] ), + .B(\mgmt_buffers.mprj_logic1[256] ), + .X(\mgmt_buffers.la_oenb_core[54] ) + ); + sky130_fd_sc_hd__and2b_2 _23694_ ( + .A_N(\soc.core.la_oe_storage[55] ), + .B(\mgmt_buffers.mprj_logic1[257] ), + .X(\mgmt_buffers.la_oenb_core[55] ) + ); + sky130_fd_sc_hd__and2b_2 _23695_ ( + .A_N(\soc.core.la_oe_storage[56] ), + .B(\mgmt_buffers.mprj_logic1[258] ), + .X(\mgmt_buffers.la_oenb_core[56] ) + ); + sky130_fd_sc_hd__and2b_2 _23696_ ( + .A_N(\soc.core.la_oe_storage[57] ), + .B(\mgmt_buffers.mprj_logic1[259] ), + .X(\mgmt_buffers.la_oenb_core[57] ) + ); + sky130_fd_sc_hd__and2b_2 _23697_ ( + .A_N(\soc.core.la_oe_storage[58] ), + .B(\mgmt_buffers.mprj_logic1[260] ), + .X(\mgmt_buffers.la_oenb_core[58] ) + ); + sky130_fd_sc_hd__and2b_2 _23698_ ( + .A_N(\soc.core.la_oe_storage[59] ), + .B(\mgmt_buffers.mprj_logic1[261] ), + .X(\mgmt_buffers.la_oenb_core[59] ) + ); + sky130_fd_sc_hd__and2b_2 _23699_ ( + .A_N(\soc.core.la_oe_storage[60] ), + .B(\mgmt_buffers.mprj_logic1[262] ), + .X(\mgmt_buffers.la_oenb_core[60] ) + ); + sky130_fd_sc_hd__and2b_2 _23700_ ( + .A_N(\soc.core.la_oe_storage[61] ), + .B(\mgmt_buffers.mprj_logic1[263] ), + .X(\mgmt_buffers.la_oenb_core[61] ) + ); + sky130_fd_sc_hd__and2b_2 _23701_ ( + .A_N(\soc.core.la_oe_storage[62] ), + .B(\mgmt_buffers.mprj_logic1[264] ), + .X(\mgmt_buffers.la_oenb_core[62] ) + ); + sky130_fd_sc_hd__and2b_2 _23702_ ( + .A_N(\soc.core.la_oe_storage[63] ), + .B(\mgmt_buffers.mprj_logic1[265] ), + .X(\mgmt_buffers.la_oenb_core[63] ) + ); + sky130_fd_sc_hd__and2b_2 _23703_ ( + .A_N(\soc.core.la_oe_storage[64] ), + .B(\mgmt_buffers.mprj_logic1[266] ), + .X(\mgmt_buffers.la_oenb_core[64] ) + ); + sky130_fd_sc_hd__and2b_2 _23704_ ( + .A_N(\soc.core.la_oe_storage[65] ), + .B(\mgmt_buffers.mprj_logic1[267] ), + .X(\mgmt_buffers.la_oenb_core[65] ) + ); + sky130_fd_sc_hd__and2b_2 _23705_ ( + .A_N(\soc.core.la_oe_storage[66] ), + .B(\mgmt_buffers.mprj_logic1[268] ), + .X(\mgmt_buffers.la_oenb_core[66] ) + ); + sky130_fd_sc_hd__and2b_2 _23706_ ( + .A_N(\soc.core.la_oe_storage[67] ), + .B(\mgmt_buffers.mprj_logic1[269] ), + .X(\mgmt_buffers.la_oenb_core[67] ) + ); + sky130_fd_sc_hd__and2b_2 _23707_ ( + .A_N(\soc.core.la_oe_storage[68] ), + .B(\mgmt_buffers.mprj_logic1[270] ), + .X(\mgmt_buffers.la_oenb_core[68] ) + ); + sky130_fd_sc_hd__and2b_2 _23708_ ( + .A_N(\soc.core.la_oe_storage[69] ), + .B(\mgmt_buffers.mprj_logic1[271] ), + .X(\mgmt_buffers.la_oenb_core[69] ) + ); + sky130_fd_sc_hd__and2b_2 _23709_ ( + .A_N(\soc.core.la_oe_storage[70] ), + .B(\mgmt_buffers.mprj_logic1[272] ), + .X(\mgmt_buffers.la_oenb_core[70] ) + ); + sky130_fd_sc_hd__and2b_2 _23710_ ( + .A_N(\soc.core.la_oe_storage[71] ), + .B(\mgmt_buffers.mprj_logic1[273] ), + .X(\mgmt_buffers.la_oenb_core[71] ) + ); + sky130_fd_sc_hd__and2b_2 _23711_ ( + .A_N(\soc.core.la_oe_storage[72] ), + .B(\mgmt_buffers.mprj_logic1[274] ), + .X(\mgmt_buffers.la_oenb_core[72] ) + ); + sky130_fd_sc_hd__and2b_2 _23712_ ( + .A_N(\soc.core.la_oe_storage[73] ), + .B(\mgmt_buffers.mprj_logic1[275] ), + .X(\mgmt_buffers.la_oenb_core[73] ) + ); + sky130_fd_sc_hd__and2b_2 _23713_ ( + .A_N(\soc.core.la_oe_storage[74] ), + .B(\mgmt_buffers.mprj_logic1[276] ), + .X(\mgmt_buffers.la_oenb_core[74] ) + ); + sky130_fd_sc_hd__and2b_2 _23714_ ( + .A_N(\soc.core.la_oe_storage[75] ), + .B(\mgmt_buffers.mprj_logic1[277] ), + .X(\mgmt_buffers.la_oenb_core[75] ) + ); + sky130_fd_sc_hd__and2b_2 _23715_ ( + .A_N(\soc.core.la_oe_storage[76] ), + .B(\mgmt_buffers.mprj_logic1[278] ), + .X(\mgmt_buffers.la_oenb_core[76] ) + ); + sky130_fd_sc_hd__and2b_2 _23716_ ( + .A_N(\soc.core.la_oe_storage[77] ), + .B(\mgmt_buffers.mprj_logic1[279] ), + .X(\mgmt_buffers.la_oenb_core[77] ) + ); + sky130_fd_sc_hd__and2b_2 _23717_ ( + .A_N(\soc.core.la_oe_storage[78] ), + .B(\mgmt_buffers.mprj_logic1[280] ), + .X(\mgmt_buffers.la_oenb_core[78] ) + ); + sky130_fd_sc_hd__and2b_2 _23718_ ( + .A_N(\soc.core.la_oe_storage[79] ), + .B(\mgmt_buffers.mprj_logic1[281] ), + .X(\mgmt_buffers.la_oenb_core[79] ) + ); + sky130_fd_sc_hd__and2b_2 _23719_ ( + .A_N(\soc.core.la_oe_storage[80] ), + .B(\mgmt_buffers.mprj_logic1[282] ), + .X(\mgmt_buffers.la_oenb_core[80] ) + ); + sky130_fd_sc_hd__and2b_2 _23720_ ( + .A_N(\soc.core.la_oe_storage[81] ), + .B(\mgmt_buffers.mprj_logic1[283] ), + .X(\mgmt_buffers.la_oenb_core[81] ) + ); + sky130_fd_sc_hd__and2b_2 _23721_ ( + .A_N(\soc.core.la_oe_storage[82] ), + .B(\mgmt_buffers.mprj_logic1[284] ), + .X(\mgmt_buffers.la_oenb_core[82] ) + ); + sky130_fd_sc_hd__and2b_2 _23722_ ( + .A_N(\soc.core.la_oe_storage[83] ), + .B(\mgmt_buffers.mprj_logic1[285] ), + .X(\mgmt_buffers.la_oenb_core[83] ) + ); + sky130_fd_sc_hd__and2b_2 _23723_ ( + .A_N(\soc.core.la_oe_storage[84] ), + .B(\mgmt_buffers.mprj_logic1[286] ), + .X(\mgmt_buffers.la_oenb_core[84] ) + ); + sky130_fd_sc_hd__and2b_2 _23724_ ( + .A_N(\soc.core.la_oe_storage[85] ), + .B(\mgmt_buffers.mprj_logic1[287] ), + .X(\mgmt_buffers.la_oenb_core[85] ) + ); + sky130_fd_sc_hd__and2b_2 _23725_ ( + .A_N(\soc.core.la_oe_storage[86] ), + .B(\mgmt_buffers.mprj_logic1[288] ), + .X(\mgmt_buffers.la_oenb_core[86] ) + ); + sky130_fd_sc_hd__and2b_2 _23726_ ( + .A_N(\soc.core.la_oe_storage[87] ), + .B(\mgmt_buffers.mprj_logic1[289] ), + .X(\mgmt_buffers.la_oenb_core[87] ) + ); + sky130_fd_sc_hd__and2b_2 _23727_ ( + .A_N(\soc.core.la_oe_storage[88] ), + .B(\mgmt_buffers.mprj_logic1[290] ), + .X(\mgmt_buffers.la_oenb_core[88] ) + ); + sky130_fd_sc_hd__and2b_2 _23728_ ( + .A_N(\soc.core.la_oe_storage[89] ), + .B(\mgmt_buffers.mprj_logic1[291] ), + .X(\mgmt_buffers.la_oenb_core[89] ) + ); + sky130_fd_sc_hd__and2b_2 _23729_ ( + .A_N(\soc.core.la_oe_storage[90] ), + .B(\mgmt_buffers.mprj_logic1[292] ), + .X(\mgmt_buffers.la_oenb_core[90] ) + ); + sky130_fd_sc_hd__and2b_2 _23730_ ( + .A_N(\soc.core.la_oe_storage[91] ), + .B(\mgmt_buffers.mprj_logic1[293] ), + .X(\mgmt_buffers.la_oenb_core[91] ) + ); + sky130_fd_sc_hd__and2b_2 _23731_ ( + .A_N(\soc.core.la_oe_storage[92] ), + .B(\mgmt_buffers.mprj_logic1[294] ), + .X(\mgmt_buffers.la_oenb_core[92] ) + ); + sky130_fd_sc_hd__and2b_2 _23732_ ( + .A_N(\soc.core.la_oe_storage[93] ), + .B(\mgmt_buffers.mprj_logic1[295] ), + .X(\mgmt_buffers.la_oenb_core[93] ) + ); + sky130_fd_sc_hd__and2b_2 _23733_ ( + .A_N(\soc.core.la_oe_storage[94] ), + .B(\mgmt_buffers.mprj_logic1[296] ), + .X(\mgmt_buffers.la_oenb_core[94] ) + ); + sky130_fd_sc_hd__and2b_2 _23734_ ( + .A_N(\soc.core.la_oe_storage[95] ), + .B(\mgmt_buffers.mprj_logic1[297] ), + .X(\mgmt_buffers.la_oenb_core[95] ) + ); + sky130_fd_sc_hd__and2b_2 _23735_ ( + .A_N(\soc.core.la_oe_storage[96] ), + .B(\mgmt_buffers.mprj_logic1[298] ), + .X(\mgmt_buffers.la_oenb_core[96] ) + ); + sky130_fd_sc_hd__and2b_2 _23736_ ( + .A_N(\soc.core.la_oe_storage[97] ), + .B(\mgmt_buffers.mprj_logic1[299] ), + .X(\mgmt_buffers.la_oenb_core[97] ) + ); + sky130_fd_sc_hd__and2b_2 _23737_ ( + .A_N(\soc.core.la_oe_storage[98] ), + .B(\mgmt_buffers.mprj_logic1[300] ), + .X(\mgmt_buffers.la_oenb_core[98] ) + ); + sky130_fd_sc_hd__and2b_2 _23738_ ( + .A_N(\soc.core.la_oe_storage[99] ), + .B(\mgmt_buffers.mprj_logic1[301] ), + .X(\mgmt_buffers.la_oenb_core[99] ) + ); + sky130_fd_sc_hd__and2b_2 _23739_ ( + .A_N(\soc.core.la_oe_storage[100] ), + .B(\mgmt_buffers.mprj_logic1[302] ), + .X(\mgmt_buffers.la_oenb_core[100] ) + ); + sky130_fd_sc_hd__and2b_2 _23740_ ( + .A_N(\soc.core.la_oe_storage[101] ), + .B(\mgmt_buffers.mprj_logic1[303] ), + .X(\mgmt_buffers.la_oenb_core[101] ) + ); + sky130_fd_sc_hd__and2b_2 _23741_ ( + .A_N(\soc.core.la_oe_storage[102] ), + .B(\mgmt_buffers.mprj_logic1[304] ), + .X(\mgmt_buffers.la_oenb_core[102] ) + ); + sky130_fd_sc_hd__and2b_2 _23742_ ( + .A_N(\soc.core.la_oe_storage[103] ), + .B(\mgmt_buffers.mprj_logic1[305] ), + .X(\mgmt_buffers.la_oenb_core[103] ) + ); + sky130_fd_sc_hd__and2b_2 _23743_ ( + .A_N(\soc.core.la_oe_storage[104] ), + .B(\mgmt_buffers.mprj_logic1[306] ), + .X(\mgmt_buffers.la_oenb_core[104] ) + ); + sky130_fd_sc_hd__and2b_2 _23744_ ( + .A_N(\soc.core.la_oe_storage[105] ), + .B(\mgmt_buffers.mprj_logic1[307] ), + .X(\mgmt_buffers.la_oenb_core[105] ) + ); + sky130_fd_sc_hd__and2b_2 _23745_ ( + .A_N(\soc.core.la_oe_storage[106] ), + .B(\mgmt_buffers.mprj_logic1[308] ), + .X(\mgmt_buffers.la_oenb_core[106] ) + ); + sky130_fd_sc_hd__and2b_2 _23746_ ( + .A_N(\soc.core.la_oe_storage[107] ), + .B(\mgmt_buffers.mprj_logic1[309] ), + .X(\mgmt_buffers.la_oenb_core[107] ) + ); + sky130_fd_sc_hd__and2b_2 _23747_ ( + .A_N(\soc.core.la_oe_storage[108] ), + .B(\mgmt_buffers.mprj_logic1[310] ), + .X(\mgmt_buffers.la_oenb_core[108] ) + ); + sky130_fd_sc_hd__and2b_2 _23748_ ( + .A_N(\soc.core.la_oe_storage[109] ), + .B(\mgmt_buffers.mprj_logic1[311] ), + .X(\mgmt_buffers.la_oenb_core[109] ) + ); + sky130_fd_sc_hd__and2b_2 _23749_ ( + .A_N(\soc.core.la_oe_storage[110] ), + .B(\mgmt_buffers.mprj_logic1[312] ), + .X(\mgmt_buffers.la_oenb_core[110] ) + ); + sky130_fd_sc_hd__and2b_2 _23750_ ( + .A_N(\soc.core.la_oe_storage[111] ), + .B(\mgmt_buffers.mprj_logic1[313] ), + .X(\mgmt_buffers.la_oenb_core[111] ) + ); + sky130_fd_sc_hd__and2b_2 _23751_ ( + .A_N(\soc.core.la_oe_storage[112] ), + .B(\mgmt_buffers.mprj_logic1[314] ), + .X(\mgmt_buffers.la_oenb_core[112] ) + ); + sky130_fd_sc_hd__and2b_2 _23752_ ( + .A_N(\soc.core.la_oe_storage[113] ), + .B(\mgmt_buffers.mprj_logic1[315] ), + .X(\mgmt_buffers.la_oenb_core[113] ) + ); + sky130_fd_sc_hd__and2b_2 _23753_ ( + .A_N(\soc.core.la_oe_storage[114] ), + .B(\mgmt_buffers.mprj_logic1[316] ), + .X(\mgmt_buffers.la_oenb_core[114] ) + ); + sky130_fd_sc_hd__and2b_2 _23754_ ( + .A_N(\soc.core.la_oe_storage[115] ), + .B(\mgmt_buffers.mprj_logic1[317] ), + .X(\mgmt_buffers.la_oenb_core[115] ) + ); + sky130_fd_sc_hd__and2b_2 _23755_ ( + .A_N(\soc.core.la_oe_storage[116] ), + .B(\mgmt_buffers.mprj_logic1[318] ), + .X(\mgmt_buffers.la_oenb_core[116] ) + ); + sky130_fd_sc_hd__and2b_2 _23756_ ( + .A_N(\soc.core.la_oe_storage[117] ), + .B(\mgmt_buffers.mprj_logic1[319] ), + .X(\mgmt_buffers.la_oenb_core[117] ) + ); + sky130_fd_sc_hd__and2b_2 _23757_ ( + .A_N(\soc.core.la_oe_storage[118] ), + .B(\mgmt_buffers.mprj_logic1[320] ), + .X(\mgmt_buffers.la_oenb_core[118] ) + ); + sky130_fd_sc_hd__and2b_2 _23758_ ( + .A_N(\soc.core.la_oe_storage[119] ), + .B(\mgmt_buffers.mprj_logic1[321] ), + .X(\mgmt_buffers.la_oenb_core[119] ) + ); + sky130_fd_sc_hd__and2b_2 _23759_ ( + .A_N(\soc.core.la_oe_storage[120] ), + .B(\mgmt_buffers.mprj_logic1[322] ), + .X(\mgmt_buffers.la_oenb_core[120] ) + ); + sky130_fd_sc_hd__and2b_2 _23760_ ( + .A_N(\soc.core.la_oe_storage[121] ), + .B(\mgmt_buffers.mprj_logic1[323] ), + .X(\mgmt_buffers.la_oenb_core[121] ) + ); + sky130_fd_sc_hd__and2b_2 _23761_ ( + .A_N(\soc.core.la_oe_storage[122] ), + .B(\mgmt_buffers.mprj_logic1[324] ), + .X(\mgmt_buffers.la_oenb_core[122] ) + ); + sky130_fd_sc_hd__and2b_2 _23762_ ( + .A_N(\soc.core.la_oe_storage[123] ), + .B(\mgmt_buffers.mprj_logic1[325] ), + .X(\mgmt_buffers.la_oenb_core[123] ) + ); + sky130_fd_sc_hd__and2b_2 _23763_ ( + .A_N(\soc.core.la_oe_storage[124] ), + .B(\mgmt_buffers.mprj_logic1[326] ), + .X(\mgmt_buffers.la_oenb_core[124] ) + ); + sky130_fd_sc_hd__and2b_2 _23764_ ( + .A_N(\soc.core.la_oe_storage[125] ), + .B(\mgmt_buffers.mprj_logic1[327] ), + .X(\mgmt_buffers.la_oenb_core[125] ) + ); + sky130_fd_sc_hd__and2b_2 _23765_ ( + .A_N(\soc.core.la_oe_storage[126] ), + .B(\mgmt_buffers.mprj_logic1[328] ), + .X(\mgmt_buffers.la_oenb_core[126] ) + ); + sky130_fd_sc_hd__and2b_2 _23766_ ( + .A_N(\soc.core.la_oe_storage[127] ), + .B(\mgmt_buffers.mprj_logic1[329] ), + .X(\mgmt_buffers.la_oenb_core[127] ) + ); + sky130_fd_sc_hd__and3_2 _23767_ ( + .A(\soc.core.la_oe_storage[0] ), + .B(\soc.core.la_out_storage[0] ), + .C(\mgmt_buffers.mprj_logic1[74] ), + .X(\mgmt_buffers.la_data_in_core[0] ) + ); + sky130_fd_sc_hd__and3_2 _23768_ ( + .A(\soc.core.la_oe_storage[1] ), + .B(\soc.core.la_out_storage[1] ), + .C(\mgmt_buffers.mprj_logic1[75] ), + .X(\mgmt_buffers.la_data_in_core[1] ) + ); + sky130_fd_sc_hd__and3_2 _23769_ ( + .A(\soc.core.la_oe_storage[2] ), + .B(\soc.core.la_out_storage[2] ), + .C(\mgmt_buffers.mprj_logic1[76] ), + .X(\mgmt_buffers.la_data_in_core[2] ) + ); + sky130_fd_sc_hd__and3_2 _23770_ ( + .A(\soc.core.la_oe_storage[3] ), + .B(\soc.core.la_out_storage[3] ), + .C(\mgmt_buffers.mprj_logic1[77] ), + .X(\mgmt_buffers.la_data_in_core[3] ) + ); + sky130_fd_sc_hd__and3_2 _23771_ ( + .A(\soc.core.la_oe_storage[4] ), + .B(\soc.core.la_out_storage[4] ), + .C(\mgmt_buffers.mprj_logic1[78] ), + .X(\mgmt_buffers.la_data_in_core[4] ) + ); + sky130_fd_sc_hd__and3_2 _23772_ ( + .A(\soc.core.la_oe_storage[5] ), + .B(\soc.core.la_out_storage[5] ), + .C(\mgmt_buffers.mprj_logic1[79] ), + .X(\mgmt_buffers.la_data_in_core[5] ) + ); + sky130_fd_sc_hd__and3_2 _23773_ ( + .A(\soc.core.la_oe_storage[6] ), + .B(\soc.core.la_out_storage[6] ), + .C(\mgmt_buffers.mprj_logic1[80] ), + .X(\mgmt_buffers.la_data_in_core[6] ) + ); + sky130_fd_sc_hd__and3_2 _23774_ ( + .A(\soc.core.la_oe_storage[7] ), + .B(\soc.core.la_out_storage[7] ), + .C(\mgmt_buffers.mprj_logic1[81] ), + .X(\mgmt_buffers.la_data_in_core[7] ) + ); + sky130_fd_sc_hd__and3_2 _23775_ ( + .A(\soc.core.la_oe_storage[8] ), + .B(\soc.core.la_out_storage[8] ), + .C(\mgmt_buffers.mprj_logic1[82] ), + .X(\mgmt_buffers.la_data_in_core[8] ) + ); + sky130_fd_sc_hd__and3_2 _23776_ ( + .A(\soc.core.la_oe_storage[9] ), + .B(\soc.core.la_out_storage[9] ), + .C(\mgmt_buffers.mprj_logic1[83] ), + .X(\mgmt_buffers.la_data_in_core[9] ) + ); + sky130_fd_sc_hd__and3_2 _23777_ ( + .A(\soc.core.la_oe_storage[10] ), + .B(\soc.core.la_out_storage[10] ), + .C(\mgmt_buffers.mprj_logic1[84] ), + .X(\mgmt_buffers.la_data_in_core[10] ) + ); + sky130_fd_sc_hd__and3_2 _23778_ ( + .A(\soc.core.la_oe_storage[11] ), + .B(\soc.core.la_out_storage[11] ), + .C(\mgmt_buffers.mprj_logic1[85] ), + .X(\mgmt_buffers.la_data_in_core[11] ) + ); + sky130_fd_sc_hd__and3_2 _23779_ ( + .A(\soc.core.la_oe_storage[12] ), + .B(\soc.core.la_out_storage[12] ), + .C(\mgmt_buffers.mprj_logic1[86] ), + .X(\mgmt_buffers.la_data_in_core[12] ) + ); + sky130_fd_sc_hd__and3_2 _23780_ ( + .A(\soc.core.la_oe_storage[13] ), + .B(\soc.core.la_out_storage[13] ), + .C(\mgmt_buffers.mprj_logic1[87] ), + .X(\mgmt_buffers.la_data_in_core[13] ) + ); + sky130_fd_sc_hd__and3_2 _23781_ ( + .A(\soc.core.la_oe_storage[14] ), + .B(\soc.core.la_out_storage[14] ), + .C(\mgmt_buffers.mprj_logic1[88] ), + .X(\mgmt_buffers.la_data_in_core[14] ) + ); + sky130_fd_sc_hd__and3_2 _23782_ ( + .A(\soc.core.la_oe_storage[15] ), + .B(\soc.core.la_out_storage[15] ), + .C(\mgmt_buffers.mprj_logic1[89] ), + .X(\mgmt_buffers.la_data_in_core[15] ) + ); + sky130_fd_sc_hd__and3_2 _23783_ ( + .A(\soc.core.la_oe_storage[16] ), + .B(\soc.core.la_out_storage[16] ), + .C(\mgmt_buffers.mprj_logic1[90] ), + .X(\mgmt_buffers.la_data_in_core[16] ) + ); + sky130_fd_sc_hd__and3_2 _23784_ ( + .A(\soc.core.la_oe_storage[17] ), + .B(\soc.core.la_out_storage[17] ), + .C(\mgmt_buffers.mprj_logic1[91] ), + .X(\mgmt_buffers.la_data_in_core[17] ) + ); + sky130_fd_sc_hd__and3_2 _23785_ ( + .A(\soc.core.la_oe_storage[18] ), + .B(\soc.core.la_out_storage[18] ), + .C(\mgmt_buffers.mprj_logic1[92] ), + .X(\mgmt_buffers.la_data_in_core[18] ) + ); + sky130_fd_sc_hd__and3_2 _23786_ ( + .A(\soc.core.la_oe_storage[19] ), + .B(\soc.core.la_out_storage[19] ), + .C(\mgmt_buffers.mprj_logic1[93] ), + .X(\mgmt_buffers.la_data_in_core[19] ) + ); + sky130_fd_sc_hd__and3_2 _23787_ ( + .A(\soc.core.la_oe_storage[20] ), + .B(\soc.core.la_out_storage[20] ), + .C(\mgmt_buffers.mprj_logic1[94] ), + .X(\mgmt_buffers.la_data_in_core[20] ) + ); + sky130_fd_sc_hd__and3_2 _23788_ ( + .A(\soc.core.la_oe_storage[21] ), + .B(\soc.core.la_out_storage[21] ), + .C(\mgmt_buffers.mprj_logic1[95] ), + .X(\mgmt_buffers.la_data_in_core[21] ) + ); + sky130_fd_sc_hd__and3_2 _23789_ ( + .A(\soc.core.la_oe_storage[22] ), + .B(\soc.core.la_out_storage[22] ), + .C(\mgmt_buffers.mprj_logic1[96] ), + .X(\mgmt_buffers.la_data_in_core[22] ) + ); + sky130_fd_sc_hd__and3_2 _23790_ ( + .A(\soc.core.la_oe_storage[23] ), + .B(\soc.core.la_out_storage[23] ), + .C(\mgmt_buffers.mprj_logic1[97] ), + .X(\mgmt_buffers.la_data_in_core[23] ) + ); + sky130_fd_sc_hd__and3_2 _23791_ ( + .A(\soc.core.la_oe_storage[24] ), + .B(\soc.core.la_out_storage[24] ), + .C(\mgmt_buffers.mprj_logic1[98] ), + .X(\mgmt_buffers.la_data_in_core[24] ) + ); + sky130_fd_sc_hd__and3_2 _23792_ ( + .A(\soc.core.la_oe_storage[25] ), + .B(\soc.core.la_out_storage[25] ), + .C(\mgmt_buffers.mprj_logic1[99] ), + .X(\mgmt_buffers.la_data_in_core[25] ) + ); + sky130_fd_sc_hd__and3_2 _23793_ ( + .A(\soc.core.la_oe_storage[26] ), + .B(\soc.core.la_out_storage[26] ), + .C(\mgmt_buffers.mprj_logic1[100] ), + .X(\mgmt_buffers.la_data_in_core[26] ) + ); + sky130_fd_sc_hd__and3_2 _23794_ ( + .A(\soc.core.la_oe_storage[27] ), + .B(\soc.core.la_out_storage[27] ), + .C(\mgmt_buffers.mprj_logic1[101] ), + .X(\mgmt_buffers.la_data_in_core[27] ) + ); + sky130_fd_sc_hd__and3_2 _23795_ ( + .A(\soc.core.la_oe_storage[28] ), + .B(\soc.core.la_out_storage[28] ), + .C(\mgmt_buffers.mprj_logic1[102] ), + .X(\mgmt_buffers.la_data_in_core[28] ) + ); + sky130_fd_sc_hd__and3_2 _23796_ ( + .A(\soc.core.la_oe_storage[29] ), + .B(\soc.core.la_out_storage[29] ), + .C(\mgmt_buffers.mprj_logic1[103] ), + .X(\mgmt_buffers.la_data_in_core[29] ) + ); + sky130_fd_sc_hd__and3_2 _23797_ ( + .A(\soc.core.la_oe_storage[30] ), + .B(\soc.core.la_out_storage[30] ), + .C(\mgmt_buffers.mprj_logic1[104] ), + .X(\mgmt_buffers.la_data_in_core[30] ) + ); + sky130_fd_sc_hd__and3_2 _23798_ ( + .A(\soc.core.la_oe_storage[31] ), + .B(\soc.core.la_out_storage[31] ), + .C(\mgmt_buffers.mprj_logic1[105] ), + .X(\mgmt_buffers.la_data_in_core[31] ) + ); + sky130_fd_sc_hd__and3_2 _23799_ ( + .A(\soc.core.la_oe_storage[32] ), + .B(\soc.core.la_out_storage[32] ), + .C(\mgmt_buffers.mprj_logic1[106] ), + .X(\mgmt_buffers.la_data_in_core[32] ) + ); + sky130_fd_sc_hd__and3_2 _23800_ ( + .A(\soc.core.la_oe_storage[33] ), + .B(\soc.core.la_out_storage[33] ), + .C(\mgmt_buffers.mprj_logic1[107] ), + .X(\mgmt_buffers.la_data_in_core[33] ) + ); + sky130_fd_sc_hd__and3_2 _23801_ ( + .A(\soc.core.la_oe_storage[34] ), + .B(\soc.core.la_out_storage[34] ), + .C(\mgmt_buffers.mprj_logic1[108] ), + .X(\mgmt_buffers.la_data_in_core[34] ) + ); + sky130_fd_sc_hd__and3_2 _23802_ ( + .A(\soc.core.la_oe_storage[35] ), + .B(\soc.core.la_out_storage[35] ), + .C(\mgmt_buffers.mprj_logic1[109] ), + .X(\mgmt_buffers.la_data_in_core[35] ) + ); + sky130_fd_sc_hd__and3_2 _23803_ ( + .A(\soc.core.la_oe_storage[36] ), + .B(\soc.core.la_out_storage[36] ), + .C(\mgmt_buffers.mprj_logic1[110] ), + .X(\mgmt_buffers.la_data_in_core[36] ) + ); + sky130_fd_sc_hd__and3_2 _23804_ ( + .A(\soc.core.la_oe_storage[37] ), + .B(\soc.core.la_out_storage[37] ), + .C(\mgmt_buffers.mprj_logic1[111] ), + .X(\mgmt_buffers.la_data_in_core[37] ) + ); + sky130_fd_sc_hd__and3_2 _23805_ ( + .A(\soc.core.la_oe_storage[38] ), + .B(\soc.core.la_out_storage[38] ), + .C(\mgmt_buffers.mprj_logic1[112] ), + .X(\mgmt_buffers.la_data_in_core[38] ) + ); + sky130_fd_sc_hd__and3_2 _23806_ ( + .A(\soc.core.la_oe_storage[39] ), + .B(\soc.core.la_out_storage[39] ), + .C(\mgmt_buffers.mprj_logic1[113] ), + .X(\mgmt_buffers.la_data_in_core[39] ) + ); + sky130_fd_sc_hd__and3_2 _23807_ ( + .A(\soc.core.la_oe_storage[40] ), + .B(\soc.core.la_out_storage[40] ), + .C(\mgmt_buffers.mprj_logic1[114] ), + .X(\mgmt_buffers.la_data_in_core[40] ) + ); + sky130_fd_sc_hd__and3_2 _23808_ ( + .A(\soc.core.la_oe_storage[41] ), + .B(\soc.core.la_out_storage[41] ), + .C(\mgmt_buffers.mprj_logic1[115] ), + .X(\mgmt_buffers.la_data_in_core[41] ) + ); + sky130_fd_sc_hd__and3_2 _23809_ ( + .A(\soc.core.la_oe_storage[42] ), + .B(\soc.core.la_out_storage[42] ), + .C(\mgmt_buffers.mprj_logic1[116] ), + .X(\mgmt_buffers.la_data_in_core[42] ) + ); + sky130_fd_sc_hd__and3_2 _23810_ ( + .A(\soc.core.la_oe_storage[43] ), + .B(\soc.core.la_out_storage[43] ), + .C(\mgmt_buffers.mprj_logic1[117] ), + .X(\mgmt_buffers.la_data_in_core[43] ) + ); + sky130_fd_sc_hd__and3_2 _23811_ ( + .A(\soc.core.la_oe_storage[44] ), + .B(\soc.core.la_out_storage[44] ), + .C(\mgmt_buffers.mprj_logic1[118] ), + .X(\mgmt_buffers.la_data_in_core[44] ) + ); + sky130_fd_sc_hd__and3_2 _23812_ ( + .A(\soc.core.la_oe_storage[45] ), + .B(\soc.core.la_out_storage[45] ), + .C(\mgmt_buffers.mprj_logic1[119] ), + .X(\mgmt_buffers.la_data_in_core[45] ) + ); + sky130_fd_sc_hd__and3_2 _23813_ ( + .A(\soc.core.la_oe_storage[46] ), + .B(\soc.core.la_out_storage[46] ), + .C(\mgmt_buffers.mprj_logic1[120] ), + .X(\mgmt_buffers.la_data_in_core[46] ) + ); + sky130_fd_sc_hd__and3_2 _23814_ ( + .A(\soc.core.la_oe_storage[47] ), + .B(\soc.core.la_out_storage[47] ), + .C(\mgmt_buffers.mprj_logic1[121] ), + .X(\mgmt_buffers.la_data_in_core[47] ) + ); + sky130_fd_sc_hd__and3_2 _23815_ ( + .A(\soc.core.la_oe_storage[48] ), + .B(\soc.core.la_out_storage[48] ), + .C(\mgmt_buffers.mprj_logic1[122] ), + .X(\mgmt_buffers.la_data_in_core[48] ) + ); + sky130_fd_sc_hd__and3_2 _23816_ ( + .A(\soc.core.la_oe_storage[49] ), + .B(\soc.core.la_out_storage[49] ), + .C(\mgmt_buffers.mprj_logic1[123] ), + .X(\mgmt_buffers.la_data_in_core[49] ) + ); + sky130_fd_sc_hd__and3_2 _23817_ ( + .A(\soc.core.la_oe_storage[50] ), + .B(\soc.core.la_out_storage[50] ), + .C(\mgmt_buffers.mprj_logic1[124] ), + .X(\mgmt_buffers.la_data_in_core[50] ) + ); + sky130_fd_sc_hd__and3_2 _23818_ ( + .A(\soc.core.la_oe_storage[51] ), + .B(\soc.core.la_out_storage[51] ), + .C(\mgmt_buffers.mprj_logic1[125] ), + .X(\mgmt_buffers.la_data_in_core[51] ) + ); + sky130_fd_sc_hd__and3_2 _23819_ ( + .A(\soc.core.la_oe_storage[52] ), + .B(\soc.core.la_out_storage[52] ), + .C(\mgmt_buffers.mprj_logic1[126] ), + .X(\mgmt_buffers.la_data_in_core[52] ) + ); + sky130_fd_sc_hd__and3_2 _23820_ ( + .A(\soc.core.la_oe_storage[53] ), + .B(\soc.core.la_out_storage[53] ), + .C(\mgmt_buffers.mprj_logic1[127] ), + .X(\mgmt_buffers.la_data_in_core[53] ) + ); + sky130_fd_sc_hd__and3_2 _23821_ ( + .A(\soc.core.la_oe_storage[54] ), + .B(\soc.core.la_out_storage[54] ), + .C(\mgmt_buffers.mprj_logic1[128] ), + .X(\mgmt_buffers.la_data_in_core[54] ) + ); + sky130_fd_sc_hd__and3_2 _23822_ ( + .A(\soc.core.la_oe_storage[55] ), + .B(\soc.core.la_out_storage[55] ), + .C(\mgmt_buffers.mprj_logic1[129] ), + .X(\mgmt_buffers.la_data_in_core[55] ) + ); + sky130_fd_sc_hd__and3_2 _23823_ ( + .A(\soc.core.la_oe_storage[56] ), + .B(\soc.core.la_out_storage[56] ), + .C(\mgmt_buffers.mprj_logic1[130] ), + .X(\mgmt_buffers.la_data_in_core[56] ) + ); + sky130_fd_sc_hd__and3_2 _23824_ ( + .A(\soc.core.la_oe_storage[57] ), + .B(\soc.core.la_out_storage[57] ), + .C(\mgmt_buffers.mprj_logic1[131] ), + .X(\mgmt_buffers.la_data_in_core[57] ) + ); + sky130_fd_sc_hd__and3_2 _23825_ ( + .A(\soc.core.la_oe_storage[58] ), + .B(\soc.core.la_out_storage[58] ), + .C(\mgmt_buffers.mprj_logic1[132] ), + .X(\mgmt_buffers.la_data_in_core[58] ) + ); + sky130_fd_sc_hd__and3_2 _23826_ ( + .A(\soc.core.la_oe_storage[59] ), + .B(\soc.core.la_out_storage[59] ), + .C(\mgmt_buffers.mprj_logic1[133] ), + .X(\mgmt_buffers.la_data_in_core[59] ) + ); + sky130_fd_sc_hd__and3_2 _23827_ ( + .A(\soc.core.la_oe_storage[60] ), + .B(\soc.core.la_out_storage[60] ), + .C(\mgmt_buffers.mprj_logic1[134] ), + .X(\mgmt_buffers.la_data_in_core[60] ) + ); + sky130_fd_sc_hd__and3_2 _23828_ ( + .A(\soc.core.la_oe_storage[61] ), + .B(\soc.core.la_out_storage[61] ), + .C(\mgmt_buffers.mprj_logic1[135] ), + .X(\mgmt_buffers.la_data_in_core[61] ) + ); + sky130_fd_sc_hd__and3_2 _23829_ ( + .A(\soc.core.la_oe_storage[62] ), + .B(\soc.core.la_out_storage[62] ), + .C(\mgmt_buffers.mprj_logic1[136] ), + .X(\mgmt_buffers.la_data_in_core[62] ) + ); + sky130_fd_sc_hd__and3_2 _23830_ ( + .A(\soc.core.la_oe_storage[63] ), + .B(\soc.core.la_out_storage[63] ), + .C(\mgmt_buffers.mprj_logic1[137] ), + .X(\mgmt_buffers.la_data_in_core[63] ) + ); + sky130_fd_sc_hd__and3_2 _23831_ ( + .A(\soc.core.la_oe_storage[64] ), + .B(\soc.core.la_out_storage[64] ), + .C(\mgmt_buffers.mprj_logic1[138] ), + .X(\mgmt_buffers.la_data_in_core[64] ) + ); + sky130_fd_sc_hd__and3_2 _23832_ ( + .A(\soc.core.la_oe_storage[65] ), + .B(\soc.core.la_out_storage[65] ), + .C(\mgmt_buffers.mprj_logic1[139] ), + .X(\mgmt_buffers.la_data_in_core[65] ) + ); + sky130_fd_sc_hd__and3_2 _23833_ ( + .A(\soc.core.la_oe_storage[66] ), + .B(\soc.core.la_out_storage[66] ), + .C(\mgmt_buffers.mprj_logic1[140] ), + .X(\mgmt_buffers.la_data_in_core[66] ) + ); + sky130_fd_sc_hd__and3_2 _23834_ ( + .A(\soc.core.la_oe_storage[67] ), + .B(\soc.core.la_out_storage[67] ), + .C(\mgmt_buffers.mprj_logic1[141] ), + .X(\mgmt_buffers.la_data_in_core[67] ) + ); + sky130_fd_sc_hd__and3_2 _23835_ ( + .A(\soc.core.la_oe_storage[68] ), + .B(\soc.core.la_out_storage[68] ), + .C(\mgmt_buffers.mprj_logic1[142] ), + .X(\mgmt_buffers.la_data_in_core[68] ) + ); + sky130_fd_sc_hd__and3_2 _23836_ ( + .A(\soc.core.la_oe_storage[69] ), + .B(\soc.core.la_out_storage[69] ), + .C(\mgmt_buffers.mprj_logic1[143] ), + .X(\mgmt_buffers.la_data_in_core[69] ) + ); + sky130_fd_sc_hd__and3_2 _23837_ ( + .A(\soc.core.la_oe_storage[70] ), + .B(\soc.core.la_out_storage[70] ), + .C(\mgmt_buffers.mprj_logic1[144] ), + .X(\mgmt_buffers.la_data_in_core[70] ) + ); + sky130_fd_sc_hd__and3_2 _23838_ ( + .A(\soc.core.la_oe_storage[71] ), + .B(\soc.core.la_out_storage[71] ), + .C(\mgmt_buffers.mprj_logic1[145] ), + .X(\mgmt_buffers.la_data_in_core[71] ) + ); + sky130_fd_sc_hd__and3_2 _23839_ ( + .A(\soc.core.la_oe_storage[72] ), + .B(\soc.core.la_out_storage[72] ), + .C(\mgmt_buffers.mprj_logic1[146] ), + .X(\mgmt_buffers.la_data_in_core[72] ) + ); + sky130_fd_sc_hd__and3_2 _23840_ ( + .A(\soc.core.la_oe_storage[73] ), + .B(\soc.core.la_out_storage[73] ), + .C(\mgmt_buffers.mprj_logic1[147] ), + .X(\mgmt_buffers.la_data_in_core[73] ) + ); + sky130_fd_sc_hd__and3_2 _23841_ ( + .A(\soc.core.la_oe_storage[74] ), + .B(\soc.core.la_out_storage[74] ), + .C(\mgmt_buffers.mprj_logic1[148] ), + .X(\mgmt_buffers.la_data_in_core[74] ) + ); + sky130_fd_sc_hd__and3_2 _23842_ ( + .A(\soc.core.la_oe_storage[75] ), + .B(\soc.core.la_out_storage[75] ), + .C(\mgmt_buffers.mprj_logic1[149] ), + .X(\mgmt_buffers.la_data_in_core[75] ) + ); + sky130_fd_sc_hd__and3_2 _23843_ ( + .A(\soc.core.la_oe_storage[76] ), + .B(\soc.core.la_out_storage[76] ), + .C(\mgmt_buffers.mprj_logic1[150] ), + .X(\mgmt_buffers.la_data_in_core[76] ) + ); + sky130_fd_sc_hd__and3_2 _23844_ ( + .A(\soc.core.la_oe_storage[77] ), + .B(\soc.core.la_out_storage[77] ), + .C(\mgmt_buffers.mprj_logic1[151] ), + .X(\mgmt_buffers.la_data_in_core[77] ) + ); + sky130_fd_sc_hd__and3_2 _23845_ ( + .A(\soc.core.la_oe_storage[78] ), + .B(\soc.core.la_out_storage[78] ), + .C(\mgmt_buffers.mprj_logic1[152] ), + .X(\mgmt_buffers.la_data_in_core[78] ) + ); + sky130_fd_sc_hd__and3_2 _23846_ ( + .A(\soc.core.la_oe_storage[79] ), + .B(\soc.core.la_out_storage[79] ), + .C(\mgmt_buffers.mprj_logic1[153] ), + .X(\mgmt_buffers.la_data_in_core[79] ) + ); + sky130_fd_sc_hd__and3_2 _23847_ ( + .A(\soc.core.la_oe_storage[80] ), + .B(\soc.core.la_out_storage[80] ), + .C(\mgmt_buffers.mprj_logic1[154] ), + .X(\mgmt_buffers.la_data_in_core[80] ) + ); + sky130_fd_sc_hd__and3_2 _23848_ ( + .A(\soc.core.la_oe_storage[81] ), + .B(\soc.core.la_out_storage[81] ), + .C(\mgmt_buffers.mprj_logic1[155] ), + .X(\mgmt_buffers.la_data_in_core[81] ) + ); + sky130_fd_sc_hd__and3_2 _23849_ ( + .A(\soc.core.la_oe_storage[82] ), + .B(\soc.core.la_out_storage[82] ), + .C(\mgmt_buffers.mprj_logic1[156] ), + .X(\mgmt_buffers.la_data_in_core[82] ) + ); + sky130_fd_sc_hd__and3_2 _23850_ ( + .A(\soc.core.la_oe_storage[83] ), + .B(\soc.core.la_out_storage[83] ), + .C(\mgmt_buffers.mprj_logic1[157] ), + .X(\mgmt_buffers.la_data_in_core[83] ) + ); + sky130_fd_sc_hd__and3_2 _23851_ ( + .A(\soc.core.la_oe_storage[84] ), + .B(\soc.core.la_out_storage[84] ), + .C(\mgmt_buffers.mprj_logic1[158] ), + .X(\mgmt_buffers.la_data_in_core[84] ) + ); + sky130_fd_sc_hd__and3_2 _23852_ ( + .A(\soc.core.la_oe_storage[85] ), + .B(\soc.core.la_out_storage[85] ), + .C(\mgmt_buffers.mprj_logic1[159] ), + .X(\mgmt_buffers.la_data_in_core[85] ) + ); + sky130_fd_sc_hd__and3_2 _23853_ ( + .A(\soc.core.la_oe_storage[86] ), + .B(\soc.core.la_out_storage[86] ), + .C(\mgmt_buffers.mprj_logic1[160] ), + .X(\mgmt_buffers.la_data_in_core[86] ) + ); + sky130_fd_sc_hd__and3_2 _23854_ ( + .A(\soc.core.la_oe_storage[87] ), + .B(\soc.core.la_out_storage[87] ), + .C(\mgmt_buffers.mprj_logic1[161] ), + .X(\mgmt_buffers.la_data_in_core[87] ) + ); + sky130_fd_sc_hd__and3_2 _23855_ ( + .A(\soc.core.la_oe_storage[88] ), + .B(\soc.core.la_out_storage[88] ), + .C(\mgmt_buffers.mprj_logic1[162] ), + .X(\mgmt_buffers.la_data_in_core[88] ) + ); + sky130_fd_sc_hd__and3_2 _23856_ ( + .A(\soc.core.la_oe_storage[89] ), + .B(\soc.core.la_out_storage[89] ), + .C(\mgmt_buffers.mprj_logic1[163] ), + .X(\mgmt_buffers.la_data_in_core[89] ) + ); + sky130_fd_sc_hd__and3_2 _23857_ ( + .A(\soc.core.la_oe_storage[90] ), + .B(\soc.core.la_out_storage[90] ), + .C(\mgmt_buffers.mprj_logic1[164] ), + .X(\mgmt_buffers.la_data_in_core[90] ) + ); + sky130_fd_sc_hd__and3_2 _23858_ ( + .A(\soc.core.la_oe_storage[91] ), + .B(\soc.core.la_out_storage[91] ), + .C(\mgmt_buffers.mprj_logic1[165] ), + .X(\mgmt_buffers.la_data_in_core[91] ) + ); + sky130_fd_sc_hd__and3_2 _23859_ ( + .A(\soc.core.la_oe_storage[92] ), + .B(\soc.core.la_out_storage[92] ), + .C(\mgmt_buffers.mprj_logic1[166] ), + .X(\mgmt_buffers.la_data_in_core[92] ) + ); + sky130_fd_sc_hd__and3_2 _23860_ ( + .A(\soc.core.la_oe_storage[93] ), + .B(\soc.core.la_out_storage[93] ), + .C(\mgmt_buffers.mprj_logic1[167] ), + .X(\mgmt_buffers.la_data_in_core[93] ) + ); + sky130_fd_sc_hd__and3_2 _23861_ ( + .A(\soc.core.la_oe_storage[94] ), + .B(\soc.core.la_out_storage[94] ), + .C(\mgmt_buffers.mprj_logic1[168] ), + .X(\mgmt_buffers.la_data_in_core[94] ) + ); + sky130_fd_sc_hd__and3_2 _23862_ ( + .A(\soc.core.la_oe_storage[95] ), + .B(\soc.core.la_out_storage[95] ), + .C(\mgmt_buffers.mprj_logic1[169] ), + .X(\mgmt_buffers.la_data_in_core[95] ) + ); + sky130_fd_sc_hd__and3_2 _23863_ ( + .A(\soc.core.la_out_storage[96] ), + .B(\soc.core.la_oe_storage[96] ), + .C(\mgmt_buffers.mprj_logic1[170] ), + .X(\mgmt_buffers.la_data_in_core[96] ) + ); + sky130_fd_sc_hd__and3_2 _23864_ ( + .A(\soc.core.la_out_storage[97] ), + .B(\soc.core.la_oe_storage[97] ), + .C(\mgmt_buffers.mprj_logic1[171] ), + .X(\mgmt_buffers.la_data_in_core[97] ) + ); + sky130_fd_sc_hd__and3_2 _23865_ ( + .A(\soc.core.la_out_storage[98] ), + .B(\soc.core.la_oe_storage[98] ), + .C(\mgmt_buffers.mprj_logic1[172] ), + .X(\mgmt_buffers.la_data_in_core[98] ) + ); + sky130_fd_sc_hd__and3_2 _23866_ ( + .A(\soc.core.la_out_storage[99] ), + .B(\soc.core.la_oe_storage[99] ), + .C(\mgmt_buffers.mprj_logic1[173] ), + .X(\mgmt_buffers.la_data_in_core[99] ) + ); + sky130_fd_sc_hd__and3_2 _23867_ ( + .A(\soc.core.la_out_storage[100] ), + .B(\soc.core.la_oe_storage[100] ), + .C(\mgmt_buffers.mprj_logic1[174] ), + .X(\mgmt_buffers.la_data_in_core[100] ) + ); + sky130_fd_sc_hd__and3_2 _23868_ ( + .A(\soc.core.la_out_storage[101] ), + .B(\soc.core.la_oe_storage[101] ), + .C(\mgmt_buffers.mprj_logic1[175] ), + .X(\mgmt_buffers.la_data_in_core[101] ) + ); + sky130_fd_sc_hd__and3_2 _23869_ ( + .A(\soc.core.la_out_storage[102] ), + .B(\soc.core.la_oe_storage[102] ), + .C(\mgmt_buffers.mprj_logic1[176] ), + .X(\mgmt_buffers.la_data_in_core[102] ) + ); + sky130_fd_sc_hd__and3_2 _23870_ ( + .A(\soc.core.la_out_storage[103] ), + .B(\soc.core.la_oe_storage[103] ), + .C(\mgmt_buffers.mprj_logic1[177] ), + .X(\mgmt_buffers.la_data_in_core[103] ) + ); + sky130_fd_sc_hd__and3_2 _23871_ ( + .A(\soc.core.la_out_storage[104] ), + .B(\soc.core.la_oe_storage[104] ), + .C(\mgmt_buffers.mprj_logic1[178] ), + .X(\mgmt_buffers.la_data_in_core[104] ) + ); + sky130_fd_sc_hd__and3_2 _23872_ ( + .A(\soc.core.la_out_storage[105] ), + .B(\soc.core.la_oe_storage[105] ), + .C(\mgmt_buffers.mprj_logic1[179] ), + .X(\mgmt_buffers.la_data_in_core[105] ) + ); + sky130_fd_sc_hd__and3_2 _23873_ ( + .A(\soc.core.la_out_storage[106] ), + .B(\soc.core.la_oe_storage[106] ), + .C(\mgmt_buffers.mprj_logic1[180] ), + .X(\mgmt_buffers.la_data_in_core[106] ) + ); + sky130_fd_sc_hd__and3_2 _23874_ ( + .A(\soc.core.la_out_storage[107] ), + .B(\soc.core.la_oe_storage[107] ), + .C(\mgmt_buffers.mprj_logic1[181] ), + .X(\mgmt_buffers.la_data_in_core[107] ) + ); + sky130_fd_sc_hd__and3_2 _23875_ ( + .A(\soc.core.la_out_storage[108] ), + .B(\soc.core.la_oe_storage[108] ), + .C(\mgmt_buffers.mprj_logic1[182] ), + .X(\mgmt_buffers.la_data_in_core[108] ) + ); + sky130_fd_sc_hd__and3_2 _23876_ ( + .A(\soc.core.la_out_storage[109] ), + .B(\soc.core.la_oe_storage[109] ), + .C(\mgmt_buffers.mprj_logic1[183] ), + .X(\mgmt_buffers.la_data_in_core[109] ) + ); + sky130_fd_sc_hd__and3_2 _23877_ ( + .A(\soc.core.la_out_storage[110] ), + .B(\soc.core.la_oe_storage[110] ), + .C(\mgmt_buffers.mprj_logic1[184] ), + .X(\mgmt_buffers.la_data_in_core[110] ) + ); + sky130_fd_sc_hd__and3_2 _23878_ ( + .A(\soc.core.la_out_storage[111] ), + .B(\soc.core.la_oe_storage[111] ), + .C(\mgmt_buffers.mprj_logic1[185] ), + .X(\mgmt_buffers.la_data_in_core[111] ) + ); + sky130_fd_sc_hd__and3_2 _23879_ ( + .A(\soc.core.la_out_storage[112] ), + .B(\soc.core.la_oe_storage[112] ), + .C(\mgmt_buffers.mprj_logic1[186] ), + .X(\mgmt_buffers.la_data_in_core[112] ) + ); + sky130_fd_sc_hd__and3_2 _23880_ ( + .A(\soc.core.la_out_storage[113] ), + .B(\soc.core.la_oe_storage[113] ), + .C(\mgmt_buffers.mprj_logic1[187] ), + .X(\mgmt_buffers.la_data_in_core[113] ) + ); + sky130_fd_sc_hd__and3_2 _23881_ ( + .A(\soc.core.la_out_storage[114] ), + .B(\soc.core.la_oe_storage[114] ), + .C(\mgmt_buffers.mprj_logic1[188] ), + .X(\mgmt_buffers.la_data_in_core[114] ) + ); + sky130_fd_sc_hd__and3_2 _23882_ ( + .A(\soc.core.la_out_storage[115] ), + .B(\soc.core.la_oe_storage[115] ), + .C(\mgmt_buffers.mprj_logic1[189] ), + .X(\mgmt_buffers.la_data_in_core[115] ) + ); + sky130_fd_sc_hd__and3_2 _23883_ ( + .A(\soc.core.la_out_storage[116] ), + .B(\soc.core.la_oe_storage[116] ), + .C(\mgmt_buffers.mprj_logic1[190] ), + .X(\mgmt_buffers.la_data_in_core[116] ) + ); + sky130_fd_sc_hd__and3_2 _23884_ ( + .A(\soc.core.la_out_storage[117] ), + .B(\soc.core.la_oe_storage[117] ), + .C(\mgmt_buffers.mprj_logic1[191] ), + .X(\mgmt_buffers.la_data_in_core[117] ) + ); + sky130_fd_sc_hd__and3_2 _23885_ ( + .A(\soc.core.la_out_storage[118] ), + .B(\soc.core.la_oe_storage[118] ), + .C(\mgmt_buffers.mprj_logic1[192] ), + .X(\mgmt_buffers.la_data_in_core[118] ) + ); + sky130_fd_sc_hd__and3_2 _23886_ ( + .A(\soc.core.la_out_storage[119] ), + .B(\soc.core.la_oe_storage[119] ), + .C(\mgmt_buffers.mprj_logic1[193] ), + .X(\mgmt_buffers.la_data_in_core[119] ) + ); + sky130_fd_sc_hd__and3_2 _23887_ ( + .A(\soc.core.la_out_storage[120] ), + .B(\soc.core.la_oe_storage[120] ), + .C(\mgmt_buffers.mprj_logic1[194] ), + .X(\mgmt_buffers.la_data_in_core[120] ) + ); + sky130_fd_sc_hd__and3_2 _23888_ ( + .A(\soc.core.la_out_storage[121] ), + .B(\soc.core.la_oe_storage[121] ), + .C(\mgmt_buffers.mprj_logic1[195] ), + .X(\mgmt_buffers.la_data_in_core[121] ) + ); + sky130_fd_sc_hd__and3_2 _23889_ ( + .A(\soc.core.la_out_storage[122] ), + .B(\soc.core.la_oe_storage[122] ), + .C(\mgmt_buffers.mprj_logic1[196] ), + .X(\mgmt_buffers.la_data_in_core[122] ) + ); + sky130_fd_sc_hd__and3_2 _23890_ ( + .A(\soc.core.la_out_storage[123] ), + .B(\soc.core.la_oe_storage[123] ), + .C(\mgmt_buffers.mprj_logic1[197] ), + .X(\mgmt_buffers.la_data_in_core[123] ) + ); + sky130_fd_sc_hd__and3_2 _23891_ ( + .A(\soc.core.la_out_storage[124] ), + .B(\soc.core.la_oe_storage[124] ), + .C(\mgmt_buffers.mprj_logic1[198] ), + .X(\mgmt_buffers.la_data_in_core[124] ) + ); + sky130_fd_sc_hd__and3_2 _23892_ ( + .A(\soc.core.la_out_storage[125] ), + .B(\soc.core.la_oe_storage[125] ), + .C(\mgmt_buffers.mprj_logic1[199] ), + .X(\mgmt_buffers.la_data_in_core[125] ) + ); + sky130_fd_sc_hd__and3_2 _23893_ ( + .A(\soc.core.la_out_storage[126] ), + .B(\soc.core.la_oe_storage[126] ), + .C(\mgmt_buffers.mprj_logic1[200] ), + .X(\mgmt_buffers.la_data_in_core[126] ) + ); + sky130_fd_sc_hd__and3_2 _23894_ ( + .A(\soc.core.la_out_storage[127] ), + .B(\soc.core.la_oe_storage[127] ), + .C(\mgmt_buffers.mprj_logic1[201] ), + .X(\mgmt_buffers.la_data_in_core[127] ) + ); + sky130_fd_sc_hd__and2_4 _23895_ ( + .A(\mgmt_buffers.mprj_dat_o_core[0] ), + .B(\mgmt_buffers.mprj_logic1[42] ), + .X(\mgmt_buffers.mprj_dat_o_user[0] ) + ); + sky130_fd_sc_hd__and2_4 _23896_ ( + .A(\mgmt_buffers.mprj_dat_o_core[1] ), + .B(\mgmt_buffers.mprj_logic1[43] ), + .X(\mgmt_buffers.mprj_dat_o_user[1] ) + ); + sky130_fd_sc_hd__and2_4 _23897_ ( + .A(\mgmt_buffers.mprj_dat_o_core[2] ), + .B(\mgmt_buffers.mprj_logic1[44] ), + .X(\mgmt_buffers.mprj_dat_o_user[2] ) + ); + sky130_fd_sc_hd__and2_4 _23898_ ( + .A(\mgmt_buffers.mprj_dat_o_core[3] ), + .B(\mgmt_buffers.mprj_logic1[45] ), + .X(\mgmt_buffers.mprj_dat_o_user[3] ) + ); + sky130_fd_sc_hd__and2_4 _23899_ ( + .A(\mgmt_buffers.mprj_dat_o_core[4] ), + .B(\mgmt_buffers.mprj_logic1[46] ), + .X(\mgmt_buffers.mprj_dat_o_user[4] ) + ); + sky130_fd_sc_hd__and2_4 _23900_ ( + .A(\mgmt_buffers.mprj_dat_o_core[5] ), + .B(\mgmt_buffers.mprj_logic1[47] ), + .X(\mgmt_buffers.mprj_dat_o_user[5] ) + ); + sky130_fd_sc_hd__and2_4 _23901_ ( + .A(\mgmt_buffers.mprj_dat_o_core[6] ), + .B(\mgmt_buffers.mprj_logic1[48] ), + .X(\mgmt_buffers.mprj_dat_o_user[6] ) + ); + sky130_fd_sc_hd__and2_4 _23902_ ( + .A(\mgmt_buffers.mprj_dat_o_core[7] ), + .B(\mgmt_buffers.mprj_logic1[49] ), + .X(\mgmt_buffers.mprj_dat_o_user[7] ) + ); + sky130_fd_sc_hd__and2_4 _23903_ ( + .A(\mgmt_buffers.mprj_dat_o_core[8] ), + .B(\mgmt_buffers.mprj_logic1[50] ), + .X(\mgmt_buffers.mprj_dat_o_user[8] ) + ); + sky130_fd_sc_hd__and2_4 _23904_ ( + .A(\mgmt_buffers.mprj_dat_o_core[9] ), + .B(\mgmt_buffers.mprj_logic1[51] ), + .X(\mgmt_buffers.mprj_dat_o_user[9] ) + ); + sky130_fd_sc_hd__and2_4 _23905_ ( + .A(\mgmt_buffers.mprj_dat_o_core[10] ), + .B(\mgmt_buffers.mprj_logic1[52] ), + .X(\mgmt_buffers.mprj_dat_o_user[10] ) + ); + sky130_fd_sc_hd__and2_4 _23906_ ( + .A(\mgmt_buffers.mprj_dat_o_core[11] ), + .B(\mgmt_buffers.mprj_logic1[53] ), + .X(\mgmt_buffers.mprj_dat_o_user[11] ) + ); + sky130_fd_sc_hd__and2_4 _23907_ ( + .A(\mgmt_buffers.mprj_dat_o_core[12] ), + .B(\mgmt_buffers.mprj_logic1[54] ), + .X(\mgmt_buffers.mprj_dat_o_user[12] ) + ); + sky130_fd_sc_hd__and2_4 _23908_ ( + .A(\mgmt_buffers.mprj_dat_o_core[13] ), + .B(\mgmt_buffers.mprj_logic1[55] ), + .X(\mgmt_buffers.mprj_dat_o_user[13] ) + ); + sky130_fd_sc_hd__and2_4 _23909_ ( + .A(\mgmt_buffers.mprj_dat_o_core[14] ), + .B(\mgmt_buffers.mprj_logic1[56] ), + .X(\mgmt_buffers.mprj_dat_o_user[14] ) + ); + sky130_fd_sc_hd__and2_4 _23910_ ( + .A(\mgmt_buffers.mprj_dat_o_core[15] ), + .B(\mgmt_buffers.mprj_logic1[57] ), + .X(\mgmt_buffers.mprj_dat_o_user[15] ) + ); + sky130_fd_sc_hd__and2_4 _23911_ ( + .A(\mgmt_buffers.mprj_dat_o_core[16] ), + .B(\mgmt_buffers.mprj_logic1[58] ), + .X(\mgmt_buffers.mprj_dat_o_user[16] ) + ); + sky130_fd_sc_hd__and2_4 _23912_ ( + .A(\mgmt_buffers.mprj_dat_o_core[17] ), + .B(\mgmt_buffers.mprj_logic1[59] ), + .X(\mgmt_buffers.mprj_dat_o_user[17] ) + ); + sky130_fd_sc_hd__and2_4 _23913_ ( + .A(\mgmt_buffers.mprj_dat_o_core[18] ), + .B(\mgmt_buffers.mprj_logic1[60] ), + .X(\mgmt_buffers.mprj_dat_o_user[18] ) + ); + sky130_fd_sc_hd__and2_4 _23914_ ( + .A(\mgmt_buffers.mprj_dat_o_core[19] ), + .B(\mgmt_buffers.mprj_logic1[61] ), + .X(\mgmt_buffers.mprj_dat_o_user[19] ) + ); + sky130_fd_sc_hd__and2_4 _23915_ ( + .A(\mgmt_buffers.mprj_dat_o_core[20] ), + .B(\mgmt_buffers.mprj_logic1[62] ), + .X(\mgmt_buffers.mprj_dat_o_user[20] ) + ); + sky130_fd_sc_hd__and2_4 _23916_ ( + .A(\mgmt_buffers.mprj_dat_o_core[21] ), + .B(\mgmt_buffers.mprj_logic1[63] ), + .X(\mgmt_buffers.mprj_dat_o_user[21] ) + ); + sky130_fd_sc_hd__and2_4 _23917_ ( + .A(\mgmt_buffers.mprj_dat_o_core[22] ), + .B(\mgmt_buffers.mprj_logic1[64] ), + .X(\mgmt_buffers.mprj_dat_o_user[22] ) + ); + sky130_fd_sc_hd__and2_4 _23918_ ( + .A(\mgmt_buffers.mprj_dat_o_core[23] ), + .B(\mgmt_buffers.mprj_logic1[65] ), + .X(\mgmt_buffers.mprj_dat_o_user[23] ) + ); + sky130_fd_sc_hd__and2_4 _23919_ ( + .A(\mgmt_buffers.mprj_dat_o_core[24] ), + .B(\mgmt_buffers.mprj_logic1[66] ), + .X(\mgmt_buffers.mprj_dat_o_user[24] ) + ); + sky130_fd_sc_hd__and2_4 _23920_ ( + .A(\mgmt_buffers.mprj_dat_o_core[25] ), + .B(\mgmt_buffers.mprj_logic1[67] ), + .X(\mgmt_buffers.mprj_dat_o_user[25] ) + ); + sky130_fd_sc_hd__and2_4 _23921_ ( + .A(\mgmt_buffers.mprj_dat_o_core[26] ), + .B(\mgmt_buffers.mprj_logic1[68] ), + .X(\mgmt_buffers.mprj_dat_o_user[26] ) + ); + sky130_fd_sc_hd__and2_4 _23922_ ( + .A(\mgmt_buffers.mprj_dat_o_core[27] ), + .B(\mgmt_buffers.mprj_logic1[69] ), + .X(\mgmt_buffers.mprj_dat_o_user[27] ) + ); + sky130_fd_sc_hd__and2_4 _23923_ ( + .A(\mgmt_buffers.mprj_dat_o_core[28] ), + .B(\mgmt_buffers.mprj_logic1[70] ), + .X(\mgmt_buffers.mprj_dat_o_user[28] ) + ); + sky130_fd_sc_hd__and2_4 _23924_ ( + .A(\mgmt_buffers.mprj_dat_o_core[29] ), + .B(\mgmt_buffers.mprj_logic1[71] ), + .X(\mgmt_buffers.mprj_dat_o_user[29] ) + ); + sky130_fd_sc_hd__and2_4 _23925_ ( + .A(\mgmt_buffers.mprj_dat_o_core[30] ), + .B(\mgmt_buffers.mprj_logic1[72] ), + .X(\mgmt_buffers.mprj_dat_o_user[30] ) + ); + sky130_fd_sc_hd__and2_4 _23926_ ( + .A(\mgmt_buffers.mprj_dat_o_core[31] ), + .B(\mgmt_buffers.mprj_logic1[73] ), + .X(\mgmt_buffers.mprj_dat_o_user[31] ) + ); + sky130_fd_sc_hd__and2_4 _23927_ ( + .A(\mgmt_buffers.mprj_sel_o_core[0] ), + .B(\mgmt_buffers.mprj_logic1[6] ), + .X(\mgmt_buffers.mprj_sel_o_user[0] ) + ); + sky130_fd_sc_hd__and2_4 _23928_ ( + .A(\mgmt_buffers.mprj_sel_o_core[1] ), + .B(\mgmt_buffers.mprj_logic1[7] ), + .X(\mgmt_buffers.mprj_sel_o_user[1] ) + ); + sky130_fd_sc_hd__a21boi_2 _23929_ ( + .A1(_05025_), + .A2(_05019_), + .B1_N(\mgmt_buffers.mprj_logic1[8] ), + .Y(\mgmt_buffers.mprj_sel_o_user[2] ) + ); + sky130_fd_sc_hd__a21boi_2 _23930_ ( + .A1(_05027_), + .A2(_05019_), + .B1_N(\mgmt_buffers.mprj_logic1[9] ), + .Y(\mgmt_buffers.mprj_sel_o_user[3] ) + ); + sky130_fd_sc_hd__and2_4 _23931_ ( + .A(\mgmt_buffers.mprj_we_o_core ), + .B(\mgmt_buffers.mprj_logic1[5] ), + .X(\mgmt_buffers.mprj_we_o_user ) + ); + sky130_fd_sc_hd__and2b_2 _23932_ ( + .A_N(_04963_), + .B(\mgmt_buffers.mprj_logic1[4] ), + .X(\mgmt_buffers.mprj_stb_o_user ) + ); + sky130_fd_sc_hd__and4_2 _23933_ ( + .A(\mgmt_buffers.mprj_stb_o_core ), + .B(\mgmt_buffers.mprj_logic1[3] ), + .C(_04919_), + .D(_04922_), + .X(_12346_) + ); + sky130_fd_sc_hd__and3_2 _23934_ ( + .A(_12346_), + .B(\mgmt_buffers.mprj_adr_o_core[28] ), + .C(\mgmt_buffers.mprj_adr_o_core[29] ), + .X(\mgmt_buffers.mprj_cyc_o_user ) + ); + sky130_fd_sc_hd__and2_4 _23935_ ( + .A(\mgmt_buffers.mprj_logic1[2] ), + .B(\mgmt_buffers.caravel_clk2 ), + .X(\mgmt_buffers.user_clock2 ) + ); + sky130_fd_sc_hd__and2_4 _23936_ ( + .A(\mgmt_buffers.mprj_logic1[1] ), + .B(\mgmt_buffers.caravel_clk ), + .X(\mgmt_buffers.user_clock ) + ); + sky130_fd_sc_hd__and2b_2 _23937_ ( + .A_N(\mgmt_buffers.caravel_rstn ), + .B(\mgmt_buffers.mprj_logic1[0] ), + .X(\mgmt_buffers.user_reset ) + ); + sky130_fd_sc_hd__and2_4 _23938_ ( + .A(\soc.core.mprj_wb_iena_storage ), + .B(\mgmt_buffers.mprj_logic1[462] ), + .X(\mgmt_buffers.wb_in_enable ) + ); + sky130_fd_sc_hd__and2_4 _23939_ ( + .A(\soc.core.user_irq_ena_storage[0] ), + .B(\mgmt_buffers.mprj_logic1[458] ), + .X(\mgmt_buffers.user_irq_enable[0] ) + ); + sky130_fd_sc_hd__and2_4 _23940_ ( + .A(\soc.core.user_irq_ena_storage[1] ), + .B(\mgmt_buffers.mprj_logic1[459] ), + .X(\mgmt_buffers.user_irq_enable[1] ) + ); + sky130_fd_sc_hd__and2_4 _23941_ ( + .A(\soc.core.user_irq_ena_storage[2] ), + .B(\mgmt_buffers.mprj_logic1[460] ), + .X(\mgmt_buffers.user_irq_enable[2] ) + ); + sky130_fd_sc_hd__and2b_2 _23942_ ( + .A_N(\soc.core.la_ien_storage[0] ), + .B(\mgmt_buffers.mprj_logic1[330] ), + .X(\mgmt_buffers.la_data_in_enable[0] ) + ); + sky130_fd_sc_hd__and2b_2 _23943_ ( + .A_N(\soc.core.la_ien_storage[1] ), + .B(\mgmt_buffers.mprj_logic1[331] ), + .X(\mgmt_buffers.la_data_in_enable[1] ) + ); + sky130_fd_sc_hd__and2b_2 _23944_ ( + .A_N(\soc.core.la_ien_storage[2] ), + .B(\mgmt_buffers.mprj_logic1[332] ), + .X(\mgmt_buffers.la_data_in_enable[2] ) + ); + sky130_fd_sc_hd__and2b_2 _23945_ ( + .A_N(\soc.core.la_ien_storage[3] ), + .B(\mgmt_buffers.mprj_logic1[333] ), + .X(\mgmt_buffers.la_data_in_enable[3] ) + ); + sky130_fd_sc_hd__and2b_2 _23946_ ( + .A_N(\soc.core.la_ien_storage[4] ), + .B(\mgmt_buffers.mprj_logic1[334] ), + .X(\mgmt_buffers.la_data_in_enable[4] ) + ); + sky130_fd_sc_hd__and2b_2 _23947_ ( + .A_N(\soc.core.la_ien_storage[5] ), + .B(\mgmt_buffers.mprj_logic1[335] ), + .X(\mgmt_buffers.la_data_in_enable[5] ) + ); + sky130_fd_sc_hd__and2b_2 _23948_ ( + .A_N(\soc.core.la_ien_storage[6] ), + .B(\mgmt_buffers.mprj_logic1[336] ), + .X(\mgmt_buffers.la_data_in_enable[6] ) + ); + sky130_fd_sc_hd__and2b_2 _23949_ ( + .A_N(\soc.core.la_ien_storage[7] ), + .B(\mgmt_buffers.mprj_logic1[337] ), + .X(\mgmt_buffers.la_data_in_enable[7] ) + ); + sky130_fd_sc_hd__and2b_2 _23950_ ( + .A_N(\soc.core.la_ien_storage[8] ), + .B(\mgmt_buffers.mprj_logic1[338] ), + .X(\mgmt_buffers.la_data_in_enable[8] ) + ); + sky130_fd_sc_hd__and2b_2 _23951_ ( + .A_N(\soc.core.la_ien_storage[9] ), + .B(\mgmt_buffers.mprj_logic1[339] ), + .X(\mgmt_buffers.la_data_in_enable[9] ) + ); + sky130_fd_sc_hd__and2b_2 _23952_ ( + .A_N(\soc.core.la_ien_storage[10] ), + .B(\mgmt_buffers.mprj_logic1[340] ), + .X(\mgmt_buffers.la_data_in_enable[10] ) + ); + sky130_fd_sc_hd__and2b_2 _23953_ ( + .A_N(\soc.core.la_ien_storage[11] ), + .B(\mgmt_buffers.mprj_logic1[341] ), + .X(\mgmt_buffers.la_data_in_enable[11] ) + ); + sky130_fd_sc_hd__and2b_2 _23954_ ( + .A_N(\soc.core.la_ien_storage[12] ), + .B(\mgmt_buffers.mprj_logic1[342] ), + .X(\mgmt_buffers.la_data_in_enable[12] ) + ); + sky130_fd_sc_hd__and2b_2 _23955_ ( + .A_N(\soc.core.la_ien_storage[13] ), + .B(\mgmt_buffers.mprj_logic1[343] ), + .X(\mgmt_buffers.la_data_in_enable[13] ) + ); + sky130_fd_sc_hd__and2b_2 _23956_ ( + .A_N(\soc.core.la_ien_storage[14] ), + .B(\mgmt_buffers.mprj_logic1[344] ), + .X(\mgmt_buffers.la_data_in_enable[14] ) + ); + sky130_fd_sc_hd__and2b_2 _23957_ ( + .A_N(\soc.core.la_ien_storage[15] ), + .B(\mgmt_buffers.mprj_logic1[345] ), + .X(\mgmt_buffers.la_data_in_enable[15] ) + ); + sky130_fd_sc_hd__and2b_2 _23958_ ( + .A_N(\soc.core.la_ien_storage[16] ), + .B(\mgmt_buffers.mprj_logic1[346] ), + .X(\mgmt_buffers.la_data_in_enable[16] ) + ); + sky130_fd_sc_hd__and2b_2 _23959_ ( + .A_N(\soc.core.la_ien_storage[17] ), + .B(\mgmt_buffers.mprj_logic1[347] ), + .X(\mgmt_buffers.la_data_in_enable[17] ) + ); + sky130_fd_sc_hd__and2b_2 _23960_ ( + .A_N(\soc.core.la_ien_storage[18] ), + .B(\mgmt_buffers.mprj_logic1[348] ), + .X(\mgmt_buffers.la_data_in_enable[18] ) + ); + sky130_fd_sc_hd__and2b_2 _23961_ ( + .A_N(\soc.core.la_ien_storage[19] ), + .B(\mgmt_buffers.mprj_logic1[349] ), + .X(\mgmt_buffers.la_data_in_enable[19] ) + ); + sky130_fd_sc_hd__and2b_2 _23962_ ( + .A_N(\soc.core.la_ien_storage[20] ), + .B(\mgmt_buffers.mprj_logic1[350] ), + .X(\mgmt_buffers.la_data_in_enable[20] ) + ); + sky130_fd_sc_hd__and2b_2 _23963_ ( + .A_N(\soc.core.la_ien_storage[21] ), + .B(\mgmt_buffers.mprj_logic1[351] ), + .X(\mgmt_buffers.la_data_in_enable[21] ) + ); + sky130_fd_sc_hd__and2b_2 _23964_ ( + .A_N(\soc.core.la_ien_storage[22] ), + .B(\mgmt_buffers.mprj_logic1[352] ), + .X(\mgmt_buffers.la_data_in_enable[22] ) + ); + sky130_fd_sc_hd__and2b_2 _23965_ ( + .A_N(\soc.core.la_ien_storage[23] ), + .B(\mgmt_buffers.mprj_logic1[353] ), + .X(\mgmt_buffers.la_data_in_enable[23] ) + ); + sky130_fd_sc_hd__and2b_2 _23966_ ( + .A_N(\soc.core.la_ien_storage[24] ), + .B(\mgmt_buffers.mprj_logic1[354] ), + .X(\mgmt_buffers.la_data_in_enable[24] ) + ); + sky130_fd_sc_hd__and2b_2 _23967_ ( + .A_N(\soc.core.la_ien_storage[25] ), + .B(\mgmt_buffers.mprj_logic1[355] ), + .X(\mgmt_buffers.la_data_in_enable[25] ) + ); + sky130_fd_sc_hd__and2b_2 _23968_ ( + .A_N(\soc.core.la_ien_storage[26] ), + .B(\mgmt_buffers.mprj_logic1[356] ), + .X(\mgmt_buffers.la_data_in_enable[26] ) + ); + sky130_fd_sc_hd__and2b_2 _23969_ ( + .A_N(\soc.core.la_ien_storage[27] ), + .B(\mgmt_buffers.mprj_logic1[357] ), + .X(\mgmt_buffers.la_data_in_enable[27] ) + ); + sky130_fd_sc_hd__and2b_2 _23970_ ( + .A_N(\soc.core.la_ien_storage[28] ), + .B(\mgmt_buffers.mprj_logic1[358] ), + .X(\mgmt_buffers.la_data_in_enable[28] ) + ); + sky130_fd_sc_hd__and2b_2 _23971_ ( + .A_N(\soc.core.la_ien_storage[29] ), + .B(\mgmt_buffers.mprj_logic1[359] ), + .X(\mgmt_buffers.la_data_in_enable[29] ) + ); + sky130_fd_sc_hd__and2b_2 _23972_ ( + .A_N(\soc.core.la_ien_storage[30] ), + .B(\mgmt_buffers.mprj_logic1[360] ), + .X(\mgmt_buffers.la_data_in_enable[30] ) + ); + sky130_fd_sc_hd__and2b_2 _23973_ ( + .A_N(\soc.core.la_ien_storage[31] ), + .B(\mgmt_buffers.mprj_logic1[361] ), + .X(\mgmt_buffers.la_data_in_enable[31] ) + ); + sky130_fd_sc_hd__and2b_2 _23974_ ( + .A_N(\soc.core.la_ien_storage[32] ), + .B(\mgmt_buffers.mprj_logic1[362] ), + .X(\mgmt_buffers.la_data_in_enable[32] ) + ); + sky130_fd_sc_hd__and2b_2 _23975_ ( + .A_N(\soc.core.la_ien_storage[33] ), + .B(\mgmt_buffers.mprj_logic1[363] ), + .X(\mgmt_buffers.la_data_in_enable[33] ) + ); + sky130_fd_sc_hd__and2b_2 _23976_ ( + .A_N(\soc.core.la_ien_storage[34] ), + .B(\mgmt_buffers.mprj_logic1[364] ), + .X(\mgmt_buffers.la_data_in_enable[34] ) + ); + sky130_fd_sc_hd__and2b_2 _23977_ ( + .A_N(\soc.core.la_ien_storage[35] ), + .B(\mgmt_buffers.mprj_logic1[365] ), + .X(\mgmt_buffers.la_data_in_enable[35] ) + ); + sky130_fd_sc_hd__and2b_2 _23978_ ( + .A_N(\soc.core.la_ien_storage[36] ), + .B(\mgmt_buffers.mprj_logic1[366] ), + .X(\mgmt_buffers.la_data_in_enable[36] ) + ); + sky130_fd_sc_hd__and2b_2 _23979_ ( + .A_N(\soc.core.la_ien_storage[37] ), + .B(\mgmt_buffers.mprj_logic1[367] ), + .X(\mgmt_buffers.la_data_in_enable[37] ) + ); + sky130_fd_sc_hd__and2b_2 _23980_ ( + .A_N(\soc.core.la_ien_storage[38] ), + .B(\mgmt_buffers.mprj_logic1[368] ), + .X(\mgmt_buffers.la_data_in_enable[38] ) + ); + sky130_fd_sc_hd__and2b_2 _23981_ ( + .A_N(\soc.core.la_ien_storage[39] ), + .B(\mgmt_buffers.mprj_logic1[369] ), + .X(\mgmt_buffers.la_data_in_enable[39] ) + ); + sky130_fd_sc_hd__and2b_2 _23982_ ( + .A_N(\soc.core.la_ien_storage[40] ), + .B(\mgmt_buffers.mprj_logic1[370] ), + .X(\mgmt_buffers.la_data_in_enable[40] ) + ); + sky130_fd_sc_hd__and2b_2 _23983_ ( + .A_N(\soc.core.la_ien_storage[41] ), + .B(\mgmt_buffers.mprj_logic1[371] ), + .X(\mgmt_buffers.la_data_in_enable[41] ) + ); + sky130_fd_sc_hd__and2b_2 _23984_ ( + .A_N(\soc.core.la_ien_storage[42] ), + .B(\mgmt_buffers.mprj_logic1[372] ), + .X(\mgmt_buffers.la_data_in_enable[42] ) + ); + sky130_fd_sc_hd__and2b_2 _23985_ ( + .A_N(\soc.core.la_ien_storage[43] ), + .B(\mgmt_buffers.mprj_logic1[373] ), + .X(\mgmt_buffers.la_data_in_enable[43] ) + ); + sky130_fd_sc_hd__and2b_2 _23986_ ( + .A_N(\soc.core.la_ien_storage[44] ), + .B(\mgmt_buffers.mprj_logic1[374] ), + .X(\mgmt_buffers.la_data_in_enable[44] ) + ); + sky130_fd_sc_hd__and2b_2 _23987_ ( + .A_N(\soc.core.la_ien_storage[45] ), + .B(\mgmt_buffers.mprj_logic1[375] ), + .X(\mgmt_buffers.la_data_in_enable[45] ) + ); + sky130_fd_sc_hd__and2b_2 _23988_ ( + .A_N(\soc.core.la_ien_storage[46] ), + .B(\mgmt_buffers.mprj_logic1[376] ), + .X(\mgmt_buffers.la_data_in_enable[46] ) + ); + sky130_fd_sc_hd__and2b_2 _23989_ ( + .A_N(\soc.core.la_ien_storage[47] ), + .B(\mgmt_buffers.mprj_logic1[377] ), + .X(\mgmt_buffers.la_data_in_enable[47] ) + ); + sky130_fd_sc_hd__and2b_2 _23990_ ( + .A_N(\soc.core.la_ien_storage[48] ), + .B(\mgmt_buffers.mprj_logic1[378] ), + .X(\mgmt_buffers.la_data_in_enable[48] ) + ); + sky130_fd_sc_hd__and2b_2 _23991_ ( + .A_N(\soc.core.la_ien_storage[49] ), + .B(\mgmt_buffers.mprj_logic1[379] ), + .X(\mgmt_buffers.la_data_in_enable[49] ) + ); + sky130_fd_sc_hd__and2b_2 _23992_ ( + .A_N(\soc.core.la_ien_storage[50] ), + .B(\mgmt_buffers.mprj_logic1[380] ), + .X(\mgmt_buffers.la_data_in_enable[50] ) + ); + sky130_fd_sc_hd__and2b_2 _23993_ ( + .A_N(\soc.core.la_ien_storage[51] ), + .B(\mgmt_buffers.mprj_logic1[381] ), + .X(\mgmt_buffers.la_data_in_enable[51] ) + ); + sky130_fd_sc_hd__and2b_2 _23994_ ( + .A_N(\soc.core.la_ien_storage[52] ), + .B(\mgmt_buffers.mprj_logic1[382] ), + .X(\mgmt_buffers.la_data_in_enable[52] ) + ); + sky130_fd_sc_hd__and2b_2 _23995_ ( + .A_N(\soc.core.la_ien_storage[53] ), + .B(\mgmt_buffers.mprj_logic1[383] ), + .X(\mgmt_buffers.la_data_in_enable[53] ) + ); + sky130_fd_sc_hd__and2b_2 _23996_ ( + .A_N(\soc.core.la_ien_storage[54] ), + .B(\mgmt_buffers.mprj_logic1[384] ), + .X(\mgmt_buffers.la_data_in_enable[54] ) + ); + sky130_fd_sc_hd__and2b_2 _23997_ ( + .A_N(\soc.core.la_ien_storage[55] ), + .B(\mgmt_buffers.mprj_logic1[385] ), + .X(\mgmt_buffers.la_data_in_enable[55] ) + ); + sky130_fd_sc_hd__and2b_2 _23998_ ( + .A_N(\soc.core.la_ien_storage[56] ), + .B(\mgmt_buffers.mprj_logic1[386] ), + .X(\mgmt_buffers.la_data_in_enable[56] ) + ); + sky130_fd_sc_hd__and2b_2 _23999_ ( + .A_N(\soc.core.la_ien_storage[57] ), + .B(\mgmt_buffers.mprj_logic1[387] ), + .X(\mgmt_buffers.la_data_in_enable[57] ) + ); + sky130_fd_sc_hd__and2b_2 _24000_ ( + .A_N(\soc.core.la_ien_storage[58] ), + .B(\mgmt_buffers.mprj_logic1[388] ), + .X(\mgmt_buffers.la_data_in_enable[58] ) + ); + sky130_fd_sc_hd__and2b_2 _24001_ ( + .A_N(\soc.core.la_ien_storage[59] ), + .B(\mgmt_buffers.mprj_logic1[389] ), + .X(\mgmt_buffers.la_data_in_enable[59] ) + ); + sky130_fd_sc_hd__and2b_2 _24002_ ( + .A_N(\soc.core.la_ien_storage[60] ), + .B(\mgmt_buffers.mprj_logic1[390] ), + .X(\mgmt_buffers.la_data_in_enable[60] ) + ); + sky130_fd_sc_hd__and2b_2 _24003_ ( + .A_N(\soc.core.la_ien_storage[61] ), + .B(\mgmt_buffers.mprj_logic1[391] ), + .X(\mgmt_buffers.la_data_in_enable[61] ) + ); + sky130_fd_sc_hd__and2b_2 _24004_ ( + .A_N(\soc.core.la_ien_storage[62] ), + .B(\mgmt_buffers.mprj_logic1[392] ), + .X(\mgmt_buffers.la_data_in_enable[62] ) + ); + sky130_fd_sc_hd__and2b_2 _24005_ ( + .A_N(\soc.core.la_ien_storage[63] ), + .B(\mgmt_buffers.mprj_logic1[393] ), + .X(\mgmt_buffers.la_data_in_enable[63] ) + ); + sky130_fd_sc_hd__and2b_2 _24006_ ( + .A_N(\soc.core.la_ien_storage[64] ), + .B(\mgmt_buffers.mprj_logic1[394] ), + .X(\mgmt_buffers.la_data_in_enable[64] ) + ); + sky130_fd_sc_hd__and2b_2 _24007_ ( + .A_N(\soc.core.la_ien_storage[65] ), + .B(\mgmt_buffers.mprj_logic1[395] ), + .X(\mgmt_buffers.la_data_in_enable[65] ) + ); + sky130_fd_sc_hd__and2b_2 _24008_ ( + .A_N(\soc.core.la_ien_storage[66] ), + .B(\mgmt_buffers.mprj_logic1[396] ), + .X(\mgmt_buffers.la_data_in_enable[66] ) + ); + sky130_fd_sc_hd__and2b_2 _24009_ ( + .A_N(\soc.core.la_ien_storage[67] ), + .B(\mgmt_buffers.mprj_logic1[397] ), + .X(\mgmt_buffers.la_data_in_enable[67] ) + ); + sky130_fd_sc_hd__and2b_2 _24010_ ( + .A_N(\soc.core.la_ien_storage[68] ), + .B(\mgmt_buffers.mprj_logic1[398] ), + .X(\mgmt_buffers.la_data_in_enable[68] ) + ); + sky130_fd_sc_hd__and2b_2 _24011_ ( + .A_N(\soc.core.la_ien_storage[69] ), + .B(\mgmt_buffers.mprj_logic1[399] ), + .X(\mgmt_buffers.la_data_in_enable[69] ) + ); + sky130_fd_sc_hd__and2b_2 _24012_ ( + .A_N(\soc.core.la_ien_storage[70] ), + .B(\mgmt_buffers.mprj_logic1[400] ), + .X(\mgmt_buffers.la_data_in_enable[70] ) + ); + sky130_fd_sc_hd__and2b_2 _24013_ ( + .A_N(\soc.core.la_ien_storage[71] ), + .B(\mgmt_buffers.mprj_logic1[401] ), + .X(\mgmt_buffers.la_data_in_enable[71] ) + ); + sky130_fd_sc_hd__and2b_2 _24014_ ( + .A_N(\soc.core.la_ien_storage[72] ), + .B(\mgmt_buffers.mprj_logic1[402] ), + .X(\mgmt_buffers.la_data_in_enable[72] ) + ); + sky130_fd_sc_hd__and2b_2 _24015_ ( + .A_N(\soc.core.la_ien_storage[73] ), + .B(\mgmt_buffers.mprj_logic1[403] ), + .X(\mgmt_buffers.la_data_in_enable[73] ) + ); + sky130_fd_sc_hd__and2b_2 _24016_ ( + .A_N(\soc.core.la_ien_storage[74] ), + .B(\mgmt_buffers.mprj_logic1[404] ), + .X(\mgmt_buffers.la_data_in_enable[74] ) + ); + sky130_fd_sc_hd__and2b_2 _24017_ ( + .A_N(\soc.core.la_ien_storage[75] ), + .B(\mgmt_buffers.mprj_logic1[405] ), + .X(\mgmt_buffers.la_data_in_enable[75] ) + ); + sky130_fd_sc_hd__and2b_2 _24018_ ( + .A_N(\soc.core.la_ien_storage[76] ), + .B(\mgmt_buffers.mprj_logic1[406] ), + .X(\mgmt_buffers.la_data_in_enable[76] ) + ); + sky130_fd_sc_hd__and2b_2 _24019_ ( + .A_N(\soc.core.la_ien_storage[77] ), + .B(\mgmt_buffers.mprj_logic1[407] ), + .X(\mgmt_buffers.la_data_in_enable[77] ) + ); + sky130_fd_sc_hd__and2b_2 _24020_ ( + .A_N(\soc.core.la_ien_storage[78] ), + .B(\mgmt_buffers.mprj_logic1[408] ), + .X(\mgmt_buffers.la_data_in_enable[78] ) + ); + sky130_fd_sc_hd__and2b_2 _24021_ ( + .A_N(\soc.core.la_ien_storage[79] ), + .B(\mgmt_buffers.mprj_logic1[409] ), + .X(\mgmt_buffers.la_data_in_enable[79] ) + ); + sky130_fd_sc_hd__and2b_2 _24022_ ( + .A_N(\soc.core.la_ien_storage[80] ), + .B(\mgmt_buffers.mprj_logic1[410] ), + .X(\mgmt_buffers.la_data_in_enable[80] ) + ); + sky130_fd_sc_hd__and2b_2 _24023_ ( + .A_N(\soc.core.la_ien_storage[81] ), + .B(\mgmt_buffers.mprj_logic1[411] ), + .X(\mgmt_buffers.la_data_in_enable[81] ) + ); + sky130_fd_sc_hd__and2b_2 _24024_ ( + .A_N(\soc.core.la_ien_storage[82] ), + .B(\mgmt_buffers.mprj_logic1[412] ), + .X(\mgmt_buffers.la_data_in_enable[82] ) + ); + sky130_fd_sc_hd__and2b_2 _24025_ ( + .A_N(\soc.core.la_ien_storage[83] ), + .B(\mgmt_buffers.mprj_logic1[413] ), + .X(\mgmt_buffers.la_data_in_enable[83] ) + ); + sky130_fd_sc_hd__and2b_2 _24026_ ( + .A_N(\soc.core.la_ien_storage[84] ), + .B(\mgmt_buffers.mprj_logic1[414] ), + .X(\mgmt_buffers.la_data_in_enable[84] ) + ); + sky130_fd_sc_hd__and2b_2 _24027_ ( + .A_N(\soc.core.la_ien_storage[85] ), + .B(\mgmt_buffers.mprj_logic1[415] ), + .X(\mgmt_buffers.la_data_in_enable[85] ) + ); + sky130_fd_sc_hd__and2b_2 _24028_ ( + .A_N(\soc.core.la_ien_storage[86] ), + .B(\mgmt_buffers.mprj_logic1[416] ), + .X(\mgmt_buffers.la_data_in_enable[86] ) + ); + sky130_fd_sc_hd__and2b_2 _24029_ ( + .A_N(\soc.core.la_ien_storage[87] ), + .B(\mgmt_buffers.mprj_logic1[417] ), + .X(\mgmt_buffers.la_data_in_enable[87] ) + ); + sky130_fd_sc_hd__and2b_2 _24030_ ( + .A_N(\soc.core.la_ien_storage[88] ), + .B(\mgmt_buffers.mprj_logic1[418] ), + .X(\mgmt_buffers.la_data_in_enable[88] ) + ); + sky130_fd_sc_hd__and2b_2 _24031_ ( + .A_N(\soc.core.la_ien_storage[89] ), + .B(\mgmt_buffers.mprj_logic1[419] ), + .X(\mgmt_buffers.la_data_in_enable[89] ) + ); + sky130_fd_sc_hd__and2b_2 _24032_ ( + .A_N(\soc.core.la_ien_storage[90] ), + .B(\mgmt_buffers.mprj_logic1[420] ), + .X(\mgmt_buffers.la_data_in_enable[90] ) + ); + sky130_fd_sc_hd__and2b_2 _24033_ ( + .A_N(\soc.core.la_ien_storage[91] ), + .B(\mgmt_buffers.mprj_logic1[421] ), + .X(\mgmt_buffers.la_data_in_enable[91] ) + ); + sky130_fd_sc_hd__and2b_2 _24034_ ( + .A_N(\soc.core.la_ien_storage[92] ), + .B(\mgmt_buffers.mprj_logic1[422] ), + .X(\mgmt_buffers.la_data_in_enable[92] ) + ); + sky130_fd_sc_hd__and2b_2 _24035_ ( + .A_N(\soc.core.la_ien_storage[93] ), + .B(\mgmt_buffers.mprj_logic1[423] ), + .X(\mgmt_buffers.la_data_in_enable[93] ) + ); + sky130_fd_sc_hd__and2b_2 _24036_ ( + .A_N(\soc.core.la_ien_storage[94] ), + .B(\mgmt_buffers.mprj_logic1[424] ), + .X(\mgmt_buffers.la_data_in_enable[94] ) + ); + sky130_fd_sc_hd__and2b_2 _24037_ ( + .A_N(\soc.core.la_ien_storage[95] ), + .B(\mgmt_buffers.mprj_logic1[425] ), + .X(\mgmt_buffers.la_data_in_enable[95] ) + ); + sky130_fd_sc_hd__and2b_2 _24038_ ( + .A_N(\soc.core.la_ien_storage[96] ), + .B(\mgmt_buffers.mprj_logic1[426] ), + .X(\mgmt_buffers.la_data_in_enable[96] ) + ); + sky130_fd_sc_hd__and2b_2 _24039_ ( + .A_N(\soc.core.la_ien_storage[97] ), + .B(\mgmt_buffers.mprj_logic1[427] ), + .X(\mgmt_buffers.la_data_in_enable[97] ) + ); + sky130_fd_sc_hd__and2b_2 _24040_ ( + .A_N(\soc.core.la_ien_storage[98] ), + .B(\mgmt_buffers.mprj_logic1[428] ), + .X(\mgmt_buffers.la_data_in_enable[98] ) + ); + sky130_fd_sc_hd__and2b_2 _24041_ ( + .A_N(\soc.core.la_ien_storage[99] ), + .B(\mgmt_buffers.mprj_logic1[429] ), + .X(\mgmt_buffers.la_data_in_enable[99] ) + ); + sky130_fd_sc_hd__and2b_2 _24042_ ( + .A_N(\soc.core.la_ien_storage[100] ), + .B(\mgmt_buffers.mprj_logic1[430] ), + .X(\mgmt_buffers.la_data_in_enable[100] ) + ); + sky130_fd_sc_hd__and2b_2 _24043_ ( + .A_N(\soc.core.la_ien_storage[101] ), + .B(\mgmt_buffers.mprj_logic1[431] ), + .X(\mgmt_buffers.la_data_in_enable[101] ) + ); + sky130_fd_sc_hd__and2b_2 _24044_ ( + .A_N(\soc.core.la_ien_storage[102] ), + .B(\mgmt_buffers.mprj_logic1[432] ), + .X(\mgmt_buffers.la_data_in_enable[102] ) + ); + sky130_fd_sc_hd__and2b_2 _24045_ ( + .A_N(\soc.core.la_ien_storage[103] ), + .B(\mgmt_buffers.mprj_logic1[433] ), + .X(\mgmt_buffers.la_data_in_enable[103] ) + ); + sky130_fd_sc_hd__and2b_2 _24046_ ( + .A_N(\soc.core.la_ien_storage[104] ), + .B(\mgmt_buffers.mprj_logic1[434] ), + .X(\mgmt_buffers.la_data_in_enable[104] ) + ); + sky130_fd_sc_hd__and2b_2 _24047_ ( + .A_N(\soc.core.la_ien_storage[105] ), + .B(\mgmt_buffers.mprj_logic1[435] ), + .X(\mgmt_buffers.la_data_in_enable[105] ) + ); + sky130_fd_sc_hd__and2b_2 _24048_ ( + .A_N(\soc.core.la_ien_storage[106] ), + .B(\mgmt_buffers.mprj_logic1[436] ), + .X(\mgmt_buffers.la_data_in_enable[106] ) + ); + sky130_fd_sc_hd__and2b_2 _24049_ ( + .A_N(\soc.core.la_ien_storage[107] ), + .B(\mgmt_buffers.mprj_logic1[437] ), + .X(\mgmt_buffers.la_data_in_enable[107] ) + ); + sky130_fd_sc_hd__and2b_2 _24050_ ( + .A_N(\soc.core.la_ien_storage[108] ), + .B(\mgmt_buffers.mprj_logic1[438] ), + .X(\mgmt_buffers.la_data_in_enable[108] ) + ); + sky130_fd_sc_hd__and2b_2 _24051_ ( + .A_N(\soc.core.la_ien_storage[109] ), + .B(\mgmt_buffers.mprj_logic1[439] ), + .X(\mgmt_buffers.la_data_in_enable[109] ) + ); + sky130_fd_sc_hd__and2b_2 _24052_ ( + .A_N(\soc.core.la_ien_storage[110] ), + .B(\mgmt_buffers.mprj_logic1[440] ), + .X(\mgmt_buffers.la_data_in_enable[110] ) + ); + sky130_fd_sc_hd__and2b_2 _24053_ ( + .A_N(\soc.core.la_ien_storage[111] ), + .B(\mgmt_buffers.mprj_logic1[441] ), + .X(\mgmt_buffers.la_data_in_enable[111] ) + ); + sky130_fd_sc_hd__and2b_2 _24054_ ( + .A_N(\soc.core.la_ien_storage[112] ), + .B(\mgmt_buffers.mprj_logic1[442] ), + .X(\mgmt_buffers.la_data_in_enable[112] ) + ); + sky130_fd_sc_hd__and2b_2 _24055_ ( + .A_N(\soc.core.la_ien_storage[113] ), + .B(\mgmt_buffers.mprj_logic1[443] ), + .X(\mgmt_buffers.la_data_in_enable[113] ) + ); + sky130_fd_sc_hd__and2b_2 _24056_ ( + .A_N(\soc.core.la_ien_storage[114] ), + .B(\mgmt_buffers.mprj_logic1[444] ), + .X(\mgmt_buffers.la_data_in_enable[114] ) + ); + sky130_fd_sc_hd__and2b_2 _24057_ ( + .A_N(\soc.core.la_ien_storage[115] ), + .B(\mgmt_buffers.mprj_logic1[445] ), + .X(\mgmt_buffers.la_data_in_enable[115] ) + ); + sky130_fd_sc_hd__and2b_2 _24058_ ( + .A_N(\soc.core.la_ien_storage[116] ), + .B(\mgmt_buffers.mprj_logic1[446] ), + .X(\mgmt_buffers.la_data_in_enable[116] ) + ); + sky130_fd_sc_hd__and2b_2 _24059_ ( + .A_N(\soc.core.la_ien_storage[117] ), + .B(\mgmt_buffers.mprj_logic1[447] ), + .X(\mgmt_buffers.la_data_in_enable[117] ) + ); + sky130_fd_sc_hd__and2b_2 _24060_ ( + .A_N(\soc.core.la_ien_storage[118] ), + .B(\mgmt_buffers.mprj_logic1[448] ), + .X(\mgmt_buffers.la_data_in_enable[118] ) + ); + sky130_fd_sc_hd__and2b_2 _24061_ ( + .A_N(\soc.core.la_ien_storage[119] ), + .B(\mgmt_buffers.mprj_logic1[449] ), + .X(\mgmt_buffers.la_data_in_enable[119] ) + ); + sky130_fd_sc_hd__and2b_2 _24062_ ( + .A_N(\soc.core.la_ien_storage[120] ), + .B(\mgmt_buffers.mprj_logic1[450] ), + .X(\mgmt_buffers.la_data_in_enable[120] ) + ); + sky130_fd_sc_hd__and2b_2 _24063_ ( + .A_N(\soc.core.la_ien_storage[121] ), + .B(\mgmt_buffers.mprj_logic1[451] ), + .X(\mgmt_buffers.la_data_in_enable[121] ) + ); + sky130_fd_sc_hd__and2b_2 _24064_ ( + .A_N(\soc.core.la_ien_storage[122] ), + .B(\mgmt_buffers.mprj_logic1[452] ), + .X(\mgmt_buffers.la_data_in_enable[122] ) + ); + sky130_fd_sc_hd__and2b_2 _24065_ ( + .A_N(\soc.core.la_ien_storage[123] ), + .B(\mgmt_buffers.mprj_logic1[453] ), + .X(\mgmt_buffers.la_data_in_enable[123] ) + ); + sky130_fd_sc_hd__and2b_2 _24066_ ( + .A_N(\soc.core.la_ien_storage[124] ), + .B(\mgmt_buffers.mprj_logic1[454] ), + .X(\mgmt_buffers.la_data_in_enable[124] ) + ); + sky130_fd_sc_hd__and2b_2 _24067_ ( + .A_N(\soc.core.la_ien_storage[125] ), + .B(\mgmt_buffers.mprj_logic1[455] ), + .X(\mgmt_buffers.la_data_in_enable[125] ) + ); + sky130_fd_sc_hd__and2b_2 _24068_ ( + .A_N(\soc.core.la_ien_storage[126] ), + .B(\mgmt_buffers.mprj_logic1[456] ), + .X(\mgmt_buffers.la_data_in_enable[126] ) + ); + sky130_fd_sc_hd__and2b_2 _24069_ ( + .A_N(\soc.core.la_ien_storage[127] ), + .B(\mgmt_buffers.mprj_logic1[457] ), + .X(\mgmt_buffers.la_data_in_enable[127] ) + ); + sky130_fd_sc_hd__nand2_2 _24070_ ( + .A(\pll.resetb ), + .B(\pll.enable ), + .Y(\pll.ireset ) + ); + sky130_fd_sc_hd__and2_4 _24071_ ( + .A(\gpio_control_bidir_1[0].gpio_logic1 ), + .B(mprj_io_in[0]), + .X(\gpio_control_bidir_1[0].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24072_ ( + .A(\gpio_control_bidir_1[1].gpio_logic1 ), + .B(mprj_io_in[1]), + .X(\gpio_control_bidir_1[1].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24073_ ( + .A(\gpio_control_in_1a[0].gpio_logic1 ), + .B(mprj_io_in[2]), + .X(\gpio_control_in_1a[0].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24074_ ( + .A(\gpio_control_in_1a[1].gpio_logic1 ), + .B(mprj_io_in[3]), + .X(\gpio_control_in_1a[1].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24075_ ( + .A(\gpio_control_in_1a[2].gpio_logic1 ), + .B(mprj_io_in[4]), + .X(\gpio_control_in_1a[2].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24076_ ( + .A(\gpio_control_in_1a[3].gpio_logic1 ), + .B(mprj_io_in[5]), + .X(\gpio_control_in_1a[3].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24077_ ( + .A(\gpio_control_in_1a[4].gpio_logic1 ), + .B(mprj_io_in[6]), + .X(\gpio_control_in_1a[4].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24078_ ( + .A(\gpio_control_in_1a[5].gpio_logic1 ), + .B(mprj_io_in[7]), + .X(\gpio_control_in_1a[5].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24079_ ( + .A(\gpio_control_in_1[0].gpio_logic1 ), + .B(mprj_io_in[8]), + .X(\gpio_control_in_1[0].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24080_ ( + .A(\gpio_control_in_1[1].gpio_logic1 ), + .B(mprj_io_in[9]), + .X(\gpio_control_in_1[1].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24081_ ( + .A(\gpio_control_in_1[2].gpio_logic1 ), + .B(mprj_io_in[10]), + .X(\gpio_control_in_1[2].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24082_ ( + .A(\gpio_control_in_1[3].gpio_logic1 ), + .B(mprj_io_in[11]), + .X(\gpio_control_in_1[3].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24083_ ( + .A(\gpio_control_in_1[4].gpio_logic1 ), + .B(mprj_io_in[12]), + .X(\gpio_control_in_1[4].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24084_ ( + .A(\gpio_control_in_1[5].gpio_logic1 ), + .B(mprj_io_in[13]), + .X(\gpio_control_in_1[5].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24085_ ( + .A(\gpio_control_in_1[6].gpio_logic1 ), + .B(mprj_io_in[14]), + .X(\gpio_control_in_1[6].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24086_ ( + .A(\gpio_control_in_1[7].gpio_logic1 ), + .B(mprj_io_in[15]), + .X(\gpio_control_in_1[7].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24087_ ( + .A(\gpio_control_in_1[8].gpio_logic1 ), + .B(mprj_io_in[16]), + .X(\gpio_control_in_1[8].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24088_ ( + .A(\gpio_control_in_1[9].gpio_logic1 ), + .B(mprj_io_in[17]), + .X(\gpio_control_in_1[9].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24089_ ( + .A(\gpio_control_in_1[10].gpio_logic1 ), + .B(mprj_io_in[18]), + .X(\gpio_control_in_1[10].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24090_ ( + .A(\gpio_control_bidir_2[0].gpio_logic1 ), + .B(mprj_io_in[35]), + .X(\gpio_control_bidir_2[0].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24091_ ( + .A(\gpio_control_bidir_2[1].gpio_logic1 ), + .B(mprj_io_in[36]), + .X(\gpio_control_bidir_2[1].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24092_ ( + .A(\gpio_control_bidir_2[2].gpio_logic1 ), + .B(mprj_io_in[37]), + .X(\gpio_control_bidir_2[2].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24093_ ( + .A(\gpio_control_in_2[0].gpio_logic1 ), + .B(mprj_io_in[19]), + .X(\gpio_control_in_2[0].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24094_ ( + .A(\gpio_control_in_2[1].gpio_logic1 ), + .B(mprj_io_in[20]), + .X(\gpio_control_in_2[1].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24095_ ( + .A(\gpio_control_in_2[2].gpio_logic1 ), + .B(mprj_io_in[21]), + .X(\gpio_control_in_2[2].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24096_ ( + .A(\gpio_control_in_2[3].gpio_logic1 ), + .B(mprj_io_in[22]), + .X(\gpio_control_in_2[3].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24097_ ( + .A(\gpio_control_in_2[4].gpio_logic1 ), + .B(mprj_io_in[23]), + .X(\gpio_control_in_2[4].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24098_ ( + .A(\gpio_control_in_2[5].gpio_logic1 ), + .B(mprj_io_in[24]), + .X(\gpio_control_in_2[5].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24099_ ( + .A(\gpio_control_in_2[6].gpio_logic1 ), + .B(mprj_io_in[25]), + .X(\gpio_control_in_2[6].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24100_ ( + .A(\gpio_control_in_2[7].gpio_logic1 ), + .B(mprj_io_in[26]), + .X(\gpio_control_in_2[7].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24101_ ( + .A(\gpio_control_in_2[8].gpio_logic1 ), + .B(mprj_io_in[27]), + .X(\gpio_control_in_2[8].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24102_ ( + .A(\gpio_control_in_2[9].gpio_logic1 ), + .B(mprj_io_in[28]), + .X(\gpio_control_in_2[9].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24103_ ( + .A(\gpio_control_in_2[10].gpio_logic1 ), + .B(mprj_io_in[29]), + .X(\gpio_control_in_2[10].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24104_ ( + .A(\gpio_control_in_2[11].gpio_logic1 ), + .B(mprj_io_in[30]), + .X(\gpio_control_in_2[11].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24105_ ( + .A(\gpio_control_in_2[12].gpio_logic1 ), + .B(mprj_io_in[31]), + .X(\gpio_control_in_2[12].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24106_ ( + .A(\gpio_control_in_2[13].gpio_logic1 ), + .B(mprj_io_in[32]), + .X(\gpio_control_in_2[13].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24107_ ( + .A(\gpio_control_in_2[14].gpio_logic1 ), + .B(mprj_io_in[33]), + .X(\gpio_control_in_2[14].user_gpio_in ) + ); + sky130_fd_sc_hd__and2_4 _24108_ ( + .A(\gpio_control_in_2[15].gpio_logic1 ), + .B(mprj_io_in[34]), + .X(\gpio_control_in_2[15].user_gpio_in ) + ); + sky130_fd_sc_hd__nand2_2 _24109_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[21] ), + .B(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .Y(_04842_) + ); + sky130_fd_sc_hd__nand2_2 _24110_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[22] ), + .B(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .Y(_04845_) + ); + sky130_fd_sc_hd__nand2_2 _24111_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[23] ), + .B(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .Y(_04848_) + ); + sky130_fd_sc_hd__nand2_2 _24112_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[24] ), + .B(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .Y(_04851_) + ); + sky130_fd_sc_hd__and3_2 _24113_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .C(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ), + .X(_12347_) + ); + sky130_fd_sc_hd__nor2_2 _24114_ ( + .A(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ), + .B(_04802_), + .Y(_12348_) + ); + sky130_fd_sc_hd__a21oi_2 _24115_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04866_) + ); + sky130_fd_sc_hd__a21oi_2 _24116_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04869_) + ); + sky130_fd_sc_hd__a21oi_2 _24117_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04872_) + ); + sky130_fd_sc_hd__a21oi_2 _24118_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04875_) + ); + sky130_fd_sc_hd__a21oi_2 _24119_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[15] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04878_) + ); + sky130_fd_sc_hd__a21oi_2 _24120_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[16] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04881_) + ); + sky130_fd_sc_hd__a21oi_2 _24121_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[17] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04884_) + ); + sky130_fd_sc_hd__a21oi_2 _24122_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[18] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04887_) + ); + sky130_fd_sc_hd__a21oi_2 _24123_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[19] ), + .A2(_12348_), + .B1(_12347_), + .Y(_04890_) + ); + sky130_fd_sc_hd__and4bb_2 _24124_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .B_N(\soc.core.VexRiscv._zz_2 ), + .C(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_valid ), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .X(_12349_) + ); + sky130_fd_sc_hd__nor3_2 _24125_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .Y(_12350_) + ); + sky130_fd_sc_hd__nand2_2 _24126_ ( + .A(_12349_), + .B(_12350_), + .Y(_12351_) + ); + sky130_fd_sc_hd__nor2_2 _24127_ ( + .A(_03967_), + .B(\soc.core.VexRiscv._zz_2 ), + .Y(_12352_) + ); + sky130_fd_sc_hd__mux2_1 _24128_ ( + .A0(_12352_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][0] ), + .S(_12351_), + .X(_06676_) + ); + sky130_fd_sc_hd__nor2_2 _24129_ ( + .A(_03973_), + .B(\soc.core.VexRiscv._zz_2 ), + .Y(_12353_) + ); + sky130_fd_sc_hd__mux2_1 _24130_ ( + .A0(_12353_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][1] ), + .S(_12351_), + .X(_06677_) + ); + sky130_fd_sc_hd__nor2_2 _24131_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04109_), + .Y(_12354_) + ); + sky130_fd_sc_hd__mux2_1 _24132_ ( + .A0(_12354_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][2] ), + .S(_12351_), + .X(_06678_) + ); + sky130_fd_sc_hd__nor2_2 _24133_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04115_), + .Y(_12355_) + ); + sky130_fd_sc_hd__mux2_1 _24134_ ( + .A0(_12355_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][3] ), + .S(_12351_), + .X(_06679_) + ); + sky130_fd_sc_hd__nor2_2 _24135_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04121_), + .Y(_12356_) + ); + sky130_fd_sc_hd__mux2_1 _24136_ ( + .A0(_12356_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][4] ), + .S(_12351_), + .X(_06680_) + ); + sky130_fd_sc_hd__nor2_2 _24137_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04127_), + .Y(_12357_) + ); + sky130_fd_sc_hd__mux2_1 _24138_ ( + .A0(_12357_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][5] ), + .S(_12351_), + .X(_06681_) + ); + sky130_fd_sc_hd__nor2_2 _24139_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04133_), + .Y(_12358_) + ); + sky130_fd_sc_hd__mux2_1 _24140_ ( + .A0(_12358_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][6] ), + .S(_12351_), + .X(_06682_) + ); + sky130_fd_sc_hd__nor2_2 _24141_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04139_), + .Y(_12359_) + ); + sky130_fd_sc_hd__mux2_1 _24142_ ( + .A0(_12359_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][7] ), + .S(_12351_), + .X(_06683_) + ); + sky130_fd_sc_hd__nor2_2 _24143_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04146_), + .Y(_12360_) + ); + sky130_fd_sc_hd__mux2_1 _24144_ ( + .A0(_12360_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][8] ), + .S(_12351_), + .X(_06684_) + ); + sky130_fd_sc_hd__nor2_2 _24145_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04152_), + .Y(_12361_) + ); + sky130_fd_sc_hd__mux2_1 _24146_ ( + .A0(_12361_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][9] ), + .S(_12351_), + .X(_06685_) + ); + sky130_fd_sc_hd__nor2_2 _24147_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04158_), + .Y(_12362_) + ); + sky130_fd_sc_hd__mux2_1 _24148_ ( + .A0(_12362_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][10] ), + .S(_12351_), + .X(_06686_) + ); + sky130_fd_sc_hd__nor2_2 _24149_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04164_), + .Y(_12363_) + ); + sky130_fd_sc_hd__mux2_1 _24150_ ( + .A0(_12363_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][11] ), + .S(_12351_), + .X(_06687_) + ); + sky130_fd_sc_hd__nor2_2 _24151_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04170_), + .Y(_12364_) + ); + sky130_fd_sc_hd__mux2_1 _24152_ ( + .A0(_12364_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][12] ), + .S(_12351_), + .X(_06688_) + ); + sky130_fd_sc_hd__nor2_2 _24153_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04176_), + .Y(_12365_) + ); + sky130_fd_sc_hd__mux2_1 _24154_ ( + .A0(_12365_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][13] ), + .S(_12351_), + .X(_06689_) + ); + sky130_fd_sc_hd__nor2_2 _24155_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04182_), + .Y(_12366_) + ); + sky130_fd_sc_hd__mux2_1 _24156_ ( + .A0(_12366_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][14] ), + .S(_12351_), + .X(_06690_) + ); + sky130_fd_sc_hd__nor2_2 _24157_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04188_), + .Y(_12367_) + ); + sky130_fd_sc_hd__mux2_1 _24158_ ( + .A0(_12367_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][15] ), + .S(_12351_), + .X(_06691_) + ); + sky130_fd_sc_hd__nor2_2 _24159_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04192_), + .Y(_12368_) + ); + sky130_fd_sc_hd__mux2_1 _24160_ ( + .A0(_12368_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][16] ), + .S(_12351_), + .X(_06692_) + ); + sky130_fd_sc_hd__nor2_2 _24161_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04195_), + .Y(_12369_) + ); + sky130_fd_sc_hd__mux2_1 _24162_ ( + .A0(_12369_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][17] ), + .S(_12351_), + .X(_06693_) + ); + sky130_fd_sc_hd__nor2_2 _24163_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04198_), + .Y(_12370_) + ); + sky130_fd_sc_hd__mux2_1 _24164_ ( + .A0(_12370_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][18] ), + .S(_12351_), + .X(_06694_) + ); + sky130_fd_sc_hd__nor2_2 _24165_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04201_), + .Y(_12371_) + ); + sky130_fd_sc_hd__mux2_1 _24166_ ( + .A0(_12371_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][19] ), + .S(_12351_), + .X(_06695_) + ); + sky130_fd_sc_hd__nor2_2 _24167_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04204_), + .Y(_12372_) + ); + sky130_fd_sc_hd__mux2_1 _24168_ ( + .A0(_12372_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][20] ), + .S(_12351_), + .X(_06696_) + ); + sky130_fd_sc_hd__nor2_2 _24169_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04207_), + .Y(_12373_) + ); + sky130_fd_sc_hd__mux2_1 _24170_ ( + .A0(_12373_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][21] ), + .S(_12351_), + .X(_06697_) + ); + sky130_fd_sc_hd__nor2_2 _24171_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04210_), + .Y(_12374_) + ); + sky130_fd_sc_hd__mux2_1 _24172_ ( + .A0(_12374_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][22] ), + .S(_12351_), + .X(_06698_) + ); + sky130_fd_sc_hd__nor2_2 _24173_ ( + .A(\soc.core.VexRiscv._zz_2 ), + .B(_04213_), + .Y(_12375_) + ); + sky130_fd_sc_hd__mux2_1 _24174_ ( + .A0(_12375_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][23] ), + .S(_12351_), + .X(_06699_) + ); + sky130_fd_sc_hd__and2b_2 _24175_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_04216_), + .X(_12376_) + ); + sky130_fd_sc_hd__mux2_1 _24176_ ( + .A0(_12376_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][24] ), + .S(_12351_), + .X(_06700_) + ); + sky130_fd_sc_hd__and2b_2 _24177_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_04218_), + .X(_12377_) + ); + sky130_fd_sc_hd__mux2_1 _24178_ ( + .A0(_12377_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][25] ), + .S(_12351_), + .X(_06701_) + ); + sky130_fd_sc_hd__and2b_2 _24179_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_04220_), + .X(_12378_) + ); + sky130_fd_sc_hd__mux2_1 _24180_ ( + .A0(_12378_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][26] ), + .S(_12351_), + .X(_06702_) + ); + sky130_fd_sc_hd__and2b_2 _24181_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_04222_), + .X(_12379_) + ); + sky130_fd_sc_hd__mux2_1 _24182_ ( + .A0(_12379_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][27] ), + .S(_12351_), + .X(_06703_) + ); + sky130_fd_sc_hd__and2b_2 _24183_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_04224_), + .X(_12380_) + ); + sky130_fd_sc_hd__mux2_1 _24184_ ( + .A0(_12380_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][28] ), + .S(_12351_), + .X(_06704_) + ); + sky130_fd_sc_hd__and2b_2 _24185_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_04226_), + .X(_12381_) + ); + sky130_fd_sc_hd__mux2_1 _24186_ ( + .A0(_12381_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][29] ), + .S(_12351_), + .X(_06705_) + ); + sky130_fd_sc_hd__and2b_2 _24187_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_04228_), + .X(_12382_) + ); + sky130_fd_sc_hd__mux2_1 _24188_ ( + .A0(_12382_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][30] ), + .S(_12351_), + .X(_06706_) + ); + sky130_fd_sc_hd__and2b_2 _24189_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_04230_), + .X(_12383_) + ); + sky130_fd_sc_hd__mux2_1 _24190_ ( + .A0(_12383_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[2][31] ), + .S(_12351_), + .X(_06707_) + ); + sky130_fd_sc_hd__a211o_2 _24191_ ( + .A1(_04996_), + .A2(_04999_), + .B1(_11004_), + .C1(_11011_), + .X(_12384_) + ); + sky130_fd_sc_hd__a21oi_2 _24192_ ( + .A1(_04996_), + .A2(_04999_), + .B1(_11013_), + .Y(_12385_) + ); + sky130_fd_sc_hd__a31o_2 _24193_ ( + .A1(_04996_), + .A2(_04999_), + .A3(_11023_), + .B1(_11013_), + .X(_12386_) + ); + sky130_fd_sc_hd__and3_2 _24194_ ( + .A(\mgmt_buffers.mprj_adr_o_core[15] ), + .B(_04990_), + .C(_04987_), + .X(_12387_) + ); + sky130_fd_sc_hd__and4_2 _24195_ ( + .A(\soc.core.user_irq_ena_storage[0] ), + .B(_11017_), + .C(_12386_), + .D(_12387_), + .X(_06708_) + ); + sky130_fd_sc_hd__and4_2 _24196_ ( + .A(\soc.core.user_irq_ena_storage[1] ), + .B(_11017_), + .C(_12386_), + .D(_12387_), + .X(_06709_) + ); + sky130_fd_sc_hd__and4_2 _24197_ ( + .A(\soc.core.user_irq_ena_storage[2] ), + .B(_11017_), + .C(_12386_), + .D(_12387_), + .X(_06710_) + ); + sky130_fd_sc_hd__and3_2 _24198_ ( + .A(\mgmt_buffers.mprj_adr_o_core[13] ), + .B(\mgmt_buffers.mprj_adr_o_core[14] ), + .C(_04993_), + .X(_12388_) + ); + sky130_fd_sc_hd__and3_2 _24199_ ( + .A(_04957_), + .B(_04984_), + .C(_11012_), + .X(_12389_) + ); + sky130_fd_sc_hd__and4_2 _24200_ ( + .A(\soc.core.uart_enabled_storage ), + .B(_12386_), + .C(_12388_), + .D(_12389_), + .X(_06711_) + ); + sky130_fd_sc_hd__and3_2 _24201_ ( + .A(\mgmt_buffers.mprj_adr_o_core[14] ), + .B(_04993_), + .C(_04987_), + .X(_12390_) + ); + sky130_fd_sc_hd__and4_2 _24202_ ( + .A(\soc.core.spi_enabled_storage ), + .B(_12386_), + .C(_12389_), + .D(_12390_), + .X(_06712_) + ); + sky130_fd_sc_hd__and3_2 _24203_ ( + .A(\mgmt_buffers.mprj_adr_o_core[13] ), + .B(_04990_), + .C(_04993_), + .X(_12391_) + ); + sky130_fd_sc_hd__a31o_2 _24204_ ( + .A1(_04996_), + .A2(_04999_), + .A3(_11023_), + .B1(_11029_), + .X(_12392_) + ); + sky130_fd_sc_hd__and4_2 _24205_ ( + .A(\soc.core.mprj_wb_iena_storage ), + .B(_11017_), + .C(_12391_), + .D(_12392_), + .X(_06713_) + ); + sky130_fd_sc_hd__and3_2 _24206_ ( + .A(_11012_), + .B(_12386_), + .C(_12391_), + .X(_12393_) + ); + sky130_fd_sc_hd__a211o_2 _24207_ ( + .A1(_04957_), + .A2(_04984_), + .B1(_11004_), + .C1(_11011_), + .X(_12394_) + ); + sky130_fd_sc_hd__and3_2 _24208_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[0] ), + .B(_12393_), + .C(_12394_), + .X(_06714_) + ); + sky130_fd_sc_hd__and3_2 _24209_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[1] ), + .B(_12393_), + .C(_12394_), + .X(_06715_) + ); + sky130_fd_sc_hd__and3_2 _24210_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[2] ), + .B(_12393_), + .C(_12394_), + .X(_06716_) + ); + sky130_fd_sc_hd__and3_2 _24211_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[3] ), + .B(_12393_), + .C(_12394_), + .X(_06717_) + ); + sky130_fd_sc_hd__and3_2 _24212_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[4] ), + .B(_12393_), + .C(_12394_), + .X(_06718_) + ); + sky130_fd_sc_hd__and3_2 _24213_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[5] ), + .B(_12393_), + .C(_12394_), + .X(_06719_) + ); + sky130_fd_sc_hd__and3_2 _24214_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[6] ), + .B(_12393_), + .C(_12394_), + .X(_06720_) + ); + sky130_fd_sc_hd__and3_2 _24215_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[7] ), + .B(_12393_), + .C(_12394_), + .X(_06721_) + ); + sky130_fd_sc_hd__and4_2 _24216_ ( + .A(_04957_), + .B(_11012_), + .C(_11016_), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_12395_) + ); + sky130_fd_sc_hd__and3_2 _24217_ ( + .A(\soc.core.debug_oeb_storage ), + .B(_12386_), + .C(_12395_), + .X(_06722_) + ); + sky130_fd_sc_hd__and3_2 _24218_ ( + .A(_11012_), + .B(\mgmt_buffers.mprj_adr_o_core[11] ), + .C(_04984_), + .X(_12396_) + ); + sky130_fd_sc_hd__and4_2 _24219_ ( + .A(\soc.core.debug_mode_storage ), + .B(_11016_), + .C(_12392_), + .D(_12396_), + .X(_06723_) + ); + sky130_fd_sc_hd__nor2_2 _24220_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .B(\soc.core.VexRiscv._zz_2 ), + .Y(_12397_) + ); + sky130_fd_sc_hd__and4b_2 _24221_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12349_), + .D(_12397_), + .X(_12398_) + ); + sky130_fd_sc_hd__mux2_1 _24222_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][0] ), + .A1(_12352_), + .S(_12398_), + .X(_06724_) + ); + sky130_fd_sc_hd__mux2_1 _24223_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][1] ), + .A1(_12353_), + .S(_12398_), + .X(_06725_) + ); + sky130_fd_sc_hd__mux2_1 _24224_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][2] ), + .A1(_12354_), + .S(_12398_), + .X(_06726_) + ); + sky130_fd_sc_hd__mux2_1 _24225_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][3] ), + .A1(_12355_), + .S(_12398_), + .X(_06727_) + ); + sky130_fd_sc_hd__mux2_1 _24226_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][4] ), + .A1(_12356_), + .S(_12398_), + .X(_06728_) + ); + sky130_fd_sc_hd__mux2_1 _24227_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][5] ), + .A1(_12357_), + .S(_12398_), + .X(_06729_) + ); + sky130_fd_sc_hd__mux2_1 _24228_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][6] ), + .A1(_12358_), + .S(_12398_), + .X(_06730_) + ); + sky130_fd_sc_hd__mux2_1 _24229_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][7] ), + .A1(_12359_), + .S(_12398_), + .X(_06731_) + ); + sky130_fd_sc_hd__mux2_1 _24230_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][8] ), + .A1(_12360_), + .S(_12398_), + .X(_06732_) + ); + sky130_fd_sc_hd__mux2_1 _24231_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][9] ), + .A1(_12361_), + .S(_12398_), + .X(_06733_) + ); + sky130_fd_sc_hd__mux2_1 _24232_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][10] ), + .A1(_12362_), + .S(_12398_), + .X(_06734_) + ); + sky130_fd_sc_hd__mux2_1 _24233_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][11] ), + .A1(_12363_), + .S(_12398_), + .X(_06735_) + ); + sky130_fd_sc_hd__mux2_1 _24234_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][12] ), + .A1(_12364_), + .S(_12398_), + .X(_06736_) + ); + sky130_fd_sc_hd__mux2_1 _24235_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][13] ), + .A1(_12365_), + .S(_12398_), + .X(_06737_) + ); + sky130_fd_sc_hd__mux2_1 _24236_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][14] ), + .A1(_12366_), + .S(_12398_), + .X(_06738_) + ); + sky130_fd_sc_hd__mux2_1 _24237_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][15] ), + .A1(_12367_), + .S(_12398_), + .X(_06739_) + ); + sky130_fd_sc_hd__mux2_1 _24238_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][16] ), + .A1(_12368_), + .S(_12398_), + .X(_06740_) + ); + sky130_fd_sc_hd__mux2_1 _24239_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][17] ), + .A1(_12369_), + .S(_12398_), + .X(_06741_) + ); + sky130_fd_sc_hd__mux2_1 _24240_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][18] ), + .A1(_12370_), + .S(_12398_), + .X(_06742_) + ); + sky130_fd_sc_hd__mux2_1 _24241_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][19] ), + .A1(_12371_), + .S(_12398_), + .X(_06743_) + ); + sky130_fd_sc_hd__mux2_1 _24242_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][20] ), + .A1(_12372_), + .S(_12398_), + .X(_06744_) + ); + sky130_fd_sc_hd__mux2_1 _24243_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][21] ), + .A1(_12373_), + .S(_12398_), + .X(_06745_) + ); + sky130_fd_sc_hd__mux2_1 _24244_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][22] ), + .A1(_12374_), + .S(_12398_), + .X(_06746_) + ); + sky130_fd_sc_hd__mux2_1 _24245_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][23] ), + .A1(_12375_), + .S(_12398_), + .X(_06747_) + ); + sky130_fd_sc_hd__mux2_1 _24246_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][24] ), + .A1(_12376_), + .S(_12398_), + .X(_06748_) + ); + sky130_fd_sc_hd__mux2_1 _24247_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][25] ), + .A1(_12377_), + .S(_12398_), + .X(_06749_) + ); + sky130_fd_sc_hd__mux2_1 _24248_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][26] ), + .A1(_12378_), + .S(_12398_), + .X(_06750_) + ); + sky130_fd_sc_hd__mux2_1 _24249_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][27] ), + .A1(_12379_), + .S(_12398_), + .X(_06751_) + ); + sky130_fd_sc_hd__mux2_1 _24250_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][28] ), + .A1(_12380_), + .S(_12398_), + .X(_06752_) + ); + sky130_fd_sc_hd__mux2_1 _24251_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][29] ), + .A1(_12381_), + .S(_12398_), + .X(_06753_) + ); + sky130_fd_sc_hd__mux2_1 _24252_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][30] ), + .A1(_12382_), + .S(_12398_), + .X(_06754_) + ); + sky130_fd_sc_hd__mux2_1 _24253_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[10][31] ), + .A1(_12383_), + .S(_12398_), + .X(_06755_) + ); + sky130_fd_sc_hd__and4bb_2 _24254_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .B_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .C(\soc.core.VexRiscv._zz_lastStageRegFileWrite_valid ), + .D(\soc.core.VexRiscv.lastStageIsFiring ), + .X(_12399_) + ); + sky130_fd_sc_hd__and4_2 _24255_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12397_), + .D(_12399_), + .X(_12400_) + ); + sky130_fd_sc_hd__mux2_1 _24256_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][0] ), + .A1(_12352_), + .S(_12400_), + .X(_06756_) + ); + sky130_fd_sc_hd__mux2_1 _24257_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][1] ), + .A1(_12353_), + .S(_12400_), + .X(_06757_) + ); + sky130_fd_sc_hd__mux2_1 _24258_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][2] ), + .A1(_12354_), + .S(_12400_), + .X(_06758_) + ); + sky130_fd_sc_hd__mux2_1 _24259_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][3] ), + .A1(_12355_), + .S(_12400_), + .X(_06759_) + ); + sky130_fd_sc_hd__mux2_1 _24260_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][4] ), + .A1(_12356_), + .S(_12400_), + .X(_06760_) + ); + sky130_fd_sc_hd__mux2_1 _24261_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][5] ), + .A1(_12357_), + .S(_12400_), + .X(_06761_) + ); + sky130_fd_sc_hd__mux2_1 _24262_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][6] ), + .A1(_12358_), + .S(_12400_), + .X(_06762_) + ); + sky130_fd_sc_hd__mux2_1 _24263_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][7] ), + .A1(_12359_), + .S(_12400_), + .X(_06763_) + ); + sky130_fd_sc_hd__mux2_1 _24264_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][8] ), + .A1(_12360_), + .S(_12400_), + .X(_06764_) + ); + sky130_fd_sc_hd__mux2_1 _24265_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][9] ), + .A1(_12361_), + .S(_12400_), + .X(_06765_) + ); + sky130_fd_sc_hd__mux2_1 _24266_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][10] ), + .A1(_12362_), + .S(_12400_), + .X(_06766_) + ); + sky130_fd_sc_hd__mux2_1 _24267_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][11] ), + .A1(_12363_), + .S(_12400_), + .X(_06767_) + ); + sky130_fd_sc_hd__mux2_1 _24268_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][12] ), + .A1(_12364_), + .S(_12400_), + .X(_06768_) + ); + sky130_fd_sc_hd__mux2_1 _24269_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][13] ), + .A1(_12365_), + .S(_12400_), + .X(_06769_) + ); + sky130_fd_sc_hd__mux2_1 _24270_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][14] ), + .A1(_12366_), + .S(_12400_), + .X(_06770_) + ); + sky130_fd_sc_hd__mux2_1 _24271_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][15] ), + .A1(_12367_), + .S(_12400_), + .X(_06771_) + ); + sky130_fd_sc_hd__mux2_1 _24272_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][16] ), + .A1(_12368_), + .S(_12400_), + .X(_06772_) + ); + sky130_fd_sc_hd__mux2_1 _24273_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][17] ), + .A1(_12369_), + .S(_12400_), + .X(_06773_) + ); + sky130_fd_sc_hd__mux2_1 _24274_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][18] ), + .A1(_12370_), + .S(_12400_), + .X(_06774_) + ); + sky130_fd_sc_hd__mux2_1 _24275_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][19] ), + .A1(_12371_), + .S(_12400_), + .X(_06775_) + ); + sky130_fd_sc_hd__mux2_1 _24276_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][20] ), + .A1(_12372_), + .S(_12400_), + .X(_06776_) + ); + sky130_fd_sc_hd__mux2_1 _24277_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][21] ), + .A1(_12373_), + .S(_12400_), + .X(_06777_) + ); + sky130_fd_sc_hd__mux2_1 _24278_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][22] ), + .A1(_12374_), + .S(_12400_), + .X(_06778_) + ); + sky130_fd_sc_hd__mux2_1 _24279_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][23] ), + .A1(_12375_), + .S(_12400_), + .X(_06779_) + ); + sky130_fd_sc_hd__mux2_1 _24280_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][24] ), + .A1(_12376_), + .S(_12400_), + .X(_06780_) + ); + sky130_fd_sc_hd__mux2_1 _24281_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][25] ), + .A1(_12377_), + .S(_12400_), + .X(_06781_) + ); + sky130_fd_sc_hd__mux2_1 _24282_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][26] ), + .A1(_12378_), + .S(_12400_), + .X(_06782_) + ); + sky130_fd_sc_hd__mux2_1 _24283_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][27] ), + .A1(_12379_), + .S(_12400_), + .X(_06783_) + ); + sky130_fd_sc_hd__mux2_1 _24284_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][28] ), + .A1(_12380_), + .S(_12400_), + .X(_06784_) + ); + sky130_fd_sc_hd__mux2_1 _24285_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][29] ), + .A1(_12381_), + .S(_12400_), + .X(_06785_) + ); + sky130_fd_sc_hd__mux2_1 _24286_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][30] ), + .A1(_12382_), + .S(_12400_), + .X(_06786_) + ); + sky130_fd_sc_hd__mux2_1 _24287_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[12][31] ), + .A1(_12383_), + .S(_12400_), + .X(_06787_) + ); + sky130_fd_sc_hd__and4_2 _24288_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12349_), + .D(_12397_), + .X(_12401_) + ); + sky130_fd_sc_hd__mux2_1 _24289_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][0] ), + .A1(_12352_), + .S(_12401_), + .X(_06788_) + ); + sky130_fd_sc_hd__mux2_1 _24290_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][1] ), + .A1(_12353_), + .S(_12401_), + .X(_06789_) + ); + sky130_fd_sc_hd__mux2_1 _24291_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][2] ), + .A1(_12354_), + .S(_12401_), + .X(_06790_) + ); + sky130_fd_sc_hd__mux2_1 _24292_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][3] ), + .A1(_12355_), + .S(_12401_), + .X(_06791_) + ); + sky130_fd_sc_hd__mux2_1 _24293_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][4] ), + .A1(_12356_), + .S(_12401_), + .X(_06792_) + ); + sky130_fd_sc_hd__mux2_1 _24294_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][5] ), + .A1(_12357_), + .S(_12401_), + .X(_06793_) + ); + sky130_fd_sc_hd__mux2_1 _24295_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][6] ), + .A1(_12358_), + .S(_12401_), + .X(_06794_) + ); + sky130_fd_sc_hd__mux2_1 _24296_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][7] ), + .A1(_12359_), + .S(_12401_), + .X(_06795_) + ); + sky130_fd_sc_hd__mux2_1 _24297_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][8] ), + .A1(_12360_), + .S(_12401_), + .X(_06796_) + ); + sky130_fd_sc_hd__mux2_1 _24298_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][9] ), + .A1(_12361_), + .S(_12401_), + .X(_06797_) + ); + sky130_fd_sc_hd__mux2_1 _24299_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][10] ), + .A1(_12362_), + .S(_12401_), + .X(_06798_) + ); + sky130_fd_sc_hd__mux2_1 _24300_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][11] ), + .A1(_12363_), + .S(_12401_), + .X(_06799_) + ); + sky130_fd_sc_hd__mux2_1 _24301_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][12] ), + .A1(_12364_), + .S(_12401_), + .X(_06800_) + ); + sky130_fd_sc_hd__mux2_1 _24302_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][13] ), + .A1(_12365_), + .S(_12401_), + .X(_06801_) + ); + sky130_fd_sc_hd__mux2_1 _24303_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][14] ), + .A1(_12366_), + .S(_12401_), + .X(_06802_) + ); + sky130_fd_sc_hd__mux2_1 _24304_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][15] ), + .A1(_12367_), + .S(_12401_), + .X(_06803_) + ); + sky130_fd_sc_hd__mux2_1 _24305_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][16] ), + .A1(_12368_), + .S(_12401_), + .X(_06804_) + ); + sky130_fd_sc_hd__mux2_1 _24306_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][17] ), + .A1(_12369_), + .S(_12401_), + .X(_06805_) + ); + sky130_fd_sc_hd__mux2_1 _24307_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][18] ), + .A1(_12370_), + .S(_12401_), + .X(_06806_) + ); + sky130_fd_sc_hd__mux2_1 _24308_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][19] ), + .A1(_12371_), + .S(_12401_), + .X(_06807_) + ); + sky130_fd_sc_hd__mux2_1 _24309_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][20] ), + .A1(_12372_), + .S(_12401_), + .X(_06808_) + ); + sky130_fd_sc_hd__mux2_1 _24310_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][21] ), + .A1(_12373_), + .S(_12401_), + .X(_06809_) + ); + sky130_fd_sc_hd__mux2_1 _24311_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][22] ), + .A1(_12374_), + .S(_12401_), + .X(_06810_) + ); + sky130_fd_sc_hd__mux2_1 _24312_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][23] ), + .A1(_12375_), + .S(_12401_), + .X(_06811_) + ); + sky130_fd_sc_hd__mux2_1 _24313_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][24] ), + .A1(_12376_), + .S(_12401_), + .X(_06812_) + ); + sky130_fd_sc_hd__mux2_1 _24314_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][25] ), + .A1(_12377_), + .S(_12401_), + .X(_06813_) + ); + sky130_fd_sc_hd__mux2_1 _24315_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][26] ), + .A1(_12378_), + .S(_12401_), + .X(_06814_) + ); + sky130_fd_sc_hd__mux2_1 _24316_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][27] ), + .A1(_12379_), + .S(_12401_), + .X(_06815_) + ); + sky130_fd_sc_hd__mux2_1 _24317_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][28] ), + .A1(_12380_), + .S(_12401_), + .X(_06816_) + ); + sky130_fd_sc_hd__mux2_1 _24318_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][29] ), + .A1(_12381_), + .S(_12401_), + .X(_06817_) + ); + sky130_fd_sc_hd__mux2_1 _24319_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][30] ), + .A1(_12382_), + .S(_12401_), + .X(_06818_) + ); + sky130_fd_sc_hd__mux2_1 _24320_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[14][31] ), + .A1(_12383_), + .S(_12401_), + .X(_06819_) + ); + sky130_fd_sc_hd__and4b_2 _24321_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .C(\soc.core.VexRiscv.lastStageIsFiring ), + .D(\soc.core.VexRiscv._zz_lastStageRegFileWrite_valid ), + .X(_12402_) + ); + sky130_fd_sc_hd__nand4_2 _24322_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12397_), + .D(_12402_), + .Y(_12403_) + ); + sky130_fd_sc_hd__nand2_2 _24323_ ( + .A(_12403_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[13][0] ), + .Y(_12404_) + ); + sky130_fd_sc_hd__o21ai_2 _24324_ ( + .A1(_03967_), + .A2(_12403_), + .B1(_12404_), + .Y(_06820_) + ); + sky130_fd_sc_hd__nand2_2 _24325_ ( + .A(_12403_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[13][1] ), + .Y(_12405_) + ); + sky130_fd_sc_hd__o21ai_2 _24326_ ( + .A1(_03973_), + .A2(_12403_), + .B1(_12405_), + .Y(_06821_) + ); + sky130_fd_sc_hd__mux2_1 _24327_ ( + .A0(_04521_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][2] ), + .S(_12403_), + .X(_06822_) + ); + sky130_fd_sc_hd__mux2_1 _24328_ ( + .A0(_04522_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][3] ), + .S(_12403_), + .X(_06823_) + ); + sky130_fd_sc_hd__mux2_1 _24329_ ( + .A0(_04523_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][4] ), + .S(_12403_), + .X(_06824_) + ); + sky130_fd_sc_hd__mux2_1 _24330_ ( + .A0(_04524_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][5] ), + .S(_12403_), + .X(_06825_) + ); + sky130_fd_sc_hd__mux2_1 _24331_ ( + .A0(_04525_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][6] ), + .S(_12403_), + .X(_06826_) + ); + sky130_fd_sc_hd__mux2_1 _24332_ ( + .A0(_04526_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][7] ), + .S(_12403_), + .X(_06827_) + ); + sky130_fd_sc_hd__mux2_1 _24333_ ( + .A0(_04527_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][8] ), + .S(_12403_), + .X(_06828_) + ); + sky130_fd_sc_hd__mux2_1 _24334_ ( + .A0(_04528_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][9] ), + .S(_12403_), + .X(_06829_) + ); + sky130_fd_sc_hd__mux2_1 _24335_ ( + .A0(_04529_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][10] ), + .S(_12403_), + .X(_06830_) + ); + sky130_fd_sc_hd__mux2_1 _24336_ ( + .A0(_04530_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][11] ), + .S(_12403_), + .X(_06831_) + ); + sky130_fd_sc_hd__mux2_1 _24337_ ( + .A0(_04531_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][12] ), + .S(_12403_), + .X(_06832_) + ); + sky130_fd_sc_hd__mux2_1 _24338_ ( + .A0(_04532_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][13] ), + .S(_12403_), + .X(_06833_) + ); + sky130_fd_sc_hd__mux2_1 _24339_ ( + .A0(_04533_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][14] ), + .S(_12403_), + .X(_06834_) + ); + sky130_fd_sc_hd__mux2_1 _24340_ ( + .A0(_04534_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][15] ), + .S(_12403_), + .X(_06835_) + ); + sky130_fd_sc_hd__mux2_1 _24341_ ( + .A0(_04535_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][16] ), + .S(_12403_), + .X(_06836_) + ); + sky130_fd_sc_hd__mux2_1 _24342_ ( + .A0(_04536_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][17] ), + .S(_12403_), + .X(_06837_) + ); + sky130_fd_sc_hd__mux2_1 _24343_ ( + .A0(_04537_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][18] ), + .S(_12403_), + .X(_06838_) + ); + sky130_fd_sc_hd__mux2_1 _24344_ ( + .A0(_04538_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][19] ), + .S(_12403_), + .X(_06839_) + ); + sky130_fd_sc_hd__mux2_1 _24345_ ( + .A0(_04539_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][20] ), + .S(_12403_), + .X(_06840_) + ); + sky130_fd_sc_hd__mux2_1 _24346_ ( + .A0(_04540_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][21] ), + .S(_12403_), + .X(_06841_) + ); + sky130_fd_sc_hd__mux2_1 _24347_ ( + .A0(_04541_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][22] ), + .S(_12403_), + .X(_06842_) + ); + sky130_fd_sc_hd__mux2_1 _24348_ ( + .A0(_04542_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][23] ), + .S(_12403_), + .X(_06843_) + ); + sky130_fd_sc_hd__mux2_1 _24349_ ( + .A0(_04216_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][24] ), + .S(_12403_), + .X(_06844_) + ); + sky130_fd_sc_hd__mux2_1 _24350_ ( + .A0(_04218_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][25] ), + .S(_12403_), + .X(_06845_) + ); + sky130_fd_sc_hd__mux2_1 _24351_ ( + .A0(_04220_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][26] ), + .S(_12403_), + .X(_06846_) + ); + sky130_fd_sc_hd__mux2_1 _24352_ ( + .A0(_04222_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][27] ), + .S(_12403_), + .X(_06847_) + ); + sky130_fd_sc_hd__mux2_1 _24353_ ( + .A0(_04224_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][28] ), + .S(_12403_), + .X(_06848_) + ); + sky130_fd_sc_hd__mux2_1 _24354_ ( + .A0(_04226_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][29] ), + .S(_12403_), + .X(_06849_) + ); + sky130_fd_sc_hd__mux2_1 _24355_ ( + .A0(_04228_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][30] ), + .S(_12403_), + .X(_06850_) + ); + sky130_fd_sc_hd__mux2_1 _24356_ ( + .A0(_04230_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[13][31] ), + .S(_12403_), + .X(_06851_) + ); + sky130_fd_sc_hd__and4b_2 _24357_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_valid ), + .C(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .X(_12406_) + ); + sky130_fd_sc_hd__and4_2 _24358_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12397_), + .D(_12406_), + .X(_12407_) + ); + sky130_fd_sc_hd__mux2_1 _24359_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][0] ), + .A1(_12352_), + .S(_12407_), + .X(_06852_) + ); + sky130_fd_sc_hd__mux2_1 _24360_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][1] ), + .A1(_12353_), + .S(_12407_), + .X(_06853_) + ); + sky130_fd_sc_hd__mux2_1 _24361_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][2] ), + .A1(_12354_), + .S(_12407_), + .X(_06854_) + ); + sky130_fd_sc_hd__mux2_1 _24362_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][3] ), + .A1(_12355_), + .S(_12407_), + .X(_06855_) + ); + sky130_fd_sc_hd__mux2_1 _24363_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][4] ), + .A1(_12356_), + .S(_12407_), + .X(_06856_) + ); + sky130_fd_sc_hd__mux2_1 _24364_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][5] ), + .A1(_12357_), + .S(_12407_), + .X(_06857_) + ); + sky130_fd_sc_hd__mux2_1 _24365_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][6] ), + .A1(_12358_), + .S(_12407_), + .X(_06858_) + ); + sky130_fd_sc_hd__mux2_1 _24366_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][7] ), + .A1(_12359_), + .S(_12407_), + .X(_06859_) + ); + sky130_fd_sc_hd__mux2_1 _24367_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][8] ), + .A1(_12360_), + .S(_12407_), + .X(_06860_) + ); + sky130_fd_sc_hd__mux2_1 _24368_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][9] ), + .A1(_12361_), + .S(_12407_), + .X(_06861_) + ); + sky130_fd_sc_hd__mux2_1 _24369_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][10] ), + .A1(_12362_), + .S(_12407_), + .X(_06862_) + ); + sky130_fd_sc_hd__mux2_1 _24370_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][11] ), + .A1(_12363_), + .S(_12407_), + .X(_06863_) + ); + sky130_fd_sc_hd__mux2_1 _24371_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][12] ), + .A1(_12364_), + .S(_12407_), + .X(_06864_) + ); + sky130_fd_sc_hd__mux2_1 _24372_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][13] ), + .A1(_12365_), + .S(_12407_), + .X(_06865_) + ); + sky130_fd_sc_hd__mux2_1 _24373_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][14] ), + .A1(_12366_), + .S(_12407_), + .X(_06866_) + ); + sky130_fd_sc_hd__mux2_1 _24374_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][15] ), + .A1(_12367_), + .S(_12407_), + .X(_06867_) + ); + sky130_fd_sc_hd__mux2_1 _24375_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][16] ), + .A1(_12368_), + .S(_12407_), + .X(_06868_) + ); + sky130_fd_sc_hd__mux2_1 _24376_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][17] ), + .A1(_12369_), + .S(_12407_), + .X(_06869_) + ); + sky130_fd_sc_hd__mux2_1 _24377_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][18] ), + .A1(_12370_), + .S(_12407_), + .X(_06870_) + ); + sky130_fd_sc_hd__mux2_1 _24378_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][19] ), + .A1(_12371_), + .S(_12407_), + .X(_06871_) + ); + sky130_fd_sc_hd__mux2_1 _24379_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][20] ), + .A1(_12372_), + .S(_12407_), + .X(_06872_) + ); + sky130_fd_sc_hd__mux2_1 _24380_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][21] ), + .A1(_12373_), + .S(_12407_), + .X(_06873_) + ); + sky130_fd_sc_hd__mux2_1 _24381_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][22] ), + .A1(_12374_), + .S(_12407_), + .X(_06874_) + ); + sky130_fd_sc_hd__mux2_1 _24382_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][23] ), + .A1(_12375_), + .S(_12407_), + .X(_06875_) + ); + sky130_fd_sc_hd__mux2_1 _24383_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][24] ), + .A1(_12376_), + .S(_12407_), + .X(_06876_) + ); + sky130_fd_sc_hd__mux2_1 _24384_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][25] ), + .A1(_12377_), + .S(_12407_), + .X(_06877_) + ); + sky130_fd_sc_hd__mux2_1 _24385_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][26] ), + .A1(_12378_), + .S(_12407_), + .X(_06878_) + ); + sky130_fd_sc_hd__mux2_1 _24386_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][27] ), + .A1(_12379_), + .S(_12407_), + .X(_06879_) + ); + sky130_fd_sc_hd__mux2_1 _24387_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][28] ), + .A1(_12380_), + .S(_12407_), + .X(_06880_) + ); + sky130_fd_sc_hd__mux2_1 _24388_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][29] ), + .A1(_12381_), + .S(_12407_), + .X(_06881_) + ); + sky130_fd_sc_hd__mux2_1 _24389_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][30] ), + .A1(_12382_), + .S(_12407_), + .X(_06882_) + ); + sky130_fd_sc_hd__mux2_1 _24390_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[15][31] ), + .A1(_12383_), + .S(_12407_), + .X(_06883_) + ); + sky130_fd_sc_hd__and2b_2 _24391_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .X(_12408_) + ); + sky130_fd_sc_hd__and4bb_2 _24392_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12402_), + .D(_12408_), + .X(_12409_) + ); + sky130_fd_sc_hd__nand2_2 _24393_ ( + .A(_03967_), + .B(_12409_), + .Y(_12410_) + ); + sky130_fd_sc_hd__o21a_2 _24394_ ( + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[17][0] ), + .A2(_12409_), + .B1(_12410_), + .X(_06884_) + ); + sky130_fd_sc_hd__nand2_2 _24395_ ( + .A(_03973_), + .B(_12409_), + .Y(_12411_) + ); + sky130_fd_sc_hd__o21a_2 _24396_ ( + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[17][1] ), + .A2(_12409_), + .B1(_12411_), + .X(_06885_) + ); + sky130_fd_sc_hd__mux2_1 _24397_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][2] ), + .A1(_04521_), + .S(_12409_), + .X(_06886_) + ); + sky130_fd_sc_hd__mux2_1 _24398_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][3] ), + .A1(_04522_), + .S(_12409_), + .X(_06887_) + ); + sky130_fd_sc_hd__mux2_1 _24399_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][4] ), + .A1(_04523_), + .S(_12409_), + .X(_06888_) + ); + sky130_fd_sc_hd__mux2_1 _24400_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][5] ), + .A1(_04524_), + .S(_12409_), + .X(_06889_) + ); + sky130_fd_sc_hd__mux2_1 _24401_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][6] ), + .A1(_04525_), + .S(_12409_), + .X(_06890_) + ); + sky130_fd_sc_hd__mux2_1 _24402_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][7] ), + .A1(_04526_), + .S(_12409_), + .X(_06891_) + ); + sky130_fd_sc_hd__mux2_1 _24403_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][8] ), + .A1(_04527_), + .S(_12409_), + .X(_06892_) + ); + sky130_fd_sc_hd__mux2_1 _24404_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][9] ), + .A1(_04528_), + .S(_12409_), + .X(_06893_) + ); + sky130_fd_sc_hd__mux2_1 _24405_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][10] ), + .A1(_04529_), + .S(_12409_), + .X(_06894_) + ); + sky130_fd_sc_hd__mux2_1 _24406_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][11] ), + .A1(_04530_), + .S(_12409_), + .X(_06895_) + ); + sky130_fd_sc_hd__mux2_1 _24407_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][12] ), + .A1(_04531_), + .S(_12409_), + .X(_06896_) + ); + sky130_fd_sc_hd__mux2_1 _24408_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][13] ), + .A1(_04532_), + .S(_12409_), + .X(_06897_) + ); + sky130_fd_sc_hd__mux2_1 _24409_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][14] ), + .A1(_04533_), + .S(_12409_), + .X(_06898_) + ); + sky130_fd_sc_hd__mux2_1 _24410_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][15] ), + .A1(_04534_), + .S(_12409_), + .X(_06899_) + ); + sky130_fd_sc_hd__mux2_1 _24411_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][16] ), + .A1(_04535_), + .S(_12409_), + .X(_06900_) + ); + sky130_fd_sc_hd__mux2_1 _24412_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][17] ), + .A1(_04536_), + .S(_12409_), + .X(_06901_) + ); + sky130_fd_sc_hd__mux2_1 _24413_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][18] ), + .A1(_04537_), + .S(_12409_), + .X(_06902_) + ); + sky130_fd_sc_hd__mux2_1 _24414_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][19] ), + .A1(_04538_), + .S(_12409_), + .X(_06903_) + ); + sky130_fd_sc_hd__mux2_1 _24415_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][20] ), + .A1(_04539_), + .S(_12409_), + .X(_06904_) + ); + sky130_fd_sc_hd__mux2_1 _24416_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][21] ), + .A1(_04540_), + .S(_12409_), + .X(_06905_) + ); + sky130_fd_sc_hd__mux2_1 _24417_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][22] ), + .A1(_04541_), + .S(_12409_), + .X(_06906_) + ); + sky130_fd_sc_hd__mux2_1 _24418_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][23] ), + .A1(_04542_), + .S(_12409_), + .X(_06907_) + ); + sky130_fd_sc_hd__mux2_1 _24419_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][24] ), + .A1(_04216_), + .S(_12409_), + .X(_06908_) + ); + sky130_fd_sc_hd__mux2_1 _24420_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][25] ), + .A1(_04218_), + .S(_12409_), + .X(_06909_) + ); + sky130_fd_sc_hd__mux2_1 _24421_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][26] ), + .A1(_04220_), + .S(_12409_), + .X(_06910_) + ); + sky130_fd_sc_hd__mux2_1 _24422_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][27] ), + .A1(_04222_), + .S(_12409_), + .X(_06911_) + ); + sky130_fd_sc_hd__mux2_1 _24423_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][28] ), + .A1(_04224_), + .S(_12409_), + .X(_06912_) + ); + sky130_fd_sc_hd__mux2_1 _24424_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][29] ), + .A1(_04226_), + .S(_12409_), + .X(_06913_) + ); + sky130_fd_sc_hd__mux2_1 _24425_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][30] ), + .A1(_04228_), + .S(_12409_), + .X(_06914_) + ); + sky130_fd_sc_hd__mux2_1 _24426_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[17][31] ), + .A1(_04230_), + .S(_12409_), + .X(_06915_) + ); + sky130_fd_sc_hd__and4bb_2 _24427_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12399_), + .D(_12408_), + .X(_12412_) + ); + sky130_fd_sc_hd__mux2_1 _24428_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][0] ), + .A1(_12352_), + .S(_12412_), + .X(_06916_) + ); + sky130_fd_sc_hd__mux2_1 _24429_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][1] ), + .A1(_12353_), + .S(_12412_), + .X(_06917_) + ); + sky130_fd_sc_hd__mux2_1 _24430_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][2] ), + .A1(_12354_), + .S(_12412_), + .X(_06918_) + ); + sky130_fd_sc_hd__mux2_1 _24431_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][3] ), + .A1(_12355_), + .S(_12412_), + .X(_06919_) + ); + sky130_fd_sc_hd__mux2_1 _24432_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][4] ), + .A1(_12356_), + .S(_12412_), + .X(_06920_) + ); + sky130_fd_sc_hd__mux2_1 _24433_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][5] ), + .A1(_12357_), + .S(_12412_), + .X(_06921_) + ); + sky130_fd_sc_hd__mux2_1 _24434_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][6] ), + .A1(_12358_), + .S(_12412_), + .X(_06922_) + ); + sky130_fd_sc_hd__mux2_1 _24435_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][7] ), + .A1(_12359_), + .S(_12412_), + .X(_06923_) + ); + sky130_fd_sc_hd__mux2_1 _24436_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][8] ), + .A1(_12360_), + .S(_12412_), + .X(_06924_) + ); + sky130_fd_sc_hd__mux2_1 _24437_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][9] ), + .A1(_12361_), + .S(_12412_), + .X(_06925_) + ); + sky130_fd_sc_hd__mux2_1 _24438_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][10] ), + .A1(_12362_), + .S(_12412_), + .X(_06926_) + ); + sky130_fd_sc_hd__mux2_1 _24439_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][11] ), + .A1(_12363_), + .S(_12412_), + .X(_06927_) + ); + sky130_fd_sc_hd__mux2_1 _24440_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][12] ), + .A1(_12364_), + .S(_12412_), + .X(_06928_) + ); + sky130_fd_sc_hd__mux2_1 _24441_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][13] ), + .A1(_12365_), + .S(_12412_), + .X(_06929_) + ); + sky130_fd_sc_hd__mux2_1 _24442_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][14] ), + .A1(_12366_), + .S(_12412_), + .X(_06930_) + ); + sky130_fd_sc_hd__mux2_1 _24443_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][15] ), + .A1(_12367_), + .S(_12412_), + .X(_06931_) + ); + sky130_fd_sc_hd__mux2_1 _24444_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][16] ), + .A1(_12368_), + .S(_12412_), + .X(_06932_) + ); + sky130_fd_sc_hd__mux2_1 _24445_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][17] ), + .A1(_12369_), + .S(_12412_), + .X(_06933_) + ); + sky130_fd_sc_hd__mux2_1 _24446_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][18] ), + .A1(_12370_), + .S(_12412_), + .X(_06934_) + ); + sky130_fd_sc_hd__mux2_1 _24447_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][19] ), + .A1(_12371_), + .S(_12412_), + .X(_06935_) + ); + sky130_fd_sc_hd__mux2_1 _24448_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][20] ), + .A1(_12372_), + .S(_12412_), + .X(_06936_) + ); + sky130_fd_sc_hd__mux2_1 _24449_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][21] ), + .A1(_12373_), + .S(_12412_), + .X(_06937_) + ); + sky130_fd_sc_hd__mux2_1 _24450_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][22] ), + .A1(_12374_), + .S(_12412_), + .X(_06938_) + ); + sky130_fd_sc_hd__mux2_1 _24451_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][23] ), + .A1(_12375_), + .S(_12412_), + .X(_06939_) + ); + sky130_fd_sc_hd__mux2_1 _24452_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][24] ), + .A1(_12376_), + .S(_12412_), + .X(_06940_) + ); + sky130_fd_sc_hd__mux2_1 _24453_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][25] ), + .A1(_12377_), + .S(_12412_), + .X(_06941_) + ); + sky130_fd_sc_hd__mux2_1 _24454_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][26] ), + .A1(_12378_), + .S(_12412_), + .X(_06942_) + ); + sky130_fd_sc_hd__mux2_1 _24455_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][27] ), + .A1(_12379_), + .S(_12412_), + .X(_06943_) + ); + sky130_fd_sc_hd__mux2_1 _24456_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][28] ), + .A1(_12380_), + .S(_12412_), + .X(_06944_) + ); + sky130_fd_sc_hd__mux2_1 _24457_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][29] ), + .A1(_12381_), + .S(_12412_), + .X(_06945_) + ); + sky130_fd_sc_hd__mux2_1 _24458_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][30] ), + .A1(_12382_), + .S(_12412_), + .X(_06946_) + ); + sky130_fd_sc_hd__mux2_1 _24459_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[16][31] ), + .A1(_12383_), + .S(_12412_), + .X(_06947_) + ); + sky130_fd_sc_hd__and4b_2 _24460_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12397_), + .D(_12406_), + .X(_12413_) + ); + sky130_fd_sc_hd__mux2_1 _24461_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][0] ), + .A1(_12352_), + .S(_12413_), + .X(_06948_) + ); + sky130_fd_sc_hd__mux2_1 _24462_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][1] ), + .A1(_12353_), + .S(_12413_), + .X(_06949_) + ); + sky130_fd_sc_hd__mux2_1 _24463_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][2] ), + .A1(_12354_), + .S(_12413_), + .X(_06950_) + ); + sky130_fd_sc_hd__mux2_1 _24464_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][3] ), + .A1(_12355_), + .S(_12413_), + .X(_06951_) + ); + sky130_fd_sc_hd__mux2_1 _24465_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][4] ), + .A1(_12356_), + .S(_12413_), + .X(_06952_) + ); + sky130_fd_sc_hd__mux2_1 _24466_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][5] ), + .A1(_12357_), + .S(_12413_), + .X(_06953_) + ); + sky130_fd_sc_hd__mux2_1 _24467_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][6] ), + .A1(_12358_), + .S(_12413_), + .X(_06954_) + ); + sky130_fd_sc_hd__mux2_1 _24468_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][7] ), + .A1(_12359_), + .S(_12413_), + .X(_06955_) + ); + sky130_fd_sc_hd__mux2_1 _24469_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][8] ), + .A1(_12360_), + .S(_12413_), + .X(_06956_) + ); + sky130_fd_sc_hd__mux2_1 _24470_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][9] ), + .A1(_12361_), + .S(_12413_), + .X(_06957_) + ); + sky130_fd_sc_hd__mux2_1 _24471_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][10] ), + .A1(_12362_), + .S(_12413_), + .X(_06958_) + ); + sky130_fd_sc_hd__mux2_1 _24472_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][11] ), + .A1(_12363_), + .S(_12413_), + .X(_06959_) + ); + sky130_fd_sc_hd__mux2_1 _24473_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][12] ), + .A1(_12364_), + .S(_12413_), + .X(_06960_) + ); + sky130_fd_sc_hd__mux2_1 _24474_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][13] ), + .A1(_12365_), + .S(_12413_), + .X(_06961_) + ); + sky130_fd_sc_hd__mux2_1 _24475_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][14] ), + .A1(_12366_), + .S(_12413_), + .X(_06962_) + ); + sky130_fd_sc_hd__mux2_1 _24476_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][15] ), + .A1(_12367_), + .S(_12413_), + .X(_06963_) + ); + sky130_fd_sc_hd__mux2_1 _24477_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][16] ), + .A1(_12368_), + .S(_12413_), + .X(_06964_) + ); + sky130_fd_sc_hd__mux2_1 _24478_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][17] ), + .A1(_12369_), + .S(_12413_), + .X(_06965_) + ); + sky130_fd_sc_hd__mux2_1 _24479_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][18] ), + .A1(_12370_), + .S(_12413_), + .X(_06966_) + ); + sky130_fd_sc_hd__mux2_1 _24480_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][19] ), + .A1(_12371_), + .S(_12413_), + .X(_06967_) + ); + sky130_fd_sc_hd__mux2_1 _24481_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][20] ), + .A1(_12372_), + .S(_12413_), + .X(_06968_) + ); + sky130_fd_sc_hd__mux2_1 _24482_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][21] ), + .A1(_12373_), + .S(_12413_), + .X(_06969_) + ); + sky130_fd_sc_hd__mux2_1 _24483_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][22] ), + .A1(_12374_), + .S(_12413_), + .X(_06970_) + ); + sky130_fd_sc_hd__mux2_1 _24484_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][23] ), + .A1(_12375_), + .S(_12413_), + .X(_06971_) + ); + sky130_fd_sc_hd__mux2_1 _24485_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][24] ), + .A1(_12376_), + .S(_12413_), + .X(_06972_) + ); + sky130_fd_sc_hd__mux2_1 _24486_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][25] ), + .A1(_12377_), + .S(_12413_), + .X(_06973_) + ); + sky130_fd_sc_hd__mux2_1 _24487_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][26] ), + .A1(_12378_), + .S(_12413_), + .X(_06974_) + ); + sky130_fd_sc_hd__mux2_1 _24488_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][27] ), + .A1(_12379_), + .S(_12413_), + .X(_06975_) + ); + sky130_fd_sc_hd__mux2_1 _24489_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][28] ), + .A1(_12380_), + .S(_12413_), + .X(_06976_) + ); + sky130_fd_sc_hd__mux2_1 _24490_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][29] ), + .A1(_12381_), + .S(_12413_), + .X(_06977_) + ); + sky130_fd_sc_hd__mux2_1 _24491_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][30] ), + .A1(_12382_), + .S(_12413_), + .X(_06978_) + ); + sky130_fd_sc_hd__mux2_1 _24492_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[11][31] ), + .A1(_12383_), + .S(_12413_), + .X(_06979_) + ); + sky130_fd_sc_hd__a21o_2 _24493_ ( + .A1(_12350_), + .A2(_12399_), + .B1(\soc.core.VexRiscv._zz_2 ), + .X(_12414_) + ); + sky130_fd_sc_hd__mux2_1 _24494_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][0] ), + .A1(_12352_), + .S(_12414_), + .X(_06980_) + ); + sky130_fd_sc_hd__mux2_1 _24495_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][1] ), + .A1(_12353_), + .S(_12414_), + .X(_06981_) + ); + sky130_fd_sc_hd__mux2_1 _24496_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][2] ), + .A1(_12354_), + .S(_12414_), + .X(_06982_) + ); + sky130_fd_sc_hd__mux2_1 _24497_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][3] ), + .A1(_12355_), + .S(_12414_), + .X(_06983_) + ); + sky130_fd_sc_hd__mux2_1 _24498_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][4] ), + .A1(_12356_), + .S(_12414_), + .X(_06984_) + ); + sky130_fd_sc_hd__mux2_1 _24499_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][5] ), + .A1(_12357_), + .S(_12414_), + .X(_06985_) + ); + sky130_fd_sc_hd__mux2_1 _24500_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][6] ), + .A1(_12358_), + .S(_12414_), + .X(_06986_) + ); + sky130_fd_sc_hd__mux2_1 _24501_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][7] ), + .A1(_12359_), + .S(_12414_), + .X(_06987_) + ); + sky130_fd_sc_hd__mux2_1 _24502_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][8] ), + .A1(_12360_), + .S(_12414_), + .X(_06988_) + ); + sky130_fd_sc_hd__mux2_1 _24503_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][9] ), + .A1(_12361_), + .S(_12414_), + .X(_06989_) + ); + sky130_fd_sc_hd__mux2_1 _24504_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][10] ), + .A1(_12362_), + .S(_12414_), + .X(_06990_) + ); + sky130_fd_sc_hd__mux2_1 _24505_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][11] ), + .A1(_12363_), + .S(_12414_), + .X(_06991_) + ); + sky130_fd_sc_hd__mux2_1 _24506_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][12] ), + .A1(_12364_), + .S(_12414_), + .X(_06992_) + ); + sky130_fd_sc_hd__mux2_1 _24507_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][13] ), + .A1(_12365_), + .S(_12414_), + .X(_06993_) + ); + sky130_fd_sc_hd__mux2_1 _24508_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][14] ), + .A1(_12366_), + .S(_12414_), + .X(_06994_) + ); + sky130_fd_sc_hd__mux2_1 _24509_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][15] ), + .A1(_12367_), + .S(_12414_), + .X(_06995_) + ); + sky130_fd_sc_hd__mux2_1 _24510_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][16] ), + .A1(_12368_), + .S(_12414_), + .X(_06996_) + ); + sky130_fd_sc_hd__mux2_1 _24511_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][17] ), + .A1(_12369_), + .S(_12414_), + .X(_06997_) + ); + sky130_fd_sc_hd__mux2_1 _24512_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][18] ), + .A1(_12370_), + .S(_12414_), + .X(_06998_) + ); + sky130_fd_sc_hd__mux2_1 _24513_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][19] ), + .A1(_12371_), + .S(_12414_), + .X(_06999_) + ); + sky130_fd_sc_hd__mux2_1 _24514_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][20] ), + .A1(_12372_), + .S(_12414_), + .X(_07000_) + ); + sky130_fd_sc_hd__mux2_1 _24515_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][21] ), + .A1(_12373_), + .S(_12414_), + .X(_07001_) + ); + sky130_fd_sc_hd__mux2_1 _24516_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][22] ), + .A1(_12374_), + .S(_12414_), + .X(_07002_) + ); + sky130_fd_sc_hd__mux2_1 _24517_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][23] ), + .A1(_12375_), + .S(_12414_), + .X(_07003_) + ); + sky130_fd_sc_hd__mux2_1 _24518_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][24] ), + .A1(_12376_), + .S(_12414_), + .X(_07004_) + ); + sky130_fd_sc_hd__mux2_1 _24519_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][25] ), + .A1(_12377_), + .S(_12414_), + .X(_07005_) + ); + sky130_fd_sc_hd__mux2_1 _24520_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][26] ), + .A1(_12378_), + .S(_12414_), + .X(_07006_) + ); + sky130_fd_sc_hd__mux2_1 _24521_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][27] ), + .A1(_12379_), + .S(_12414_), + .X(_07007_) + ); + sky130_fd_sc_hd__mux2_1 _24522_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][28] ), + .A1(_12380_), + .S(_12414_), + .X(_07008_) + ); + sky130_fd_sc_hd__mux2_1 _24523_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][29] ), + .A1(_12381_), + .S(_12414_), + .X(_07009_) + ); + sky130_fd_sc_hd__mux2_1 _24524_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][30] ), + .A1(_12382_), + .S(_12414_), + .X(_07010_) + ); + sky130_fd_sc_hd__mux2_1 _24525_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[0][31] ), + .A1(_12383_), + .S(_12414_), + .X(_07011_) + ); + sky130_fd_sc_hd__nand4bb_2 _24526_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .C(_12406_), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .Y(_12415_) + ); + sky130_fd_sc_hd__mux2_1 _24527_ ( + .A0(_12352_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][0] ), + .S(_12415_), + .X(_07012_) + ); + sky130_fd_sc_hd__mux2_1 _24528_ ( + .A0(_12353_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][1] ), + .S(_12415_), + .X(_07013_) + ); + sky130_fd_sc_hd__mux2_1 _24529_ ( + .A0(_12354_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][2] ), + .S(_12415_), + .X(_07014_) + ); + sky130_fd_sc_hd__mux2_1 _24530_ ( + .A0(_12355_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][3] ), + .S(_12415_), + .X(_07015_) + ); + sky130_fd_sc_hd__mux2_1 _24531_ ( + .A0(_12356_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][4] ), + .S(_12415_), + .X(_07016_) + ); + sky130_fd_sc_hd__mux2_1 _24532_ ( + .A0(_12357_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][5] ), + .S(_12415_), + .X(_07017_) + ); + sky130_fd_sc_hd__mux2_1 _24533_ ( + .A0(_12358_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][6] ), + .S(_12415_), + .X(_07018_) + ); + sky130_fd_sc_hd__mux2_1 _24534_ ( + .A0(_12359_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][7] ), + .S(_12415_), + .X(_07019_) + ); + sky130_fd_sc_hd__mux2_1 _24535_ ( + .A0(_12360_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][8] ), + .S(_12415_), + .X(_07020_) + ); + sky130_fd_sc_hd__mux2_1 _24536_ ( + .A0(_12361_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][9] ), + .S(_12415_), + .X(_07021_) + ); + sky130_fd_sc_hd__mux2_1 _24537_ ( + .A0(_12362_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][10] ), + .S(_12415_), + .X(_07022_) + ); + sky130_fd_sc_hd__mux2_1 _24538_ ( + .A0(_12363_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][11] ), + .S(_12415_), + .X(_07023_) + ); + sky130_fd_sc_hd__mux2_1 _24539_ ( + .A0(_12364_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][12] ), + .S(_12415_), + .X(_07024_) + ); + sky130_fd_sc_hd__mux2_1 _24540_ ( + .A0(_12365_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][13] ), + .S(_12415_), + .X(_07025_) + ); + sky130_fd_sc_hd__mux2_1 _24541_ ( + .A0(_12366_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][14] ), + .S(_12415_), + .X(_07026_) + ); + sky130_fd_sc_hd__mux2_1 _24542_ ( + .A0(_12367_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][15] ), + .S(_12415_), + .X(_07027_) + ); + sky130_fd_sc_hd__mux2_1 _24543_ ( + .A0(_12368_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][16] ), + .S(_12415_), + .X(_07028_) + ); + sky130_fd_sc_hd__mux2_1 _24544_ ( + .A0(_12369_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][17] ), + .S(_12415_), + .X(_07029_) + ); + sky130_fd_sc_hd__mux2_1 _24545_ ( + .A0(_12370_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][18] ), + .S(_12415_), + .X(_07030_) + ); + sky130_fd_sc_hd__mux2_1 _24546_ ( + .A0(_12371_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][19] ), + .S(_12415_), + .X(_07031_) + ); + sky130_fd_sc_hd__mux2_1 _24547_ ( + .A0(_12372_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][20] ), + .S(_12415_), + .X(_07032_) + ); + sky130_fd_sc_hd__mux2_1 _24548_ ( + .A0(_12373_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][21] ), + .S(_12415_), + .X(_07033_) + ); + sky130_fd_sc_hd__mux2_1 _24549_ ( + .A0(_12374_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][22] ), + .S(_12415_), + .X(_07034_) + ); + sky130_fd_sc_hd__mux2_1 _24550_ ( + .A0(_12375_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][23] ), + .S(_12415_), + .X(_07035_) + ); + sky130_fd_sc_hd__mux2_1 _24551_ ( + .A0(_12376_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][24] ), + .S(_12415_), + .X(_07036_) + ); + sky130_fd_sc_hd__mux2_1 _24552_ ( + .A0(_12377_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][25] ), + .S(_12415_), + .X(_07037_) + ); + sky130_fd_sc_hd__mux2_1 _24553_ ( + .A0(_12378_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][26] ), + .S(_12415_), + .X(_07038_) + ); + sky130_fd_sc_hd__mux2_1 _24554_ ( + .A0(_12379_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][27] ), + .S(_12415_), + .X(_07039_) + ); + sky130_fd_sc_hd__mux2_1 _24555_ ( + .A0(_12380_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][28] ), + .S(_12415_), + .X(_07040_) + ); + sky130_fd_sc_hd__mux2_1 _24556_ ( + .A0(_12381_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][29] ), + .S(_12415_), + .X(_07041_) + ); + sky130_fd_sc_hd__mux2_1 _24557_ ( + .A0(_12382_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][30] ), + .S(_12415_), + .X(_07042_) + ); + sky130_fd_sc_hd__mux2_1 _24558_ ( + .A0(_12383_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[7][31] ), + .S(_12415_), + .X(_07043_) + ); + sky130_fd_sc_hd__and4b_2 _24559_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(_12397_), + .C(_12402_), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .X(_12416_) + ); + sky130_fd_sc_hd__nand2_2 _24560_ ( + .A(_03967_), + .B(_12416_), + .Y(_12417_) + ); + sky130_fd_sc_hd__o21a_2 _24561_ ( + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[5][0] ), + .A2(_12416_), + .B1(_12417_), + .X(_07044_) + ); + sky130_fd_sc_hd__nand2_2 _24562_ ( + .A(_03973_), + .B(_12416_), + .Y(_12418_) + ); + sky130_fd_sc_hd__o21a_2 _24563_ ( + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[5][1] ), + .A2(_12416_), + .B1(_12418_), + .X(_07045_) + ); + sky130_fd_sc_hd__mux2_1 _24564_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][2] ), + .A1(_04521_), + .S(_12416_), + .X(_07046_) + ); + sky130_fd_sc_hd__mux2_1 _24565_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][3] ), + .A1(_04522_), + .S(_12416_), + .X(_07047_) + ); + sky130_fd_sc_hd__mux2_1 _24566_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][4] ), + .A1(_04523_), + .S(_12416_), + .X(_07048_) + ); + sky130_fd_sc_hd__mux2_1 _24567_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][5] ), + .A1(_04524_), + .S(_12416_), + .X(_07049_) + ); + sky130_fd_sc_hd__mux2_1 _24568_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][6] ), + .A1(_04525_), + .S(_12416_), + .X(_07050_) + ); + sky130_fd_sc_hd__mux2_1 _24569_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][7] ), + .A1(_04526_), + .S(_12416_), + .X(_07051_) + ); + sky130_fd_sc_hd__mux2_1 _24570_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][8] ), + .A1(_04527_), + .S(_12416_), + .X(_07052_) + ); + sky130_fd_sc_hd__mux2_1 _24571_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][9] ), + .A1(_04528_), + .S(_12416_), + .X(_07053_) + ); + sky130_fd_sc_hd__mux2_1 _24572_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][10] ), + .A1(_04529_), + .S(_12416_), + .X(_07054_) + ); + sky130_fd_sc_hd__mux2_1 _24573_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][11] ), + .A1(_04530_), + .S(_12416_), + .X(_07055_) + ); + sky130_fd_sc_hd__mux2_1 _24574_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][12] ), + .A1(_04531_), + .S(_12416_), + .X(_07056_) + ); + sky130_fd_sc_hd__mux2_1 _24575_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][13] ), + .A1(_04532_), + .S(_12416_), + .X(_07057_) + ); + sky130_fd_sc_hd__mux2_1 _24576_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][14] ), + .A1(_04533_), + .S(_12416_), + .X(_07058_) + ); + sky130_fd_sc_hd__mux2_1 _24577_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][15] ), + .A1(_04534_), + .S(_12416_), + .X(_07059_) + ); + sky130_fd_sc_hd__mux2_1 _24578_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][16] ), + .A1(_04535_), + .S(_12416_), + .X(_07060_) + ); + sky130_fd_sc_hd__mux2_1 _24579_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][17] ), + .A1(_04536_), + .S(_12416_), + .X(_07061_) + ); + sky130_fd_sc_hd__mux2_1 _24580_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][18] ), + .A1(_04537_), + .S(_12416_), + .X(_07062_) + ); + sky130_fd_sc_hd__mux2_1 _24581_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][19] ), + .A1(_04538_), + .S(_12416_), + .X(_07063_) + ); + sky130_fd_sc_hd__mux2_1 _24582_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][20] ), + .A1(_04539_), + .S(_12416_), + .X(_07064_) + ); + sky130_fd_sc_hd__mux2_1 _24583_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][21] ), + .A1(_04540_), + .S(_12416_), + .X(_07065_) + ); + sky130_fd_sc_hd__mux2_1 _24584_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][22] ), + .A1(_04541_), + .S(_12416_), + .X(_07066_) + ); + sky130_fd_sc_hd__mux2_1 _24585_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][23] ), + .A1(_04542_), + .S(_12416_), + .X(_07067_) + ); + sky130_fd_sc_hd__mux2_1 _24586_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][24] ), + .A1(_04216_), + .S(_12416_), + .X(_07068_) + ); + sky130_fd_sc_hd__mux2_1 _24587_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][25] ), + .A1(_04218_), + .S(_12416_), + .X(_07069_) + ); + sky130_fd_sc_hd__mux2_1 _24588_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][26] ), + .A1(_04220_), + .S(_12416_), + .X(_07070_) + ); + sky130_fd_sc_hd__mux2_1 _24589_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][27] ), + .A1(_04222_), + .S(_12416_), + .X(_07071_) + ); + sky130_fd_sc_hd__mux2_1 _24590_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][28] ), + .A1(_04224_), + .S(_12416_), + .X(_07072_) + ); + sky130_fd_sc_hd__mux2_1 _24591_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][29] ), + .A1(_04226_), + .S(_12416_), + .X(_07073_) + ); + sky130_fd_sc_hd__mux2_1 _24592_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][30] ), + .A1(_04228_), + .S(_12416_), + .X(_07074_) + ); + sky130_fd_sc_hd__mux2_1 _24593_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[5][31] ), + .A1(_04230_), + .S(_12416_), + .X(_07075_) + ); + sky130_fd_sc_hd__and4b_2 _24594_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(_12397_), + .C(_12399_), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .X(_12419_) + ); + sky130_fd_sc_hd__nand2_2 _24595_ ( + .A(_03967_), + .B(_12419_), + .Y(_12420_) + ); + sky130_fd_sc_hd__o21a_2 _24596_ ( + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][0] ), + .A2(_12419_), + .B1(_12420_), + .X(_07076_) + ); + sky130_fd_sc_hd__nand2_2 _24597_ ( + .A(_03973_), + .B(_12419_), + .Y(_12421_) + ); + sky130_fd_sc_hd__o21a_2 _24598_ ( + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[4][1] ), + .A2(_12419_), + .B1(_12421_), + .X(_07077_) + ); + sky130_fd_sc_hd__mux2_1 _24599_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][2] ), + .A1(_04521_), + .S(_12419_), + .X(_07078_) + ); + sky130_fd_sc_hd__mux2_1 _24600_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][3] ), + .A1(_04522_), + .S(_12419_), + .X(_07079_) + ); + sky130_fd_sc_hd__mux2_1 _24601_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][4] ), + .A1(_04523_), + .S(_12419_), + .X(_07080_) + ); + sky130_fd_sc_hd__mux2_1 _24602_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][5] ), + .A1(_04524_), + .S(_12419_), + .X(_07081_) + ); + sky130_fd_sc_hd__mux2_1 _24603_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][6] ), + .A1(_04525_), + .S(_12419_), + .X(_07082_) + ); + sky130_fd_sc_hd__mux2_1 _24604_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][7] ), + .A1(_04526_), + .S(_12419_), + .X(_07083_) + ); + sky130_fd_sc_hd__mux2_1 _24605_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][8] ), + .A1(_04527_), + .S(_12419_), + .X(_07084_) + ); + sky130_fd_sc_hd__mux2_1 _24606_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][9] ), + .A1(_04528_), + .S(_12419_), + .X(_07085_) + ); + sky130_fd_sc_hd__mux2_1 _24607_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][10] ), + .A1(_04529_), + .S(_12419_), + .X(_07086_) + ); + sky130_fd_sc_hd__mux2_1 _24608_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][11] ), + .A1(_04530_), + .S(_12419_), + .X(_07087_) + ); + sky130_fd_sc_hd__mux2_1 _24609_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][12] ), + .A1(_04531_), + .S(_12419_), + .X(_07088_) + ); + sky130_fd_sc_hd__mux2_1 _24610_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][13] ), + .A1(_04532_), + .S(_12419_), + .X(_07089_) + ); + sky130_fd_sc_hd__mux2_1 _24611_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][14] ), + .A1(_04533_), + .S(_12419_), + .X(_07090_) + ); + sky130_fd_sc_hd__mux2_1 _24612_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][15] ), + .A1(_04534_), + .S(_12419_), + .X(_07091_) + ); + sky130_fd_sc_hd__mux2_1 _24613_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][16] ), + .A1(_04535_), + .S(_12419_), + .X(_07092_) + ); + sky130_fd_sc_hd__mux2_1 _24614_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][17] ), + .A1(_04536_), + .S(_12419_), + .X(_07093_) + ); + sky130_fd_sc_hd__mux2_1 _24615_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][18] ), + .A1(_04537_), + .S(_12419_), + .X(_07094_) + ); + sky130_fd_sc_hd__mux2_1 _24616_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][19] ), + .A1(_04538_), + .S(_12419_), + .X(_07095_) + ); + sky130_fd_sc_hd__mux2_1 _24617_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][20] ), + .A1(_04539_), + .S(_12419_), + .X(_07096_) + ); + sky130_fd_sc_hd__mux2_1 _24618_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][21] ), + .A1(_04540_), + .S(_12419_), + .X(_07097_) + ); + sky130_fd_sc_hd__mux2_1 _24619_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][22] ), + .A1(_04541_), + .S(_12419_), + .X(_07098_) + ); + sky130_fd_sc_hd__mux2_1 _24620_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][23] ), + .A1(_04542_), + .S(_12419_), + .X(_07099_) + ); + sky130_fd_sc_hd__mux2_1 _24621_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][24] ), + .A1(_04216_), + .S(_12419_), + .X(_07100_) + ); + sky130_fd_sc_hd__mux2_1 _24622_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][25] ), + .A1(_04218_), + .S(_12419_), + .X(_07101_) + ); + sky130_fd_sc_hd__mux2_1 _24623_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][26] ), + .A1(_04220_), + .S(_12419_), + .X(_07102_) + ); + sky130_fd_sc_hd__mux2_1 _24624_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][27] ), + .A1(_04222_), + .S(_12419_), + .X(_07103_) + ); + sky130_fd_sc_hd__mux2_1 _24625_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][28] ), + .A1(_04224_), + .S(_12419_), + .X(_07104_) + ); + sky130_fd_sc_hd__mux2_1 _24626_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][29] ), + .A1(_04226_), + .S(_12419_), + .X(_07105_) + ); + sky130_fd_sc_hd__mux2_1 _24627_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][30] ), + .A1(_04228_), + .S(_12419_), + .X(_07106_) + ); + sky130_fd_sc_hd__mux2_1 _24628_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[4][31] ), + .A1(_04230_), + .S(_12419_), + .X(_07107_) + ); + sky130_fd_sc_hd__nand4_2 _24629_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12406_), + .D(_12408_), + .Y(_12422_) + ); + sky130_fd_sc_hd__mux2_1 _24630_ ( + .A0(_12352_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][0] ), + .S(_12422_), + .X(_07108_) + ); + sky130_fd_sc_hd__mux2_1 _24631_ ( + .A0(_12353_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][1] ), + .S(_12422_), + .X(_07109_) + ); + sky130_fd_sc_hd__mux2_1 _24632_ ( + .A0(_12354_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][2] ), + .S(_12422_), + .X(_07110_) + ); + sky130_fd_sc_hd__mux2_1 _24633_ ( + .A0(_12355_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][3] ), + .S(_12422_), + .X(_07111_) + ); + sky130_fd_sc_hd__mux2_1 _24634_ ( + .A0(_12356_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][4] ), + .S(_12422_), + .X(_07112_) + ); + sky130_fd_sc_hd__mux2_1 _24635_ ( + .A0(_12357_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][5] ), + .S(_12422_), + .X(_07113_) + ); + sky130_fd_sc_hd__mux2_1 _24636_ ( + .A0(_12358_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][6] ), + .S(_12422_), + .X(_07114_) + ); + sky130_fd_sc_hd__mux2_1 _24637_ ( + .A0(_12359_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][7] ), + .S(_12422_), + .X(_07115_) + ); + sky130_fd_sc_hd__mux2_1 _24638_ ( + .A0(_12360_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][8] ), + .S(_12422_), + .X(_07116_) + ); + sky130_fd_sc_hd__mux2_1 _24639_ ( + .A0(_12361_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][9] ), + .S(_12422_), + .X(_07117_) + ); + sky130_fd_sc_hd__mux2_1 _24640_ ( + .A0(_12362_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][10] ), + .S(_12422_), + .X(_07118_) + ); + sky130_fd_sc_hd__mux2_1 _24641_ ( + .A0(_12363_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][11] ), + .S(_12422_), + .X(_07119_) + ); + sky130_fd_sc_hd__mux2_1 _24642_ ( + .A0(_12364_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][12] ), + .S(_12422_), + .X(_07120_) + ); + sky130_fd_sc_hd__mux2_1 _24643_ ( + .A0(_12365_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][13] ), + .S(_12422_), + .X(_07121_) + ); + sky130_fd_sc_hd__mux2_1 _24644_ ( + .A0(_12366_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][14] ), + .S(_12422_), + .X(_07122_) + ); + sky130_fd_sc_hd__mux2_1 _24645_ ( + .A0(_12367_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][15] ), + .S(_12422_), + .X(_07123_) + ); + sky130_fd_sc_hd__mux2_1 _24646_ ( + .A0(_12368_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][16] ), + .S(_12422_), + .X(_07124_) + ); + sky130_fd_sc_hd__mux2_1 _24647_ ( + .A0(_12369_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][17] ), + .S(_12422_), + .X(_07125_) + ); + sky130_fd_sc_hd__mux2_1 _24648_ ( + .A0(_12370_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][18] ), + .S(_12422_), + .X(_07126_) + ); + sky130_fd_sc_hd__mux2_1 _24649_ ( + .A0(_12371_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][19] ), + .S(_12422_), + .X(_07127_) + ); + sky130_fd_sc_hd__mux2_1 _24650_ ( + .A0(_12372_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][20] ), + .S(_12422_), + .X(_07128_) + ); + sky130_fd_sc_hd__mux2_1 _24651_ ( + .A0(_12373_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][21] ), + .S(_12422_), + .X(_07129_) + ); + sky130_fd_sc_hd__mux2_1 _24652_ ( + .A0(_12374_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][22] ), + .S(_12422_), + .X(_07130_) + ); + sky130_fd_sc_hd__mux2_1 _24653_ ( + .A0(_12375_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][23] ), + .S(_12422_), + .X(_07131_) + ); + sky130_fd_sc_hd__mux2_1 _24654_ ( + .A0(_12376_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][24] ), + .S(_12422_), + .X(_07132_) + ); + sky130_fd_sc_hd__mux2_1 _24655_ ( + .A0(_12377_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][25] ), + .S(_12422_), + .X(_07133_) + ); + sky130_fd_sc_hd__mux2_1 _24656_ ( + .A0(_12378_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][26] ), + .S(_12422_), + .X(_07134_) + ); + sky130_fd_sc_hd__mux2_1 _24657_ ( + .A0(_12379_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][27] ), + .S(_12422_), + .X(_07135_) + ); + sky130_fd_sc_hd__mux2_1 _24658_ ( + .A0(_12380_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][28] ), + .S(_12422_), + .X(_07136_) + ); + sky130_fd_sc_hd__mux2_1 _24659_ ( + .A0(_12381_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][29] ), + .S(_12422_), + .X(_07137_) + ); + sky130_fd_sc_hd__mux2_1 _24660_ ( + .A0(_12382_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][30] ), + .S(_12422_), + .X(_07138_) + ); + sky130_fd_sc_hd__mux2_1 _24661_ ( + .A0(_12383_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[31][31] ), + .S(_12422_), + .X(_07139_) + ); + sky130_fd_sc_hd__and4_2 _24662_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12402_), + .D(_12408_), + .X(_12423_) + ); + sky130_fd_sc_hd__nand2_2 _24663_ ( + .A(_03967_), + .B(_12423_), + .Y(_12424_) + ); + sky130_fd_sc_hd__o21a_2 _24664_ ( + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[29][0] ), + .A2(_12423_), + .B1(_12424_), + .X(_07140_) + ); + sky130_fd_sc_hd__nand2_2 _24665_ ( + .A(_03973_), + .B(_12423_), + .Y(_12425_) + ); + sky130_fd_sc_hd__o21a_2 _24666_ ( + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[29][1] ), + .A2(_12423_), + .B1(_12425_), + .X(_07141_) + ); + sky130_fd_sc_hd__mux2_1 _24667_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][2] ), + .A1(_04521_), + .S(_12423_), + .X(_07142_) + ); + sky130_fd_sc_hd__mux2_1 _24668_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][3] ), + .A1(_04522_), + .S(_12423_), + .X(_07143_) + ); + sky130_fd_sc_hd__mux2_1 _24669_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][4] ), + .A1(_04523_), + .S(_12423_), + .X(_07144_) + ); + sky130_fd_sc_hd__mux2_1 _24670_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][5] ), + .A1(_04524_), + .S(_12423_), + .X(_07145_) + ); + sky130_fd_sc_hd__mux2_1 _24671_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][6] ), + .A1(_04525_), + .S(_12423_), + .X(_07146_) + ); + sky130_fd_sc_hd__mux2_1 _24672_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][7] ), + .A1(_04526_), + .S(_12423_), + .X(_07147_) + ); + sky130_fd_sc_hd__mux2_1 _24673_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][8] ), + .A1(_04527_), + .S(_12423_), + .X(_07148_) + ); + sky130_fd_sc_hd__mux2_1 _24674_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][9] ), + .A1(_04528_), + .S(_12423_), + .X(_07149_) + ); + sky130_fd_sc_hd__mux2_1 _24675_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][10] ), + .A1(_04529_), + .S(_12423_), + .X(_07150_) + ); + sky130_fd_sc_hd__mux2_1 _24676_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][11] ), + .A1(_04530_), + .S(_12423_), + .X(_07151_) + ); + sky130_fd_sc_hd__mux2_1 _24677_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][12] ), + .A1(_04531_), + .S(_12423_), + .X(_07152_) + ); + sky130_fd_sc_hd__mux2_1 _24678_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][13] ), + .A1(_04532_), + .S(_12423_), + .X(_07153_) + ); + sky130_fd_sc_hd__mux2_1 _24679_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][14] ), + .A1(_04533_), + .S(_12423_), + .X(_07154_) + ); + sky130_fd_sc_hd__mux2_1 _24680_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][15] ), + .A1(_04534_), + .S(_12423_), + .X(_07155_) + ); + sky130_fd_sc_hd__mux2_1 _24681_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][16] ), + .A1(_04535_), + .S(_12423_), + .X(_07156_) + ); + sky130_fd_sc_hd__mux2_1 _24682_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][17] ), + .A1(_04536_), + .S(_12423_), + .X(_07157_) + ); + sky130_fd_sc_hd__mux2_1 _24683_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][18] ), + .A1(_04537_), + .S(_12423_), + .X(_07158_) + ); + sky130_fd_sc_hd__mux2_1 _24684_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][19] ), + .A1(_04538_), + .S(_12423_), + .X(_07159_) + ); + sky130_fd_sc_hd__mux2_1 _24685_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][20] ), + .A1(_04539_), + .S(_12423_), + .X(_07160_) + ); + sky130_fd_sc_hd__mux2_1 _24686_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][21] ), + .A1(_04540_), + .S(_12423_), + .X(_07161_) + ); + sky130_fd_sc_hd__mux2_1 _24687_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][22] ), + .A1(_04541_), + .S(_12423_), + .X(_07162_) + ); + sky130_fd_sc_hd__mux2_1 _24688_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][23] ), + .A1(_04542_), + .S(_12423_), + .X(_07163_) + ); + sky130_fd_sc_hd__mux2_1 _24689_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][24] ), + .A1(_04216_), + .S(_12423_), + .X(_07164_) + ); + sky130_fd_sc_hd__mux2_1 _24690_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][25] ), + .A1(_04218_), + .S(_12423_), + .X(_07165_) + ); + sky130_fd_sc_hd__mux2_1 _24691_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][26] ), + .A1(_04220_), + .S(_12423_), + .X(_07166_) + ); + sky130_fd_sc_hd__mux2_1 _24692_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][27] ), + .A1(_04222_), + .S(_12423_), + .X(_07167_) + ); + sky130_fd_sc_hd__mux2_1 _24693_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][28] ), + .A1(_04224_), + .S(_12423_), + .X(_07168_) + ); + sky130_fd_sc_hd__mux2_1 _24694_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][29] ), + .A1(_04226_), + .S(_12423_), + .X(_07169_) + ); + sky130_fd_sc_hd__mux2_1 _24695_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][30] ), + .A1(_04228_), + .S(_12423_), + .X(_07170_) + ); + sky130_fd_sc_hd__mux2_1 _24696_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[29][31] ), + .A1(_04230_), + .S(_12423_), + .X(_07171_) + ); + sky130_fd_sc_hd__nor2_2 _24697_ ( + .A(\soc.core.uart_tx_fifo_produce[3] ), + .B(\soc.core.uart_tx_fifo_produce[2] ), + .Y(_12426_) + ); + sky130_fd_sc_hd__and4_2 _24698_ ( + .A(_11012_), + .B(_12390_), + .C(\mgmt_buffers.mprj_adr_o_core[11] ), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_12427_) + ); + sky130_fd_sc_hd__nor2_2 _24699_ ( + .A(\soc.core.uart_tx_fifo_level0[3] ), + .B(\soc.core.uart_tx_fifo_level0[2] ), + .Y(_12428_) + ); + sky130_fd_sc_hd__nand2_2 _24700_ ( + .A(_10894_), + .B(_10895_), + .Y(_12429_) + ); + sky130_fd_sc_hd__and4bb_2 _24701_ ( + .A_N(\soc.core.uart_tx_fifo_level0[1] ), + .B_N(\soc.core.uart_tx_fifo_level0[0] ), + .C(_12428_), + .D(\soc.core.uart_tx_fifo_level0[4] ), + .X(_12430_) + ); + sky130_fd_sc_hd__nand4bb_2 _24702_ ( + .A_N(\soc.core.uart_tx_fifo_level0[1] ), + .B_N(\soc.core.uart_tx_fifo_level0[0] ), + .C(_12428_), + .D(\soc.core.uart_tx_fifo_level0[4] ), + .Y(_12431_) + ); + sky130_fd_sc_hd__nand3_2 _24703_ ( + .A(_11002_), + .B(_11012_), + .C(_11023_), + .Y(_12432_) + ); + sky130_fd_sc_hd__nor2_2 _24704_ ( + .A(_12385_), + .B(_12432_), + .Y(_12433_) + ); + sky130_fd_sc_hd__nand4_2 _24705_ ( + .A(_12431_), + .B(_12433_), + .C(_11017_), + .D(_12390_), + .Y(_12434_) + ); + sky130_fd_sc_hd__and4_2 _24706_ ( + .A(_11028_), + .B(_12390_), + .C(\mgmt_buffers.mprj_adr_o_core[11] ), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_12435_) + ); + sky130_fd_sc_hd__and3_2 _24707_ ( + .A(_11002_), + .B(_11028_), + .C(_12392_), + .X(_12436_) + ); + sky130_fd_sc_hd__and3_2 _24708_ ( + .A(_12436_), + .B(_12431_), + .C(_12435_), + .X(_12437_) + ); + sky130_fd_sc_hd__and4bb_2 _24709_ ( + .A_N(\soc.core.uart_tx_fifo_produce[1] ), + .B_N(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12426_), + .D(_12437_), + .X(_12438_) + ); + sky130_fd_sc_hd__and2b_2 _24710_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[0] ), + .X(_12439_) + ); + sky130_fd_sc_hd__nand2b_2 _24711_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[0] ), + .Y(_12440_) + ); + sky130_fd_sc_hd__mux2_1 _24712_ ( + .A0(\soc.core.storage[0][0] ), + .A1(_12439_), + .S(_12438_), + .X(_07172_) + ); + sky130_fd_sc_hd__and2b_2 _24713_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[1] ), + .X(_12441_) + ); + sky130_fd_sc_hd__nand2b_2 _24714_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[1] ), + .Y(_12442_) + ); + sky130_fd_sc_hd__mux2_1 _24715_ ( + .A0(\soc.core.storage[0][1] ), + .A1(_12441_), + .S(_12438_), + .X(_07173_) + ); + sky130_fd_sc_hd__and2b_2 _24716_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[2] ), + .X(_12443_) + ); + sky130_fd_sc_hd__nand2b_2 _24717_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[2] ), + .Y(_12444_) + ); + sky130_fd_sc_hd__mux2_1 _24718_ ( + .A0(\soc.core.storage[0][2] ), + .A1(_12443_), + .S(_12438_), + .X(_07174_) + ); + sky130_fd_sc_hd__and2b_2 _24719_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[3] ), + .X(_12445_) + ); + sky130_fd_sc_hd__nand2b_2 _24720_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[3] ), + .Y(_12446_) + ); + sky130_fd_sc_hd__mux2_1 _24721_ ( + .A0(\soc.core.storage[0][3] ), + .A1(_12445_), + .S(_12438_), + .X(_07175_) + ); + sky130_fd_sc_hd__and2b_2 _24722_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[4] ), + .X(_12447_) + ); + sky130_fd_sc_hd__nand2b_2 _24723_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[4] ), + .Y(_12448_) + ); + sky130_fd_sc_hd__mux2_1 _24724_ ( + .A0(\soc.core.storage[0][4] ), + .A1(_12447_), + .S(_12438_), + .X(_07176_) + ); + sky130_fd_sc_hd__and2b_2 _24725_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[5] ), + .X(_12449_) + ); + sky130_fd_sc_hd__nand2b_2 _24726_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[5] ), + .Y(_12450_) + ); + sky130_fd_sc_hd__mux2_1 _24727_ ( + .A0(\soc.core.storage[0][5] ), + .A1(_12449_), + .S(_12438_), + .X(_07177_) + ); + sky130_fd_sc_hd__and2b_2 _24728_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[6] ), + .X(_12451_) + ); + sky130_fd_sc_hd__nand2b_2 _24729_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[6] ), + .Y(_12452_) + ); + sky130_fd_sc_hd__mux2_1 _24730_ ( + .A0(\soc.core.storage[0][6] ), + .A1(_12451_), + .S(_12438_), + .X(_07178_) + ); + sky130_fd_sc_hd__and2b_2 _24731_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[7] ), + .X(_12453_) + ); + sky130_fd_sc_hd__nand2b_2 _24732_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[7] ), + .Y(_12454_) + ); + sky130_fd_sc_hd__mux2_1 _24733_ ( + .A0(\soc.core.storage[0][7] ), + .A1(_12453_), + .S(_12438_), + .X(_07179_) + ); + sky130_fd_sc_hd__a41o_2 _24734_ ( + .A1(\soc.core.uart_phy_tx_count[3] ), + .A2(\soc.core.rs232phy_rs232phytx_state ), + .A3(_11136_), + .A4(_11137_), + .B1(_03493_), + .X(_12455_) + ); + sky130_fd_sc_hd__nor4_2 _24735_ ( + .A(\soc.core.uart_tx_fifo_level0[4] ), + .B(\soc.core.uart_tx_fifo_level0[1] ), + .C(\soc.core.uart_tx_fifo_level0[0] ), + .D(_12429_), + .Y(_12456_) + ); + sky130_fd_sc_hd__o41a_2 _24736_ ( + .A1(\soc.core.uart_tx_fifo_level0[4] ), + .A2(\soc.core.uart_tx_fifo_level0[1] ), + .A3(\soc.core.uart_tx_fifo_level0[0] ), + .A4(_12429_), + .B1(_12455_), + .X(_12457_) + ); + sky130_fd_sc_hd__o41ai_2 _24737_ ( + .A1(\soc.core.uart_tx_fifo_level0[4] ), + .A2(\soc.core.uart_tx_fifo_level0[1] ), + .A3(\soc.core.uart_tx_fifo_level0[0] ), + .A4(_12429_), + .B1(_12455_), + .Y(_12458_) + ); + sky130_fd_sc_hd__mux2_1 _24738_ ( + .A0(_00123_), + .A1(\soc.core.memdat_1[0] ), + .S(_12458_), + .X(_07180_) + ); + sky130_fd_sc_hd__mux2_1 _24739_ ( + .A0(_00124_), + .A1(\soc.core.memdat_1[1] ), + .S(_12458_), + .X(_07181_) + ); + sky130_fd_sc_hd__mux2_1 _24740_ ( + .A0(_00125_), + .A1(\soc.core.memdat_1[2] ), + .S(_12458_), + .X(_07182_) + ); + sky130_fd_sc_hd__mux2_1 _24741_ ( + .A0(_00126_), + .A1(\soc.core.memdat_1[3] ), + .S(_12458_), + .X(_07183_) + ); + sky130_fd_sc_hd__mux2_1 _24742_ ( + .A0(_00127_), + .A1(\soc.core.memdat_1[4] ), + .S(_12458_), + .X(_07184_) + ); + sky130_fd_sc_hd__mux2_1 _24743_ ( + .A0(_00128_), + .A1(\soc.core.memdat_1[5] ), + .S(_12458_), + .X(_07185_) + ); + sky130_fd_sc_hd__mux2_1 _24744_ ( + .A0(_00129_), + .A1(\soc.core.memdat_1[6] ), + .S(_12458_), + .X(_07186_) + ); + sky130_fd_sc_hd__mux2_1 _24745_ ( + .A0(_00130_), + .A1(\soc.core.memdat_1[7] ), + .S(_12458_), + .X(_07187_) + ); + sky130_fd_sc_hd__and4b_2 _24746_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(_12349_), + .C(_12397_), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .X(_12459_) + ); + sky130_fd_sc_hd__mux2_1 _24747_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][0] ), + .A1(_12352_), + .S(_12459_), + .X(_07188_) + ); + sky130_fd_sc_hd__mux2_1 _24748_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][1] ), + .A1(_12353_), + .S(_12459_), + .X(_07189_) + ); + sky130_fd_sc_hd__mux2_1 _24749_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][2] ), + .A1(_12354_), + .S(_12459_), + .X(_07190_) + ); + sky130_fd_sc_hd__mux2_1 _24750_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][3] ), + .A1(_12355_), + .S(_12459_), + .X(_07191_) + ); + sky130_fd_sc_hd__mux2_1 _24751_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][4] ), + .A1(_12356_), + .S(_12459_), + .X(_07192_) + ); + sky130_fd_sc_hd__mux2_1 _24752_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][5] ), + .A1(_12357_), + .S(_12459_), + .X(_07193_) + ); + sky130_fd_sc_hd__mux2_1 _24753_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][6] ), + .A1(_12358_), + .S(_12459_), + .X(_07194_) + ); + sky130_fd_sc_hd__mux2_1 _24754_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][7] ), + .A1(_12359_), + .S(_12459_), + .X(_07195_) + ); + sky130_fd_sc_hd__mux2_1 _24755_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][8] ), + .A1(_12360_), + .S(_12459_), + .X(_07196_) + ); + sky130_fd_sc_hd__mux2_1 _24756_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][9] ), + .A1(_12361_), + .S(_12459_), + .X(_07197_) + ); + sky130_fd_sc_hd__mux2_1 _24757_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][10] ), + .A1(_12362_), + .S(_12459_), + .X(_07198_) + ); + sky130_fd_sc_hd__mux2_1 _24758_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][11] ), + .A1(_12363_), + .S(_12459_), + .X(_07199_) + ); + sky130_fd_sc_hd__mux2_1 _24759_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][12] ), + .A1(_12364_), + .S(_12459_), + .X(_07200_) + ); + sky130_fd_sc_hd__mux2_1 _24760_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][13] ), + .A1(_12365_), + .S(_12459_), + .X(_07201_) + ); + sky130_fd_sc_hd__mux2_1 _24761_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][14] ), + .A1(_12366_), + .S(_12459_), + .X(_07202_) + ); + sky130_fd_sc_hd__mux2_1 _24762_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][15] ), + .A1(_12367_), + .S(_12459_), + .X(_07203_) + ); + sky130_fd_sc_hd__mux2_1 _24763_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][16] ), + .A1(_12368_), + .S(_12459_), + .X(_07204_) + ); + sky130_fd_sc_hd__mux2_1 _24764_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][17] ), + .A1(_12369_), + .S(_12459_), + .X(_07205_) + ); + sky130_fd_sc_hd__mux2_1 _24765_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][18] ), + .A1(_12370_), + .S(_12459_), + .X(_07206_) + ); + sky130_fd_sc_hd__mux2_1 _24766_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][19] ), + .A1(_12371_), + .S(_12459_), + .X(_07207_) + ); + sky130_fd_sc_hd__mux2_1 _24767_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][20] ), + .A1(_12372_), + .S(_12459_), + .X(_07208_) + ); + sky130_fd_sc_hd__mux2_1 _24768_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][21] ), + .A1(_12373_), + .S(_12459_), + .X(_07209_) + ); + sky130_fd_sc_hd__mux2_1 _24769_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][22] ), + .A1(_12374_), + .S(_12459_), + .X(_07210_) + ); + sky130_fd_sc_hd__mux2_1 _24770_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][23] ), + .A1(_12375_), + .S(_12459_), + .X(_07211_) + ); + sky130_fd_sc_hd__mux2_1 _24771_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][24] ), + .A1(_12376_), + .S(_12459_), + .X(_07212_) + ); + sky130_fd_sc_hd__mux2_1 _24772_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][25] ), + .A1(_12377_), + .S(_12459_), + .X(_07213_) + ); + sky130_fd_sc_hd__mux2_1 _24773_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][26] ), + .A1(_12378_), + .S(_12459_), + .X(_07214_) + ); + sky130_fd_sc_hd__mux2_1 _24774_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][27] ), + .A1(_12379_), + .S(_12459_), + .X(_07215_) + ); + sky130_fd_sc_hd__mux2_1 _24775_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][28] ), + .A1(_12380_), + .S(_12459_), + .X(_07216_) + ); + sky130_fd_sc_hd__mux2_1 _24776_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][29] ), + .A1(_12381_), + .S(_12459_), + .X(_07217_) + ); + sky130_fd_sc_hd__mux2_1 _24777_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][30] ), + .A1(_12382_), + .S(_12459_), + .X(_07218_) + ); + sky130_fd_sc_hd__mux2_1 _24778_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[6][31] ), + .A1(_12383_), + .S(_12459_), + .X(_07219_) + ); + sky130_fd_sc_hd__and4b_2 _24779_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12397_), + .D(_12399_), + .X(_12460_) + ); + sky130_fd_sc_hd__mux2_1 _24780_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][0] ), + .A1(_12352_), + .S(_12460_), + .X(_07220_) + ); + sky130_fd_sc_hd__mux2_1 _24781_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][1] ), + .A1(_12353_), + .S(_12460_), + .X(_07221_) + ); + sky130_fd_sc_hd__mux2_1 _24782_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][2] ), + .A1(_12354_), + .S(_12460_), + .X(_07222_) + ); + sky130_fd_sc_hd__mux2_1 _24783_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][3] ), + .A1(_12355_), + .S(_12460_), + .X(_07223_) + ); + sky130_fd_sc_hd__mux2_1 _24784_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][4] ), + .A1(_12356_), + .S(_12460_), + .X(_07224_) + ); + sky130_fd_sc_hd__mux2_1 _24785_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][5] ), + .A1(_12357_), + .S(_12460_), + .X(_07225_) + ); + sky130_fd_sc_hd__mux2_1 _24786_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][6] ), + .A1(_12358_), + .S(_12460_), + .X(_07226_) + ); + sky130_fd_sc_hd__mux2_1 _24787_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][7] ), + .A1(_12359_), + .S(_12460_), + .X(_07227_) + ); + sky130_fd_sc_hd__mux2_1 _24788_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][8] ), + .A1(_12360_), + .S(_12460_), + .X(_07228_) + ); + sky130_fd_sc_hd__mux2_1 _24789_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][9] ), + .A1(_12361_), + .S(_12460_), + .X(_07229_) + ); + sky130_fd_sc_hd__mux2_1 _24790_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][10] ), + .A1(_12362_), + .S(_12460_), + .X(_07230_) + ); + sky130_fd_sc_hd__mux2_1 _24791_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][11] ), + .A1(_12363_), + .S(_12460_), + .X(_07231_) + ); + sky130_fd_sc_hd__mux2_1 _24792_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][12] ), + .A1(_12364_), + .S(_12460_), + .X(_07232_) + ); + sky130_fd_sc_hd__mux2_1 _24793_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][13] ), + .A1(_12365_), + .S(_12460_), + .X(_07233_) + ); + sky130_fd_sc_hd__mux2_1 _24794_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][14] ), + .A1(_12366_), + .S(_12460_), + .X(_07234_) + ); + sky130_fd_sc_hd__mux2_1 _24795_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][15] ), + .A1(_12367_), + .S(_12460_), + .X(_07235_) + ); + sky130_fd_sc_hd__mux2_1 _24796_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][16] ), + .A1(_12368_), + .S(_12460_), + .X(_07236_) + ); + sky130_fd_sc_hd__mux2_1 _24797_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][17] ), + .A1(_12369_), + .S(_12460_), + .X(_07237_) + ); + sky130_fd_sc_hd__mux2_1 _24798_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][18] ), + .A1(_12370_), + .S(_12460_), + .X(_07238_) + ); + sky130_fd_sc_hd__mux2_1 _24799_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][19] ), + .A1(_12371_), + .S(_12460_), + .X(_07239_) + ); + sky130_fd_sc_hd__mux2_1 _24800_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][20] ), + .A1(_12372_), + .S(_12460_), + .X(_07240_) + ); + sky130_fd_sc_hd__mux2_1 _24801_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][21] ), + .A1(_12373_), + .S(_12460_), + .X(_07241_) + ); + sky130_fd_sc_hd__mux2_1 _24802_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][22] ), + .A1(_12374_), + .S(_12460_), + .X(_07242_) + ); + sky130_fd_sc_hd__mux2_1 _24803_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][23] ), + .A1(_12375_), + .S(_12460_), + .X(_07243_) + ); + sky130_fd_sc_hd__mux2_1 _24804_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][24] ), + .A1(_12376_), + .S(_12460_), + .X(_07244_) + ); + sky130_fd_sc_hd__mux2_1 _24805_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][25] ), + .A1(_12377_), + .S(_12460_), + .X(_07245_) + ); + sky130_fd_sc_hd__mux2_1 _24806_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][26] ), + .A1(_12378_), + .S(_12460_), + .X(_07246_) + ); + sky130_fd_sc_hd__mux2_1 _24807_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][27] ), + .A1(_12379_), + .S(_12460_), + .X(_07247_) + ); + sky130_fd_sc_hd__mux2_1 _24808_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][28] ), + .A1(_12380_), + .S(_12460_), + .X(_07248_) + ); + sky130_fd_sc_hd__mux2_1 _24809_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][29] ), + .A1(_12381_), + .S(_12460_), + .X(_07249_) + ); + sky130_fd_sc_hd__mux2_1 _24810_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][30] ), + .A1(_12382_), + .S(_12460_), + .X(_07250_) + ); + sky130_fd_sc_hd__mux2_1 _24811_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[8][31] ), + .A1(_12383_), + .S(_12460_), + .X(_07251_) + ); + sky130_fd_sc_hd__nand2_2 _24812_ ( + .A(_12350_), + .B(_12406_), + .Y(_12461_) + ); + sky130_fd_sc_hd__mux2_1 _24813_ ( + .A0(_12352_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][0] ), + .S(_12461_), + .X(_07252_) + ); + sky130_fd_sc_hd__mux2_1 _24814_ ( + .A0(_12353_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][1] ), + .S(_12461_), + .X(_07253_) + ); + sky130_fd_sc_hd__mux2_1 _24815_ ( + .A0(_12354_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][2] ), + .S(_12461_), + .X(_07254_) + ); + sky130_fd_sc_hd__mux2_1 _24816_ ( + .A0(_12355_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][3] ), + .S(_12461_), + .X(_07255_) + ); + sky130_fd_sc_hd__mux2_1 _24817_ ( + .A0(_12356_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][4] ), + .S(_12461_), + .X(_07256_) + ); + sky130_fd_sc_hd__mux2_1 _24818_ ( + .A0(_12357_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][5] ), + .S(_12461_), + .X(_07257_) + ); + sky130_fd_sc_hd__mux2_1 _24819_ ( + .A0(_12358_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][6] ), + .S(_12461_), + .X(_07258_) + ); + sky130_fd_sc_hd__mux2_1 _24820_ ( + .A0(_12359_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][7] ), + .S(_12461_), + .X(_07259_) + ); + sky130_fd_sc_hd__mux2_1 _24821_ ( + .A0(_12360_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][8] ), + .S(_12461_), + .X(_07260_) + ); + sky130_fd_sc_hd__mux2_1 _24822_ ( + .A0(_12361_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][9] ), + .S(_12461_), + .X(_07261_) + ); + sky130_fd_sc_hd__mux2_1 _24823_ ( + .A0(_12362_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][10] ), + .S(_12461_), + .X(_07262_) + ); + sky130_fd_sc_hd__mux2_1 _24824_ ( + .A0(_12363_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][11] ), + .S(_12461_), + .X(_07263_) + ); + sky130_fd_sc_hd__mux2_1 _24825_ ( + .A0(_12364_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][12] ), + .S(_12461_), + .X(_07264_) + ); + sky130_fd_sc_hd__mux2_1 _24826_ ( + .A0(_12365_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][13] ), + .S(_12461_), + .X(_07265_) + ); + sky130_fd_sc_hd__mux2_1 _24827_ ( + .A0(_12366_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][14] ), + .S(_12461_), + .X(_07266_) + ); + sky130_fd_sc_hd__mux2_1 _24828_ ( + .A0(_12367_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][15] ), + .S(_12461_), + .X(_07267_) + ); + sky130_fd_sc_hd__mux2_1 _24829_ ( + .A0(_12368_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][16] ), + .S(_12461_), + .X(_07268_) + ); + sky130_fd_sc_hd__mux2_1 _24830_ ( + .A0(_12369_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][17] ), + .S(_12461_), + .X(_07269_) + ); + sky130_fd_sc_hd__mux2_1 _24831_ ( + .A0(_12370_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][18] ), + .S(_12461_), + .X(_07270_) + ); + sky130_fd_sc_hd__mux2_1 _24832_ ( + .A0(_12371_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][19] ), + .S(_12461_), + .X(_07271_) + ); + sky130_fd_sc_hd__mux2_1 _24833_ ( + .A0(_12372_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][20] ), + .S(_12461_), + .X(_07272_) + ); + sky130_fd_sc_hd__mux2_1 _24834_ ( + .A0(_12373_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][21] ), + .S(_12461_), + .X(_07273_) + ); + sky130_fd_sc_hd__mux2_1 _24835_ ( + .A0(_12374_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][22] ), + .S(_12461_), + .X(_07274_) + ); + sky130_fd_sc_hd__mux2_1 _24836_ ( + .A0(_12375_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][23] ), + .S(_12461_), + .X(_07275_) + ); + sky130_fd_sc_hd__mux2_1 _24837_ ( + .A0(_12376_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][24] ), + .S(_12461_), + .X(_07276_) + ); + sky130_fd_sc_hd__mux2_1 _24838_ ( + .A0(_12377_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][25] ), + .S(_12461_), + .X(_07277_) + ); + sky130_fd_sc_hd__mux2_1 _24839_ ( + .A0(_12378_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][26] ), + .S(_12461_), + .X(_07278_) + ); + sky130_fd_sc_hd__mux2_1 _24840_ ( + .A0(_12379_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][27] ), + .S(_12461_), + .X(_07279_) + ); + sky130_fd_sc_hd__mux2_1 _24841_ ( + .A0(_12380_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][28] ), + .S(_12461_), + .X(_07280_) + ); + sky130_fd_sc_hd__mux2_1 _24842_ ( + .A0(_12381_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][29] ), + .S(_12461_), + .X(_07281_) + ); + sky130_fd_sc_hd__mux2_1 _24843_ ( + .A0(_12382_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][30] ), + .S(_12461_), + .X(_07282_) + ); + sky130_fd_sc_hd__mux2_1 _24844_ ( + .A0(_12383_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[3][31] ), + .S(_12461_), + .X(_07283_) + ); + sky130_fd_sc_hd__and4bb_2 _24845_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12406_), + .D(_12408_), + .X(_12462_) + ); + sky130_fd_sc_hd__mux2_1 _24846_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][0] ), + .A1(_12352_), + .S(_12462_), + .X(_07284_) + ); + sky130_fd_sc_hd__mux2_1 _24847_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][1] ), + .A1(_12353_), + .S(_12462_), + .X(_07285_) + ); + sky130_fd_sc_hd__mux2_1 _24848_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][2] ), + .A1(_12354_), + .S(_12462_), + .X(_07286_) + ); + sky130_fd_sc_hd__mux2_1 _24849_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][3] ), + .A1(_12355_), + .S(_12462_), + .X(_07287_) + ); + sky130_fd_sc_hd__mux2_1 _24850_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][4] ), + .A1(_12356_), + .S(_12462_), + .X(_07288_) + ); + sky130_fd_sc_hd__mux2_1 _24851_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][5] ), + .A1(_12357_), + .S(_12462_), + .X(_07289_) + ); + sky130_fd_sc_hd__mux2_1 _24852_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][6] ), + .A1(_12358_), + .S(_12462_), + .X(_07290_) + ); + sky130_fd_sc_hd__mux2_1 _24853_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][7] ), + .A1(_12359_), + .S(_12462_), + .X(_07291_) + ); + sky130_fd_sc_hd__mux2_1 _24854_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][8] ), + .A1(_12360_), + .S(_12462_), + .X(_07292_) + ); + sky130_fd_sc_hd__mux2_1 _24855_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][9] ), + .A1(_12361_), + .S(_12462_), + .X(_07293_) + ); + sky130_fd_sc_hd__mux2_1 _24856_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][10] ), + .A1(_12362_), + .S(_12462_), + .X(_07294_) + ); + sky130_fd_sc_hd__mux2_1 _24857_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][11] ), + .A1(_12363_), + .S(_12462_), + .X(_07295_) + ); + sky130_fd_sc_hd__mux2_1 _24858_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][12] ), + .A1(_12364_), + .S(_12462_), + .X(_07296_) + ); + sky130_fd_sc_hd__mux2_1 _24859_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][13] ), + .A1(_12365_), + .S(_12462_), + .X(_07297_) + ); + sky130_fd_sc_hd__mux2_1 _24860_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][14] ), + .A1(_12366_), + .S(_12462_), + .X(_07298_) + ); + sky130_fd_sc_hd__mux2_1 _24861_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][15] ), + .A1(_12367_), + .S(_12462_), + .X(_07299_) + ); + sky130_fd_sc_hd__mux2_1 _24862_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][16] ), + .A1(_12368_), + .S(_12462_), + .X(_07300_) + ); + sky130_fd_sc_hd__mux2_1 _24863_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][17] ), + .A1(_12369_), + .S(_12462_), + .X(_07301_) + ); + sky130_fd_sc_hd__mux2_1 _24864_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][18] ), + .A1(_12370_), + .S(_12462_), + .X(_07302_) + ); + sky130_fd_sc_hd__mux2_1 _24865_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][19] ), + .A1(_12371_), + .S(_12462_), + .X(_07303_) + ); + sky130_fd_sc_hd__mux2_1 _24866_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][20] ), + .A1(_12372_), + .S(_12462_), + .X(_07304_) + ); + sky130_fd_sc_hd__mux2_1 _24867_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][21] ), + .A1(_12373_), + .S(_12462_), + .X(_07305_) + ); + sky130_fd_sc_hd__mux2_1 _24868_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][22] ), + .A1(_12374_), + .S(_12462_), + .X(_07306_) + ); + sky130_fd_sc_hd__mux2_1 _24869_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][23] ), + .A1(_12375_), + .S(_12462_), + .X(_07307_) + ); + sky130_fd_sc_hd__mux2_1 _24870_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][24] ), + .A1(_12376_), + .S(_12462_), + .X(_07308_) + ); + sky130_fd_sc_hd__mux2_1 _24871_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][25] ), + .A1(_12377_), + .S(_12462_), + .X(_07309_) + ); + sky130_fd_sc_hd__mux2_1 _24872_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][26] ), + .A1(_12378_), + .S(_12462_), + .X(_07310_) + ); + sky130_fd_sc_hd__mux2_1 _24873_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][27] ), + .A1(_12379_), + .S(_12462_), + .X(_07311_) + ); + sky130_fd_sc_hd__mux2_1 _24874_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][28] ), + .A1(_12380_), + .S(_12462_), + .X(_07312_) + ); + sky130_fd_sc_hd__mux2_1 _24875_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][29] ), + .A1(_12381_), + .S(_12462_), + .X(_07313_) + ); + sky130_fd_sc_hd__mux2_1 _24876_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][30] ), + .A1(_12382_), + .S(_12462_), + .X(_07314_) + ); + sky130_fd_sc_hd__mux2_1 _24877_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[19][31] ), + .A1(_12383_), + .S(_12462_), + .X(_07315_) + ); + sky130_fd_sc_hd__and4_2 _24878_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .X(_12463_) + ); + sky130_fd_sc_hd__nand4_2 _24879_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .Y(_12464_) + ); + sky130_fd_sc_hd__a21oi_2 _24880_ ( + .A1(_12464_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .B1(_04839_), + .Y(_12465_) + ); + sky130_fd_sc_hd__o22a_2 _24881_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .A2(_04839_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][0] ), + .B2(_12465_), + .X(_07316_) + ); + sky130_fd_sc_hd__mux2_1 _24882_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[6] ), + .S(_12465_), + .X(_07317_) + ); + sky130_fd_sc_hd__mux2_1 _24883_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[7] ), + .S(_12465_), + .X(_07318_) + ); + sky130_fd_sc_hd__mux2_1 _24884_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[8] ), + .S(_12465_), + .X(_07319_) + ); + sky130_fd_sc_hd__mux2_1 _24885_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[9] ), + .S(_12465_), + .X(_07320_) + ); + sky130_fd_sc_hd__mux2_1 _24886_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[10] ), + .S(_12465_), + .X(_07321_) + ); + sky130_fd_sc_hd__mux2_1 _24887_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[11] ), + .S(_12465_), + .X(_07322_) + ); + sky130_fd_sc_hd__mux2_1 _24888_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[12] ), + .S(_12465_), + .X(_07323_) + ); + sky130_fd_sc_hd__mux2_1 _24889_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[13] ), + .S(_12465_), + .X(_07324_) + ); + sky130_fd_sc_hd__mux2_1 _24890_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[14] ), + .S(_12465_), + .X(_07325_) + ); + sky130_fd_sc_hd__mux2_1 _24891_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[15] ), + .S(_12465_), + .X(_07326_) + ); + sky130_fd_sc_hd__mux2_1 _24892_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[16] ), + .S(_12465_), + .X(_07327_) + ); + sky130_fd_sc_hd__mux2_1 _24893_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[17] ), + .S(_12465_), + .X(_07328_) + ); + sky130_fd_sc_hd__mux2_1 _24894_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[18] ), + .S(_12465_), + .X(_07329_) + ); + sky130_fd_sc_hd__mux2_1 _24895_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[19] ), + .S(_12465_), + .X(_07330_) + ); + sky130_fd_sc_hd__mux2_1 _24896_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[20] ), + .S(_12465_), + .X(_07331_) + ); + sky130_fd_sc_hd__mux2_1 _24897_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[21] ), + .S(_12465_), + .X(_07332_) + ); + sky130_fd_sc_hd__mux2_1 _24898_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[22] ), + .S(_12465_), + .X(_07333_) + ); + sky130_fd_sc_hd__mux2_1 _24899_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[23] ), + .S(_12465_), + .X(_07334_) + ); + sky130_fd_sc_hd__mux2_1 _24900_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[24] ), + .S(_12465_), + .X(_07335_) + ); + sky130_fd_sc_hd__mux2_1 _24901_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[25] ), + .S(_12465_), + .X(_07336_) + ); + sky130_fd_sc_hd__mux2_1 _24902_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[26] ), + .S(_12465_), + .X(_07337_) + ); + sky130_fd_sc_hd__mux2_1 _24903_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[27] ), + .S(_12465_), + .X(_07338_) + ); + sky130_fd_sc_hd__mux2_1 _24904_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[28] ), + .S(_12465_), + .X(_07339_) + ); + sky130_fd_sc_hd__mux2_1 _24905_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[29] ), + .S(_12465_), + .X(_07340_) + ); + sky130_fd_sc_hd__mux2_1 _24906_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[30] ), + .S(_12465_), + .X(_07341_) + ); + sky130_fd_sc_hd__mux2_1 _24907_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[31] ), + .S(_12465_), + .X(_07342_) + ); + sky130_fd_sc_hd__and3b_2 _24908_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .X(_12466_) + ); + sky130_fd_sc_hd__and3b_2 _24909_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .C(_12466_), + .X(_12467_) + ); + sky130_fd_sc_hd__mux2_1 _24910_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12467_), + .X(_07343_) + ); + sky130_fd_sc_hd__mux2_1 _24911_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12467_), + .X(_07344_) + ); + sky130_fd_sc_hd__mux2_1 _24912_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12467_), + .X(_07345_) + ); + sky130_fd_sc_hd__mux2_1 _24913_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12467_), + .X(_07346_) + ); + sky130_fd_sc_hd__mux2_1 _24914_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12467_), + .X(_07347_) + ); + sky130_fd_sc_hd__mux2_1 _24915_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12467_), + .X(_07348_) + ); + sky130_fd_sc_hd__mux2_1 _24916_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12467_), + .X(_07349_) + ); + sky130_fd_sc_hd__mux2_1 _24917_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12467_), + .X(_07350_) + ); + sky130_fd_sc_hd__mux2_1 _24918_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12467_), + .X(_07351_) + ); + sky130_fd_sc_hd__mux2_1 _24919_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12467_), + .X(_07352_) + ); + sky130_fd_sc_hd__mux2_1 _24920_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12467_), + .X(_07353_) + ); + sky130_fd_sc_hd__mux2_1 _24921_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12467_), + .X(_07354_) + ); + sky130_fd_sc_hd__mux2_1 _24922_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12467_), + .X(_07355_) + ); + sky130_fd_sc_hd__mux2_1 _24923_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12467_), + .X(_07356_) + ); + sky130_fd_sc_hd__mux2_1 _24924_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12467_), + .X(_07357_) + ); + sky130_fd_sc_hd__mux2_1 _24925_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12467_), + .X(_07358_) + ); + sky130_fd_sc_hd__mux2_1 _24926_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12467_), + .X(_07359_) + ); + sky130_fd_sc_hd__mux2_1 _24927_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12467_), + .X(_07360_) + ); + sky130_fd_sc_hd__mux2_1 _24928_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12467_), + .X(_07361_) + ); + sky130_fd_sc_hd__mux2_1 _24929_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12467_), + .X(_07362_) + ); + sky130_fd_sc_hd__mux2_1 _24930_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12467_), + .X(_07363_) + ); + sky130_fd_sc_hd__mux2_1 _24931_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12467_), + .X(_07364_) + ); + sky130_fd_sc_hd__mux2_1 _24932_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12467_), + .X(_07365_) + ); + sky130_fd_sc_hd__mux2_1 _24933_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12467_), + .X(_07366_) + ); + sky130_fd_sc_hd__mux2_1 _24934_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12467_), + .X(_07367_) + ); + sky130_fd_sc_hd__mux2_1 _24935_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12467_), + .X(_07368_) + ); + sky130_fd_sc_hd__mux2_1 _24936_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12467_), + .X(_07369_) + ); + sky130_fd_sc_hd__mux2_1 _24937_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12467_), + .X(_07370_) + ); + sky130_fd_sc_hd__mux2_1 _24938_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12467_), + .X(_07371_) + ); + sky130_fd_sc_hd__mux2_1 _24939_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12467_), + .X(_07372_) + ); + sky130_fd_sc_hd__mux2_1 _24940_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12467_), + .X(_07373_) + ); + sky130_fd_sc_hd__mux2_1 _24941_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12467_), + .X(_07374_) + ); + sky130_fd_sc_hd__nand2_2 _24942_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .B(_12463_), + .Y(_12468_) + ); + sky130_fd_sc_hd__mux2_1 _24943_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][0] ), + .S(_12468_), + .X(_07375_) + ); + sky130_fd_sc_hd__mux2_1 _24944_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][1] ), + .S(_12468_), + .X(_07376_) + ); + sky130_fd_sc_hd__mux2_1 _24945_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][2] ), + .S(_12468_), + .X(_07377_) + ); + sky130_fd_sc_hd__mux2_1 _24946_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][3] ), + .S(_12468_), + .X(_07378_) + ); + sky130_fd_sc_hd__mux2_1 _24947_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][4] ), + .S(_12468_), + .X(_07379_) + ); + sky130_fd_sc_hd__mux2_1 _24948_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][5] ), + .S(_12468_), + .X(_07380_) + ); + sky130_fd_sc_hd__mux2_1 _24949_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][6] ), + .S(_12468_), + .X(_07381_) + ); + sky130_fd_sc_hd__mux2_1 _24950_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][7] ), + .S(_12468_), + .X(_07382_) + ); + sky130_fd_sc_hd__mux2_1 _24951_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][8] ), + .S(_12468_), + .X(_07383_) + ); + sky130_fd_sc_hd__mux2_1 _24952_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][9] ), + .S(_12468_), + .X(_07384_) + ); + sky130_fd_sc_hd__mux2_1 _24953_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][10] ), + .S(_12468_), + .X(_07385_) + ); + sky130_fd_sc_hd__mux2_1 _24954_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][11] ), + .S(_12468_), + .X(_07386_) + ); + sky130_fd_sc_hd__mux2_1 _24955_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][12] ), + .S(_12468_), + .X(_07387_) + ); + sky130_fd_sc_hd__mux2_1 _24956_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][13] ), + .S(_12468_), + .X(_07388_) + ); + sky130_fd_sc_hd__mux2_1 _24957_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][14] ), + .S(_12468_), + .X(_07389_) + ); + sky130_fd_sc_hd__mux2_1 _24958_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][15] ), + .S(_12468_), + .X(_07390_) + ); + sky130_fd_sc_hd__mux2_1 _24959_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][16] ), + .S(_12468_), + .X(_07391_) + ); + sky130_fd_sc_hd__mux2_1 _24960_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][17] ), + .S(_12468_), + .X(_07392_) + ); + sky130_fd_sc_hd__mux2_1 _24961_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][18] ), + .S(_12468_), + .X(_07393_) + ); + sky130_fd_sc_hd__mux2_1 _24962_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][19] ), + .S(_12468_), + .X(_07394_) + ); + sky130_fd_sc_hd__mux2_1 _24963_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][20] ), + .S(_12468_), + .X(_07395_) + ); + sky130_fd_sc_hd__mux2_1 _24964_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][21] ), + .S(_12468_), + .X(_07396_) + ); + sky130_fd_sc_hd__mux2_1 _24965_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][22] ), + .S(_12468_), + .X(_07397_) + ); + sky130_fd_sc_hd__mux2_1 _24966_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][23] ), + .S(_12468_), + .X(_07398_) + ); + sky130_fd_sc_hd__mux2_1 _24967_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][24] ), + .S(_12468_), + .X(_07399_) + ); + sky130_fd_sc_hd__mux2_1 _24968_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][25] ), + .S(_12468_), + .X(_07400_) + ); + sky130_fd_sc_hd__mux2_1 _24969_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][26] ), + .S(_12468_), + .X(_07401_) + ); + sky130_fd_sc_hd__mux2_1 _24970_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][27] ), + .S(_12468_), + .X(_07402_) + ); + sky130_fd_sc_hd__mux2_1 _24971_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][28] ), + .S(_12468_), + .X(_07403_) + ); + sky130_fd_sc_hd__mux2_1 _24972_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][29] ), + .S(_12468_), + .X(_07404_) + ); + sky130_fd_sc_hd__mux2_1 _24973_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][30] ), + .S(_12468_), + .X(_07405_) + ); + sky130_fd_sc_hd__mux2_1 _24974_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][31] ), + .S(_12468_), + .X(_07406_) + ); + sky130_fd_sc_hd__and4_2 _24975_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12399_), + .D(_12408_), + .X(_12469_) + ); + sky130_fd_sc_hd__mux2_1 _24976_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][0] ), + .A1(_12352_), + .S(_12469_), + .X(_07407_) + ); + sky130_fd_sc_hd__mux2_1 _24977_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][1] ), + .A1(_12353_), + .S(_12469_), + .X(_07408_) + ); + sky130_fd_sc_hd__mux2_1 _24978_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][2] ), + .A1(_12354_), + .S(_12469_), + .X(_07409_) + ); + sky130_fd_sc_hd__mux2_1 _24979_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][3] ), + .A1(_12355_), + .S(_12469_), + .X(_07410_) + ); + sky130_fd_sc_hd__mux2_1 _24980_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][4] ), + .A1(_12356_), + .S(_12469_), + .X(_07411_) + ); + sky130_fd_sc_hd__mux2_1 _24981_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][5] ), + .A1(_12357_), + .S(_12469_), + .X(_07412_) + ); + sky130_fd_sc_hd__mux2_1 _24982_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][6] ), + .A1(_12358_), + .S(_12469_), + .X(_07413_) + ); + sky130_fd_sc_hd__mux2_1 _24983_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][7] ), + .A1(_12359_), + .S(_12469_), + .X(_07414_) + ); + sky130_fd_sc_hd__mux2_1 _24984_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][8] ), + .A1(_12360_), + .S(_12469_), + .X(_07415_) + ); + sky130_fd_sc_hd__mux2_1 _24985_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][9] ), + .A1(_12361_), + .S(_12469_), + .X(_07416_) + ); + sky130_fd_sc_hd__mux2_1 _24986_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][10] ), + .A1(_12362_), + .S(_12469_), + .X(_07417_) + ); + sky130_fd_sc_hd__mux2_1 _24987_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][11] ), + .A1(_12363_), + .S(_12469_), + .X(_07418_) + ); + sky130_fd_sc_hd__mux2_1 _24988_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][12] ), + .A1(_12364_), + .S(_12469_), + .X(_07419_) + ); + sky130_fd_sc_hd__mux2_1 _24989_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][13] ), + .A1(_12365_), + .S(_12469_), + .X(_07420_) + ); + sky130_fd_sc_hd__mux2_1 _24990_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][14] ), + .A1(_12366_), + .S(_12469_), + .X(_07421_) + ); + sky130_fd_sc_hd__mux2_1 _24991_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][15] ), + .A1(_12367_), + .S(_12469_), + .X(_07422_) + ); + sky130_fd_sc_hd__mux2_1 _24992_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][16] ), + .A1(_12368_), + .S(_12469_), + .X(_07423_) + ); + sky130_fd_sc_hd__mux2_1 _24993_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][17] ), + .A1(_12369_), + .S(_12469_), + .X(_07424_) + ); + sky130_fd_sc_hd__mux2_1 _24994_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][18] ), + .A1(_12370_), + .S(_12469_), + .X(_07425_) + ); + sky130_fd_sc_hd__mux2_1 _24995_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][19] ), + .A1(_12371_), + .S(_12469_), + .X(_07426_) + ); + sky130_fd_sc_hd__mux2_1 _24996_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][20] ), + .A1(_12372_), + .S(_12469_), + .X(_07427_) + ); + sky130_fd_sc_hd__mux2_1 _24997_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][21] ), + .A1(_12373_), + .S(_12469_), + .X(_07428_) + ); + sky130_fd_sc_hd__mux2_1 _24998_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][22] ), + .A1(_12374_), + .S(_12469_), + .X(_07429_) + ); + sky130_fd_sc_hd__mux2_1 _24999_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][23] ), + .A1(_12375_), + .S(_12469_), + .X(_07430_) + ); + sky130_fd_sc_hd__mux2_1 _25000_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][24] ), + .A1(_12376_), + .S(_12469_), + .X(_07431_) + ); + sky130_fd_sc_hd__mux2_1 _25001_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][25] ), + .A1(_12377_), + .S(_12469_), + .X(_07432_) + ); + sky130_fd_sc_hd__mux2_1 _25002_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][26] ), + .A1(_12378_), + .S(_12469_), + .X(_07433_) + ); + sky130_fd_sc_hd__mux2_1 _25003_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][27] ), + .A1(_12379_), + .S(_12469_), + .X(_07434_) + ); + sky130_fd_sc_hd__mux2_1 _25004_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][28] ), + .A1(_12380_), + .S(_12469_), + .X(_07435_) + ); + sky130_fd_sc_hd__mux2_1 _25005_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][29] ), + .A1(_12381_), + .S(_12469_), + .X(_07436_) + ); + sky130_fd_sc_hd__mux2_1 _25006_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][30] ), + .A1(_12382_), + .S(_12469_), + .X(_07437_) + ); + sky130_fd_sc_hd__mux2_1 _25007_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[28][31] ), + .A1(_12383_), + .S(_12469_), + .X(_07438_) + ); + sky130_fd_sc_hd__and4bb_2 _25008_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B_N(\soc.core.VexRiscv._zz_2 ), + .C(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .X(_12470_) + ); + sky130_fd_sc_hd__nand2_2 _25009_ ( + .A(_12406_), + .B(_12470_), + .Y(_12471_) + ); + sky130_fd_sc_hd__mux2_1 _25010_ ( + .A0(_12352_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][0] ), + .S(_12471_), + .X(_07439_) + ); + sky130_fd_sc_hd__mux2_1 _25011_ ( + .A0(_12353_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][1] ), + .S(_12471_), + .X(_07440_) + ); + sky130_fd_sc_hd__mux2_1 _25012_ ( + .A0(_12354_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][2] ), + .S(_12471_), + .X(_07441_) + ); + sky130_fd_sc_hd__mux2_1 _25013_ ( + .A0(_12355_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][3] ), + .S(_12471_), + .X(_07442_) + ); + sky130_fd_sc_hd__mux2_1 _25014_ ( + .A0(_12356_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][4] ), + .S(_12471_), + .X(_07443_) + ); + sky130_fd_sc_hd__mux2_1 _25015_ ( + .A0(_12357_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][5] ), + .S(_12471_), + .X(_07444_) + ); + sky130_fd_sc_hd__mux2_1 _25016_ ( + .A0(_12358_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][6] ), + .S(_12471_), + .X(_07445_) + ); + sky130_fd_sc_hd__mux2_1 _25017_ ( + .A0(_12359_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][7] ), + .S(_12471_), + .X(_07446_) + ); + sky130_fd_sc_hd__mux2_1 _25018_ ( + .A0(_12360_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][8] ), + .S(_12471_), + .X(_07447_) + ); + sky130_fd_sc_hd__mux2_1 _25019_ ( + .A0(_12361_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][9] ), + .S(_12471_), + .X(_07448_) + ); + sky130_fd_sc_hd__mux2_1 _25020_ ( + .A0(_12362_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][10] ), + .S(_12471_), + .X(_07449_) + ); + sky130_fd_sc_hd__mux2_1 _25021_ ( + .A0(_12363_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][11] ), + .S(_12471_), + .X(_07450_) + ); + sky130_fd_sc_hd__mux2_1 _25022_ ( + .A0(_12364_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][12] ), + .S(_12471_), + .X(_07451_) + ); + sky130_fd_sc_hd__mux2_1 _25023_ ( + .A0(_12365_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][13] ), + .S(_12471_), + .X(_07452_) + ); + sky130_fd_sc_hd__mux2_1 _25024_ ( + .A0(_12366_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][14] ), + .S(_12471_), + .X(_07453_) + ); + sky130_fd_sc_hd__mux2_1 _25025_ ( + .A0(_12367_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][15] ), + .S(_12471_), + .X(_07454_) + ); + sky130_fd_sc_hd__mux2_1 _25026_ ( + .A0(_12368_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][16] ), + .S(_12471_), + .X(_07455_) + ); + sky130_fd_sc_hd__mux2_1 _25027_ ( + .A0(_12369_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][17] ), + .S(_12471_), + .X(_07456_) + ); + sky130_fd_sc_hd__mux2_1 _25028_ ( + .A0(_12370_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][18] ), + .S(_12471_), + .X(_07457_) + ); + sky130_fd_sc_hd__mux2_1 _25029_ ( + .A0(_12371_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][19] ), + .S(_12471_), + .X(_07458_) + ); + sky130_fd_sc_hd__mux2_1 _25030_ ( + .A0(_12372_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][20] ), + .S(_12471_), + .X(_07459_) + ); + sky130_fd_sc_hd__mux2_1 _25031_ ( + .A0(_12373_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][21] ), + .S(_12471_), + .X(_07460_) + ); + sky130_fd_sc_hd__mux2_1 _25032_ ( + .A0(_12374_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][22] ), + .S(_12471_), + .X(_07461_) + ); + sky130_fd_sc_hd__mux2_1 _25033_ ( + .A0(_12375_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][23] ), + .S(_12471_), + .X(_07462_) + ); + sky130_fd_sc_hd__mux2_1 _25034_ ( + .A0(_12376_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][24] ), + .S(_12471_), + .X(_07463_) + ); + sky130_fd_sc_hd__mux2_1 _25035_ ( + .A0(_12377_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][25] ), + .S(_12471_), + .X(_07464_) + ); + sky130_fd_sc_hd__mux2_1 _25036_ ( + .A0(_12378_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][26] ), + .S(_12471_), + .X(_07465_) + ); + sky130_fd_sc_hd__mux2_1 _25037_ ( + .A0(_12379_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][27] ), + .S(_12471_), + .X(_07466_) + ); + sky130_fd_sc_hd__mux2_1 _25038_ ( + .A0(_12380_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][28] ), + .S(_12471_), + .X(_07467_) + ); + sky130_fd_sc_hd__mux2_1 _25039_ ( + .A0(_12381_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][29] ), + .S(_12471_), + .X(_07468_) + ); + sky130_fd_sc_hd__mux2_1 _25040_ ( + .A0(_12382_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][30] ), + .S(_12471_), + .X(_07469_) + ); + sky130_fd_sc_hd__mux2_1 _25041_ ( + .A0(_12383_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[27][31] ), + .S(_12471_), + .X(_07470_) + ); + sky130_fd_sc_hd__nand2_2 _25042_ ( + .A(_12349_), + .B(_12470_), + .Y(_12472_) + ); + sky130_fd_sc_hd__mux2_1 _25043_ ( + .A0(_12352_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][0] ), + .S(_12472_), + .X(_07471_) + ); + sky130_fd_sc_hd__mux2_1 _25044_ ( + .A0(_12353_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][1] ), + .S(_12472_), + .X(_07472_) + ); + sky130_fd_sc_hd__mux2_1 _25045_ ( + .A0(_12354_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][2] ), + .S(_12472_), + .X(_07473_) + ); + sky130_fd_sc_hd__mux2_1 _25046_ ( + .A0(_12355_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][3] ), + .S(_12472_), + .X(_07474_) + ); + sky130_fd_sc_hd__mux2_1 _25047_ ( + .A0(_12356_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][4] ), + .S(_12472_), + .X(_07475_) + ); + sky130_fd_sc_hd__mux2_1 _25048_ ( + .A0(_12357_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][5] ), + .S(_12472_), + .X(_07476_) + ); + sky130_fd_sc_hd__mux2_1 _25049_ ( + .A0(_12358_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][6] ), + .S(_12472_), + .X(_07477_) + ); + sky130_fd_sc_hd__mux2_1 _25050_ ( + .A0(_12359_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][7] ), + .S(_12472_), + .X(_07478_) + ); + sky130_fd_sc_hd__mux2_1 _25051_ ( + .A0(_12360_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][8] ), + .S(_12472_), + .X(_07479_) + ); + sky130_fd_sc_hd__mux2_1 _25052_ ( + .A0(_12361_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][9] ), + .S(_12472_), + .X(_07480_) + ); + sky130_fd_sc_hd__mux2_1 _25053_ ( + .A0(_12362_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][10] ), + .S(_12472_), + .X(_07481_) + ); + sky130_fd_sc_hd__mux2_1 _25054_ ( + .A0(_12363_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][11] ), + .S(_12472_), + .X(_07482_) + ); + sky130_fd_sc_hd__mux2_1 _25055_ ( + .A0(_12364_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][12] ), + .S(_12472_), + .X(_07483_) + ); + sky130_fd_sc_hd__mux2_1 _25056_ ( + .A0(_12365_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][13] ), + .S(_12472_), + .X(_07484_) + ); + sky130_fd_sc_hd__mux2_1 _25057_ ( + .A0(_12366_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][14] ), + .S(_12472_), + .X(_07485_) + ); + sky130_fd_sc_hd__mux2_1 _25058_ ( + .A0(_12367_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][15] ), + .S(_12472_), + .X(_07486_) + ); + sky130_fd_sc_hd__mux2_1 _25059_ ( + .A0(_12368_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][16] ), + .S(_12472_), + .X(_07487_) + ); + sky130_fd_sc_hd__mux2_1 _25060_ ( + .A0(_12369_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][17] ), + .S(_12472_), + .X(_07488_) + ); + sky130_fd_sc_hd__mux2_1 _25061_ ( + .A0(_12370_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][18] ), + .S(_12472_), + .X(_07489_) + ); + sky130_fd_sc_hd__mux2_1 _25062_ ( + .A0(_12371_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][19] ), + .S(_12472_), + .X(_07490_) + ); + sky130_fd_sc_hd__mux2_1 _25063_ ( + .A0(_12372_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][20] ), + .S(_12472_), + .X(_07491_) + ); + sky130_fd_sc_hd__mux2_1 _25064_ ( + .A0(_12373_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][21] ), + .S(_12472_), + .X(_07492_) + ); + sky130_fd_sc_hd__mux2_1 _25065_ ( + .A0(_12374_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][22] ), + .S(_12472_), + .X(_07493_) + ); + sky130_fd_sc_hd__mux2_1 _25066_ ( + .A0(_12375_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][23] ), + .S(_12472_), + .X(_07494_) + ); + sky130_fd_sc_hd__mux2_1 _25067_ ( + .A0(_12376_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][24] ), + .S(_12472_), + .X(_07495_) + ); + sky130_fd_sc_hd__mux2_1 _25068_ ( + .A0(_12377_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][25] ), + .S(_12472_), + .X(_07496_) + ); + sky130_fd_sc_hd__mux2_1 _25069_ ( + .A0(_12378_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][26] ), + .S(_12472_), + .X(_07497_) + ); + sky130_fd_sc_hd__mux2_1 _25070_ ( + .A0(_12379_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][27] ), + .S(_12472_), + .X(_07498_) + ); + sky130_fd_sc_hd__mux2_1 _25071_ ( + .A0(_12380_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][28] ), + .S(_12472_), + .X(_07499_) + ); + sky130_fd_sc_hd__mux2_1 _25072_ ( + .A0(_12381_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][29] ), + .S(_12472_), + .X(_07500_) + ); + sky130_fd_sc_hd__mux2_1 _25073_ ( + .A0(_12382_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][30] ), + .S(_12472_), + .X(_07501_) + ); + sky130_fd_sc_hd__mux2_1 _25074_ ( + .A0(_12383_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[26][31] ), + .S(_12472_), + .X(_07502_) + ); + sky130_fd_sc_hd__nand2_2 _25075_ ( + .A(_12402_), + .B(_12470_), + .Y(_12473_) + ); + sky130_fd_sc_hd__nand2_2 _25076_ ( + .A(_12473_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[25][0] ), + .Y(_12474_) + ); + sky130_fd_sc_hd__o21ai_2 _25077_ ( + .A1(_03967_), + .A2(_12473_), + .B1(_12474_), + .Y(_07503_) + ); + sky130_fd_sc_hd__nand2_2 _25078_ ( + .A(_12473_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[25][1] ), + .Y(_12475_) + ); + sky130_fd_sc_hd__o21ai_2 _25079_ ( + .A1(_03973_), + .A2(_12473_), + .B1(_12475_), + .Y(_07504_) + ); + sky130_fd_sc_hd__mux2_1 _25080_ ( + .A0(_04521_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][2] ), + .S(_12473_), + .X(_07505_) + ); + sky130_fd_sc_hd__mux2_1 _25081_ ( + .A0(_04522_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][3] ), + .S(_12473_), + .X(_07506_) + ); + sky130_fd_sc_hd__mux2_1 _25082_ ( + .A0(_04523_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][4] ), + .S(_12473_), + .X(_07507_) + ); + sky130_fd_sc_hd__mux2_1 _25083_ ( + .A0(_04524_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][5] ), + .S(_12473_), + .X(_07508_) + ); + sky130_fd_sc_hd__mux2_1 _25084_ ( + .A0(_04525_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][6] ), + .S(_12473_), + .X(_07509_) + ); + sky130_fd_sc_hd__mux2_1 _25085_ ( + .A0(_04526_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][7] ), + .S(_12473_), + .X(_07510_) + ); + sky130_fd_sc_hd__mux2_1 _25086_ ( + .A0(_04527_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][8] ), + .S(_12473_), + .X(_07511_) + ); + sky130_fd_sc_hd__mux2_1 _25087_ ( + .A0(_04528_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][9] ), + .S(_12473_), + .X(_07512_) + ); + sky130_fd_sc_hd__mux2_1 _25088_ ( + .A0(_04529_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][10] ), + .S(_12473_), + .X(_07513_) + ); + sky130_fd_sc_hd__mux2_1 _25089_ ( + .A0(_04530_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][11] ), + .S(_12473_), + .X(_07514_) + ); + sky130_fd_sc_hd__mux2_1 _25090_ ( + .A0(_04531_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][12] ), + .S(_12473_), + .X(_07515_) + ); + sky130_fd_sc_hd__mux2_1 _25091_ ( + .A0(_04532_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][13] ), + .S(_12473_), + .X(_07516_) + ); + sky130_fd_sc_hd__mux2_1 _25092_ ( + .A0(_04533_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][14] ), + .S(_12473_), + .X(_07517_) + ); + sky130_fd_sc_hd__mux2_1 _25093_ ( + .A0(_04534_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][15] ), + .S(_12473_), + .X(_07518_) + ); + sky130_fd_sc_hd__mux2_1 _25094_ ( + .A0(_04535_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][16] ), + .S(_12473_), + .X(_07519_) + ); + sky130_fd_sc_hd__mux2_1 _25095_ ( + .A0(_04536_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][17] ), + .S(_12473_), + .X(_07520_) + ); + sky130_fd_sc_hd__mux2_1 _25096_ ( + .A0(_04537_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][18] ), + .S(_12473_), + .X(_07521_) + ); + sky130_fd_sc_hd__mux2_1 _25097_ ( + .A0(_04538_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][19] ), + .S(_12473_), + .X(_07522_) + ); + sky130_fd_sc_hd__mux2_1 _25098_ ( + .A0(_04539_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][20] ), + .S(_12473_), + .X(_07523_) + ); + sky130_fd_sc_hd__mux2_1 _25099_ ( + .A0(_04540_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][21] ), + .S(_12473_), + .X(_07524_) + ); + sky130_fd_sc_hd__mux2_1 _25100_ ( + .A0(_04541_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][22] ), + .S(_12473_), + .X(_07525_) + ); + sky130_fd_sc_hd__mux2_1 _25101_ ( + .A0(_04542_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][23] ), + .S(_12473_), + .X(_07526_) + ); + sky130_fd_sc_hd__mux2_1 _25102_ ( + .A0(_04216_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][24] ), + .S(_12473_), + .X(_07527_) + ); + sky130_fd_sc_hd__mux2_1 _25103_ ( + .A0(_04218_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][25] ), + .S(_12473_), + .X(_07528_) + ); + sky130_fd_sc_hd__mux2_1 _25104_ ( + .A0(_04220_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][26] ), + .S(_12473_), + .X(_07529_) + ); + sky130_fd_sc_hd__mux2_1 _25105_ ( + .A0(_04222_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][27] ), + .S(_12473_), + .X(_07530_) + ); + sky130_fd_sc_hd__mux2_1 _25106_ ( + .A0(_04224_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][28] ), + .S(_12473_), + .X(_07531_) + ); + sky130_fd_sc_hd__mux2_1 _25107_ ( + .A0(_04226_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][29] ), + .S(_12473_), + .X(_07532_) + ); + sky130_fd_sc_hd__mux2_1 _25108_ ( + .A0(_04228_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][30] ), + .S(_12473_), + .X(_07533_) + ); + sky130_fd_sc_hd__mux2_1 _25109_ ( + .A0(_04230_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[25][31] ), + .S(_12473_), + .X(_07534_) + ); + sky130_fd_sc_hd__nand2_2 _25110_ ( + .A(_11355_), + .B(_05091_), + .Y(_12476_) + ); + sky130_fd_sc_hd__and3_2 _25111_ ( + .A(_05088_), + .B(_11355_), + .C(_05091_), + .X(_12477_) + ); + sky130_fd_sc_hd__a41o_2 _25112_ ( + .A1(_05088_), + .A2(_11355_), + .A3(_05091_), + .A4(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[2] ), + .B1(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_valid ), + .X(_07535_) + ); + sky130_fd_sc_hd__and3_2 _25113_ ( + .A(_11012_), + .B(\mgmt_buffers.mprj_adr_o_core[2] ), + .C(_04999_), + .X(_12478_) + ); + sky130_fd_sc_hd__nor4_2 _25114_ ( + .A(_05002_), + .B(_05006_), + .C(_11004_), + .D(_11011_), + .Y(_12479_) + ); + sky130_fd_sc_hd__and4_2 _25115_ ( + .A(_04999_), + .B(_11022_), + .C(_11024_), + .D(_12479_), + .X(_12480_) + ); + sky130_fd_sc_hd__and4_2 _25116_ ( + .A(_04957_), + .B(_11012_), + .C(_12391_), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_12481_) + ); + sky130_fd_sc_hd__nand4_2 _25117_ ( + .A(_04957_), + .B(_11012_), + .C(_12391_), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .Y(_12482_) + ); + sky130_fd_sc_hd__and3_2 _25118_ ( + .A(_11028_), + .B(\mgmt_buffers.mprj_adr_o_core[2] ), + .C(_04999_), + .X(_12483_) + ); + sky130_fd_sc_hd__and4_2 _25119_ ( + .A(_05009_), + .B(_05012_), + .C(_11019_), + .D(_12483_), + .X(_12484_) + ); + sky130_fd_sc_hd__nor2_2 _25120_ ( + .A(_05002_), + .B(_11029_), + .Y(_12485_) + ); + sky130_fd_sc_hd__and3_2 _25121_ ( + .A(_11028_), + .B(\mgmt_buffers.mprj_adr_o_core[5] ), + .C(\mgmt_buffers.mprj_adr_o_core[4] ), + .X(_12486_) + ); + sky130_fd_sc_hd__and3_2 _25122_ ( + .A(_04957_), + .B(_11028_), + .C(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_12487_) + ); + sky130_fd_sc_hd__and4_2 _25123_ ( + .A(_11002_), + .B(_11028_), + .C(_12391_), + .D(_12487_), + .X(_12488_) + ); + sky130_fd_sc_hd__and3_2 _25124_ ( + .A(_12484_), + .B(_12486_), + .C(_12488_), + .X(_12489_) + ); + sky130_fd_sc_hd__a41o_2 _25125_ ( + .A1(_11014_), + .A2(_12480_), + .A3(_12481_), + .A4(_12440_), + .B1(\soc.core.int_rst ), + .X(_12490_) + ); + sky130_fd_sc_hd__o21ba_2 _25126_ ( + .A1(\soc.core.la_out_storage[64] ), + .A2(_12489_), + .B1_N(_12490_), + .X(_07536_) + ); + sky130_fd_sc_hd__a41o_2 _25127_ ( + .A1(_11014_), + .A2(_12480_), + .A3(_12481_), + .A4(_12442_), + .B1(\soc.core.int_rst ), + .X(_12491_) + ); + sky130_fd_sc_hd__o21ba_2 _25128_ ( + .A1(\soc.core.la_out_storage[65] ), + .A2(_12489_), + .B1_N(_12491_), + .X(_07537_) + ); + sky130_fd_sc_hd__a41o_2 _25129_ ( + .A1(_11014_), + .A2(_12480_), + .A3(_12481_), + .A4(_12444_), + .B1(\soc.core.int_rst ), + .X(_12492_) + ); + sky130_fd_sc_hd__o21ba_2 _25130_ ( + .A1(\soc.core.la_out_storage[66] ), + .A2(_12489_), + .B1_N(_12492_), + .X(_07538_) + ); + sky130_fd_sc_hd__a41o_2 _25131_ ( + .A1(_11014_), + .A2(_12480_), + .A3(_12481_), + .A4(_12446_), + .B1(\soc.core.int_rst ), + .X(_12493_) + ); + sky130_fd_sc_hd__o21ba_2 _25132_ ( + .A1(\soc.core.la_out_storage[67] ), + .A2(_12489_), + .B1_N(_12493_), + .X(_07539_) + ); + sky130_fd_sc_hd__a41o_2 _25133_ ( + .A1(_11014_), + .A2(_12480_), + .A3(_12481_), + .A4(_12448_), + .B1(\soc.core.int_rst ), + .X(_12494_) + ); + sky130_fd_sc_hd__o21ba_2 _25134_ ( + .A1(\soc.core.la_out_storage[68] ), + .A2(_12489_), + .B1_N(_12494_), + .X(_07540_) + ); + sky130_fd_sc_hd__a41o_2 _25135_ ( + .A1(_11014_), + .A2(_12480_), + .A3(_12481_), + .A4(_12450_), + .B1(\soc.core.int_rst ), + .X(_12495_) + ); + sky130_fd_sc_hd__o21ba_2 _25136_ ( + .A1(\soc.core.la_out_storage[69] ), + .A2(_12489_), + .B1_N(_12495_), + .X(_07541_) + ); + sky130_fd_sc_hd__a41o_2 _25137_ ( + .A1(_11014_), + .A2(_12480_), + .A3(_12481_), + .A4(_12452_), + .B1(\soc.core.int_rst ), + .X(_12496_) + ); + sky130_fd_sc_hd__o21ba_2 _25138_ ( + .A1(\soc.core.la_out_storage[70] ), + .A2(_12489_), + .B1_N(_12496_), + .X(_07542_) + ); + sky130_fd_sc_hd__a41o_2 _25139_ ( + .A1(_11014_), + .A2(_12480_), + .A3(_12481_), + .A4(_12454_), + .B1(\soc.core.int_rst ), + .X(_12497_) + ); + sky130_fd_sc_hd__o21ba_2 _25140_ ( + .A1(\soc.core.la_out_storage[71] ), + .A2(_12489_), + .B1_N(_12497_), + .X(_07543_) + ); + sky130_fd_sc_hd__nand2b_2 _25141_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[8] ), + .Y(_12498_) + ); + sky130_fd_sc_hd__a41o_2 _25142_ ( + .A1(_12480_), + .A2(_12498_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12499_) + ); + sky130_fd_sc_hd__o21ba_2 _25143_ ( + .A1(\soc.core.la_out_storage[72] ), + .A2(_12489_), + .B1_N(_12499_), + .X(_07544_) + ); + sky130_fd_sc_hd__and2b_2 _25144_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[9] ), + .X(_12500_) + ); + sky130_fd_sc_hd__nand2b_2 _25145_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[9] ), + .Y(_12501_) + ); + sky130_fd_sc_hd__a41o_2 _25146_ ( + .A1(_12480_), + .A2(_12501_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12502_) + ); + sky130_fd_sc_hd__o21ba_2 _25147_ ( + .A1(\soc.core.la_out_storage[73] ), + .A2(_12489_), + .B1_N(_12502_), + .X(_07545_) + ); + sky130_fd_sc_hd__and2b_2 _25148_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[10] ), + .X(_12503_) + ); + sky130_fd_sc_hd__nand2b_2 _25149_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[10] ), + .Y(_12504_) + ); + sky130_fd_sc_hd__a41o_2 _25150_ ( + .A1(_12480_), + .A2(_12504_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12505_) + ); + sky130_fd_sc_hd__o21ba_2 _25151_ ( + .A1(\soc.core.la_out_storage[74] ), + .A2(_12489_), + .B1_N(_12505_), + .X(_07546_) + ); + sky130_fd_sc_hd__nand2b_2 _25152_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[11] ), + .Y(_12506_) + ); + sky130_fd_sc_hd__a41o_2 _25153_ ( + .A1(_12480_), + .A2(_12506_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12507_) + ); + sky130_fd_sc_hd__o21ba_2 _25154_ ( + .A1(\soc.core.la_out_storage[75] ), + .A2(_12489_), + .B1_N(_12507_), + .X(_07547_) + ); + sky130_fd_sc_hd__and2b_2 _25155_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[12] ), + .X(_12508_) + ); + sky130_fd_sc_hd__nand2b_2 _25156_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[12] ), + .Y(_12509_) + ); + sky130_fd_sc_hd__a41o_2 _25157_ ( + .A1(_12480_), + .A2(_12509_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12510_) + ); + sky130_fd_sc_hd__o21ba_2 _25158_ ( + .A1(\soc.core.la_out_storage[76] ), + .A2(_12489_), + .B1_N(_12510_), + .X(_07548_) + ); + sky130_fd_sc_hd__nand2b_2 _25159_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[13] ), + .Y(_12511_) + ); + sky130_fd_sc_hd__a41o_2 _25160_ ( + .A1(_12480_), + .A2(_12511_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12512_) + ); + sky130_fd_sc_hd__o21ba_2 _25161_ ( + .A1(\soc.core.la_out_storage[77] ), + .A2(_12489_), + .B1_N(_12512_), + .X(_07549_) + ); + sky130_fd_sc_hd__and2b_2 _25162_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[14] ), + .X(_12513_) + ); + sky130_fd_sc_hd__nand2b_2 _25163_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[14] ), + .Y(_12514_) + ); + sky130_fd_sc_hd__a41o_2 _25164_ ( + .A1(_12480_), + .A2(_12514_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12515_) + ); + sky130_fd_sc_hd__o21ba_2 _25165_ ( + .A1(\soc.core.la_out_storage[78] ), + .A2(_12489_), + .B1_N(_12515_), + .X(_07550_) + ); + sky130_fd_sc_hd__nand2b_2 _25166_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[15] ), + .Y(_12516_) + ); + sky130_fd_sc_hd__a41o_2 _25167_ ( + .A1(_12480_), + .A2(_12516_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12517_) + ); + sky130_fd_sc_hd__o21ba_2 _25168_ ( + .A1(\soc.core.la_out_storage[79] ), + .A2(_12489_), + .B1_N(_12517_), + .X(_07551_) + ); + sky130_fd_sc_hd__nand2b_2 _25169_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[16] ), + .Y(_12518_) + ); + sky130_fd_sc_hd__a41o_2 _25170_ ( + .A1(_12480_), + .A2(_12518_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12519_) + ); + sky130_fd_sc_hd__o21ba_2 _25171_ ( + .A1(\soc.core.la_out_storage[80] ), + .A2(_12489_), + .B1_N(_12519_), + .X(_07552_) + ); + sky130_fd_sc_hd__nand2b_2 _25172_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[17] ), + .Y(_12520_) + ); + sky130_fd_sc_hd__a41o_2 _25173_ ( + .A1(_12480_), + .A2(_12520_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12521_) + ); + sky130_fd_sc_hd__o21ba_2 _25174_ ( + .A1(\soc.core.la_out_storage[81] ), + .A2(_12489_), + .B1_N(_12521_), + .X(_07553_) + ); + sky130_fd_sc_hd__and2b_2 _25175_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[18] ), + .X(_12522_) + ); + sky130_fd_sc_hd__nand2b_2 _25176_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[18] ), + .Y(_12523_) + ); + sky130_fd_sc_hd__a41o_2 _25177_ ( + .A1(_12480_), + .A2(_12523_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12524_) + ); + sky130_fd_sc_hd__o21ba_2 _25178_ ( + .A1(\soc.core.la_out_storage[82] ), + .A2(_12489_), + .B1_N(_12524_), + .X(_07554_) + ); + sky130_fd_sc_hd__nand2b_2 _25179_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[19] ), + .Y(_12525_) + ); + sky130_fd_sc_hd__a41o_2 _25180_ ( + .A1(_12480_), + .A2(_12525_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12526_) + ); + sky130_fd_sc_hd__o21ba_2 _25181_ ( + .A1(\soc.core.la_out_storage[83] ), + .A2(_12489_), + .B1_N(_12526_), + .X(_07555_) + ); + sky130_fd_sc_hd__and2b_2 _25182_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[20] ), + .X(_12527_) + ); + sky130_fd_sc_hd__nand2b_2 _25183_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[20] ), + .Y(_12528_) + ); + sky130_fd_sc_hd__a41o_2 _25184_ ( + .A1(_12480_), + .A2(_12528_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12529_) + ); + sky130_fd_sc_hd__o21ba_2 _25185_ ( + .A1(\soc.core.la_out_storage[84] ), + .A2(_12489_), + .B1_N(_12529_), + .X(_07556_) + ); + sky130_fd_sc_hd__and2b_2 _25186_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[21] ), + .X(_12530_) + ); + sky130_fd_sc_hd__nand2b_2 _25187_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[21] ), + .Y(_12531_) + ); + sky130_fd_sc_hd__a41o_2 _25188_ ( + .A1(_12480_), + .A2(_12531_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12532_) + ); + sky130_fd_sc_hd__o21ba_2 _25189_ ( + .A1(\soc.core.la_out_storage[85] ), + .A2(_12489_), + .B1_N(_12532_), + .X(_07557_) + ); + sky130_fd_sc_hd__nand2b_2 _25190_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[22] ), + .Y(_12533_) + ); + sky130_fd_sc_hd__a41o_2 _25191_ ( + .A1(_12480_), + .A2(_12533_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12534_) + ); + sky130_fd_sc_hd__o21ba_2 _25192_ ( + .A1(\soc.core.la_out_storage[86] ), + .A2(_12489_), + .B1_N(_12534_), + .X(_07558_) + ); + sky130_fd_sc_hd__nand2b_2 _25193_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[23] ), + .Y(_12535_) + ); + sky130_fd_sc_hd__a41o_2 _25194_ ( + .A1(_12480_), + .A2(_12535_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12536_) + ); + sky130_fd_sc_hd__o21ba_2 _25195_ ( + .A1(\soc.core.la_out_storage[87] ), + .A2(_12489_), + .B1_N(_12536_), + .X(_07559_) + ); + sky130_fd_sc_hd__nand2b_2 _25196_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[24] ), + .Y(_12537_) + ); + sky130_fd_sc_hd__a41o_2 _25197_ ( + .A1(_12480_), + .A2(_12537_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12538_) + ); + sky130_fd_sc_hd__o21ba_2 _25198_ ( + .A1(\soc.core.la_out_storage[88] ), + .A2(_12489_), + .B1_N(_12538_), + .X(_07560_) + ); + sky130_fd_sc_hd__and2b_2 _25199_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[25] ), + .X(_12539_) + ); + sky130_fd_sc_hd__nand2b_2 _25200_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[25] ), + .Y(_12540_) + ); + sky130_fd_sc_hd__a41o_2 _25201_ ( + .A1(_12480_), + .A2(_12540_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12541_) + ); + sky130_fd_sc_hd__o21ba_2 _25202_ ( + .A1(\soc.core.la_out_storage[89] ), + .A2(_12489_), + .B1_N(_12541_), + .X(_07561_) + ); + sky130_fd_sc_hd__nand2b_2 _25203_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[26] ), + .Y(_12542_) + ); + sky130_fd_sc_hd__a41o_2 _25204_ ( + .A1(_12480_), + .A2(_12542_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12543_) + ); + sky130_fd_sc_hd__o21ba_2 _25205_ ( + .A1(\soc.core.la_out_storage[90] ), + .A2(_12489_), + .B1_N(_12543_), + .X(_07562_) + ); + sky130_fd_sc_hd__nand2b_2 _25206_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[27] ), + .Y(_12544_) + ); + sky130_fd_sc_hd__a41o_2 _25207_ ( + .A1(_12480_), + .A2(_12544_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12545_) + ); + sky130_fd_sc_hd__o21ba_2 _25208_ ( + .A1(\soc.core.la_out_storage[91] ), + .A2(_12489_), + .B1_N(_12545_), + .X(_07563_) + ); + sky130_fd_sc_hd__and2b_2 _25209_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[28] ), + .X(_12546_) + ); + sky130_fd_sc_hd__nand2b_2 _25210_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[28] ), + .Y(_12547_) + ); + sky130_fd_sc_hd__a41o_2 _25211_ ( + .A1(_12480_), + .A2(_12547_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12548_) + ); + sky130_fd_sc_hd__o21ba_2 _25212_ ( + .A1(\soc.core.la_out_storage[92] ), + .A2(_12489_), + .B1_N(_12548_), + .X(_07564_) + ); + sky130_fd_sc_hd__nand2b_2 _25213_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[29] ), + .Y(_12549_) + ); + sky130_fd_sc_hd__a41o_2 _25214_ ( + .A1(_12480_), + .A2(_12549_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12550_) + ); + sky130_fd_sc_hd__o21ba_2 _25215_ ( + .A1(\soc.core.la_out_storage[93] ), + .A2(_12489_), + .B1_N(_12550_), + .X(_07565_) + ); + sky130_fd_sc_hd__nand2b_2 _25216_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[30] ), + .Y(_12551_) + ); + sky130_fd_sc_hd__a41o_2 _25217_ ( + .A1(_12480_), + .A2(_12551_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12552_) + ); + sky130_fd_sc_hd__o21ba_2 _25218_ ( + .A1(\soc.core.la_out_storage[94] ), + .A2(_12489_), + .B1_N(_12552_), + .X(_07566_) + ); + sky130_fd_sc_hd__nand2b_2 _25219_ ( + .A_N(\soc.core.state ), + .B(\mgmt_buffers.mprj_dat_o_core[31] ), + .Y(_12553_) + ); + sky130_fd_sc_hd__a41o_2 _25220_ ( + .A1(_12480_), + .A2(_12553_), + .A3(_12481_), + .A4(_11014_), + .B1(\soc.core.int_rst ), + .X(_12554_) + ); + sky130_fd_sc_hd__o21ba_2 _25221_ ( + .A1(\soc.core.la_out_storage[95] ), + .A2(_12489_), + .B1_N(_12554_), + .X(_07567_) + ); + sky130_fd_sc_hd__nand2_2 _25222_ ( + .A(_04996_), + .B(_11022_), + .Y(_12555_) + ); + sky130_fd_sc_hd__nor3_2 _25223_ ( + .A(_12555_), + .B(_04999_), + .C(_11013_), + .Y(_12556_) + ); + sky130_fd_sc_hd__and4_2 _25224_ ( + .A(_12556_), + .B(\mgmt_buffers.mprj_adr_o_core[5] ), + .C(\mgmt_buffers.mprj_adr_o_core[4] ), + .D(_11012_), + .X(_12557_) + ); + sky130_fd_sc_hd__and3_2 _25225_ ( + .A(_11014_), + .B(_12481_), + .C(_12557_), + .X(_12558_) + ); + sky130_fd_sc_hd__and4_2 _25226_ ( + .A(_04996_), + .B(_11028_), + .C(\mgmt_buffers.mprj_adr_o_core[3] ), + .D(_11022_), + .X(_12559_) + ); + sky130_fd_sc_hd__and3_2 _25227_ ( + .A(_12559_), + .B(\mgmt_buffers.mprj_adr_o_core[5] ), + .C(_12485_), + .X(_12560_) + ); + sky130_fd_sc_hd__nand2_2 _25228_ ( + .A(_12558_), + .B(_12440_), + .Y(_12561_) + ); + sky130_fd_sc_hd__o211a_2 _25229_ ( + .A1(\soc.core.la_out_storage[32] ), + .A2(_12558_), + .B1(_12561_), + .C1(_10904_), + .X(_07568_) + ); + sky130_fd_sc_hd__a41o_2 _25230_ ( + .A1(_11014_), + .A2(_12557_), + .A3(_12442_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_12562_) + ); + sky130_fd_sc_hd__o21ba_2 _25231_ ( + .A1(\soc.core.la_out_storage[33] ), + .A2(_12558_), + .B1_N(_12562_), + .X(_07569_) + ); + sky130_fd_sc_hd__mux2_1 _25232_ ( + .A0(\soc.core.la_out_storage[34] ), + .A1(_12443_), + .S(_12558_), + .X(_12563_) + ); + sky130_fd_sc_hd__and2_4 _25233_ ( + .A(_10904_), + .B(_12563_), + .X(_07570_) + ); + sky130_fd_sc_hd__mux2_1 _25234_ ( + .A0(\soc.core.la_out_storage[35] ), + .A1(_12445_), + .S(_12558_), + .X(_12564_) + ); + sky130_fd_sc_hd__and2_4 _25235_ ( + .A(_10904_), + .B(_12564_), + .X(_07571_) + ); + sky130_fd_sc_hd__mux2_1 _25236_ ( + .A0(\soc.core.la_out_storage[36] ), + .A1(_12447_), + .S(_12558_), + .X(_12565_) + ); + sky130_fd_sc_hd__and2_4 _25237_ ( + .A(_10904_), + .B(_12565_), + .X(_07572_) + ); + sky130_fd_sc_hd__mux2_1 _25238_ ( + .A0(\soc.core.la_out_storage[37] ), + .A1(_12449_), + .S(_12558_), + .X(_12566_) + ); + sky130_fd_sc_hd__and2_4 _25239_ ( + .A(_10904_), + .B(_12566_), + .X(_07573_) + ); + sky130_fd_sc_hd__mux2_1 _25240_ ( + .A0(\soc.core.la_out_storage[38] ), + .A1(_12451_), + .S(_12558_), + .X(_12567_) + ); + sky130_fd_sc_hd__and2_4 _25241_ ( + .A(_10904_), + .B(_12567_), + .X(_07574_) + ); + sky130_fd_sc_hd__mux2_1 _25242_ ( + .A0(\soc.core.la_out_storage[39] ), + .A1(_12453_), + .S(_12558_), + .X(_12568_) + ); + sky130_fd_sc_hd__and2_4 _25243_ ( + .A(_10904_), + .B(_12568_), + .X(_07575_) + ); + sky130_fd_sc_hd__a21oi_2 _25244_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[40] ), + .Y(_12569_) + ); + sky130_fd_sc_hd__and4b_2 _25245_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12498_), + .X(_12570_) + ); + sky130_fd_sc_hd__a211oi_2 _25246_ ( + .A1(_12560_), + .A2(_12570_), + .B1(_12569_), + .C1(\soc.core.int_rst ), + .Y(_07576_) + ); + sky130_fd_sc_hd__a21oi_2 _25247_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[41] ), + .Y(_12571_) + ); + sky130_fd_sc_hd__and4b_2 _25248_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12501_), + .X(_12572_) + ); + sky130_fd_sc_hd__a211oi_2 _25249_ ( + .A1(_12560_), + .A2(_12572_), + .B1(_12571_), + .C1(\soc.core.int_rst ), + .Y(_07577_) + ); + sky130_fd_sc_hd__a21oi_2 _25250_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[42] ), + .Y(_12573_) + ); + sky130_fd_sc_hd__and4b_2 _25251_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12504_), + .X(_12574_) + ); + sky130_fd_sc_hd__a211oi_2 _25252_ ( + .A1(_12560_), + .A2(_12574_), + .B1(_12573_), + .C1(\soc.core.int_rst ), + .Y(_07578_) + ); + sky130_fd_sc_hd__a21oi_2 _25253_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[43] ), + .Y(_12575_) + ); + sky130_fd_sc_hd__and4b_2 _25254_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12506_), + .X(_12576_) + ); + sky130_fd_sc_hd__a211oi_2 _25255_ ( + .A1(_12560_), + .A2(_12576_), + .B1(_12575_), + .C1(\soc.core.int_rst ), + .Y(_07579_) + ); + sky130_fd_sc_hd__a21oi_2 _25256_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[44] ), + .Y(_12577_) + ); + sky130_fd_sc_hd__and4b_2 _25257_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12509_), + .X(_12578_) + ); + sky130_fd_sc_hd__a211oi_2 _25258_ ( + .A1(_12560_), + .A2(_12578_), + .B1(_12577_), + .C1(\soc.core.int_rst ), + .Y(_07580_) + ); + sky130_fd_sc_hd__a21oi_2 _25259_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[45] ), + .Y(_12579_) + ); + sky130_fd_sc_hd__and4b_2 _25260_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12511_), + .X(_12580_) + ); + sky130_fd_sc_hd__a211oi_2 _25261_ ( + .A1(_12560_), + .A2(_12580_), + .B1(_12579_), + .C1(\soc.core.int_rst ), + .Y(_07581_) + ); + sky130_fd_sc_hd__a21oi_2 _25262_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[46] ), + .Y(_12581_) + ); + sky130_fd_sc_hd__and4b_2 _25263_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12514_), + .X(_12582_) + ); + sky130_fd_sc_hd__a211oi_2 _25264_ ( + .A1(_12560_), + .A2(_12582_), + .B1(_12581_), + .C1(\soc.core.int_rst ), + .Y(_07582_) + ); + sky130_fd_sc_hd__a21oi_2 _25265_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[47] ), + .Y(_12583_) + ); + sky130_fd_sc_hd__and4b_2 _25266_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12516_), + .X(_12584_) + ); + sky130_fd_sc_hd__a211oi_2 _25267_ ( + .A1(_12560_), + .A2(_12584_), + .B1(_12583_), + .C1(\soc.core.int_rst ), + .Y(_07583_) + ); + sky130_fd_sc_hd__a21oi_2 _25268_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[48] ), + .Y(_12585_) + ); + sky130_fd_sc_hd__and4b_2 _25269_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12518_), + .X(_12586_) + ); + sky130_fd_sc_hd__a211oi_2 _25270_ ( + .A1(_12560_), + .A2(_12586_), + .B1(_12585_), + .C1(\soc.core.int_rst ), + .Y(_07584_) + ); + sky130_fd_sc_hd__a21oi_2 _25271_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[49] ), + .Y(_12587_) + ); + sky130_fd_sc_hd__and4b_2 _25272_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12520_), + .X(_12588_) + ); + sky130_fd_sc_hd__a211oi_2 _25273_ ( + .A1(_12560_), + .A2(_12588_), + .B1(_12587_), + .C1(\soc.core.int_rst ), + .Y(_07585_) + ); + sky130_fd_sc_hd__a21oi_2 _25274_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[50] ), + .Y(_12589_) + ); + sky130_fd_sc_hd__and4b_2 _25275_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12523_), + .X(_12590_) + ); + sky130_fd_sc_hd__a211oi_2 _25276_ ( + .A1(_12560_), + .A2(_12590_), + .B1(_12589_), + .C1(\soc.core.int_rst ), + .Y(_07586_) + ); + sky130_fd_sc_hd__a21oi_2 _25277_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[51] ), + .Y(_12591_) + ); + sky130_fd_sc_hd__and4b_2 _25278_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12525_), + .X(_12592_) + ); + sky130_fd_sc_hd__a211oi_2 _25279_ ( + .A1(_12560_), + .A2(_12592_), + .B1(_12591_), + .C1(\soc.core.int_rst ), + .Y(_07587_) + ); + sky130_fd_sc_hd__a21oi_2 _25280_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[52] ), + .Y(_12593_) + ); + sky130_fd_sc_hd__and4b_2 _25281_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12528_), + .X(_12594_) + ); + sky130_fd_sc_hd__a211oi_2 _25282_ ( + .A1(_12560_), + .A2(_12594_), + .B1(_12593_), + .C1(\soc.core.int_rst ), + .Y(_07588_) + ); + sky130_fd_sc_hd__a21oi_2 _25283_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[53] ), + .Y(_12595_) + ); + sky130_fd_sc_hd__and4b_2 _25284_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12531_), + .X(_12596_) + ); + sky130_fd_sc_hd__a211oi_2 _25285_ ( + .A1(_12560_), + .A2(_12596_), + .B1(_12595_), + .C1(\soc.core.int_rst ), + .Y(_07589_) + ); + sky130_fd_sc_hd__a21oi_2 _25286_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[54] ), + .Y(_12597_) + ); + sky130_fd_sc_hd__and4b_2 _25287_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12533_), + .X(_12598_) + ); + sky130_fd_sc_hd__a211oi_2 _25288_ ( + .A1(_12560_), + .A2(_12598_), + .B1(_12597_), + .C1(\soc.core.int_rst ), + .Y(_07590_) + ); + sky130_fd_sc_hd__a21oi_2 _25289_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[55] ), + .Y(_12599_) + ); + sky130_fd_sc_hd__and4b_2 _25290_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12535_), + .X(_12600_) + ); + sky130_fd_sc_hd__a211oi_2 _25291_ ( + .A1(_12560_), + .A2(_12600_), + .B1(_12599_), + .C1(\soc.core.int_rst ), + .Y(_07591_) + ); + sky130_fd_sc_hd__a21oi_2 _25292_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[56] ), + .Y(_12601_) + ); + sky130_fd_sc_hd__and4b_2 _25293_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12537_), + .X(_12602_) + ); + sky130_fd_sc_hd__a211oi_2 _25294_ ( + .A1(_12560_), + .A2(_12602_), + .B1(_12601_), + .C1(\soc.core.int_rst ), + .Y(_07592_) + ); + sky130_fd_sc_hd__a21oi_2 _25295_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[57] ), + .Y(_12603_) + ); + sky130_fd_sc_hd__and4b_2 _25296_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12540_), + .X(_12604_) + ); + sky130_fd_sc_hd__a211oi_2 _25297_ ( + .A1(_12560_), + .A2(_12604_), + .B1(_12603_), + .C1(\soc.core.int_rst ), + .Y(_07593_) + ); + sky130_fd_sc_hd__a21oi_2 _25298_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[58] ), + .Y(_12605_) + ); + sky130_fd_sc_hd__and4b_2 _25299_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12542_), + .X(_12606_) + ); + sky130_fd_sc_hd__a211oi_2 _25300_ ( + .A1(_12560_), + .A2(_12606_), + .B1(_12605_), + .C1(\soc.core.int_rst ), + .Y(_07594_) + ); + sky130_fd_sc_hd__a21oi_2 _25301_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[59] ), + .Y(_12607_) + ); + sky130_fd_sc_hd__and4b_2 _25302_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12544_), + .X(_12608_) + ); + sky130_fd_sc_hd__a211oi_2 _25303_ ( + .A1(_12560_), + .A2(_12608_), + .B1(_12607_), + .C1(\soc.core.int_rst ), + .Y(_07595_) + ); + sky130_fd_sc_hd__a21oi_2 _25304_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[60] ), + .Y(_12609_) + ); + sky130_fd_sc_hd__and4b_2 _25305_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12547_), + .X(_12610_) + ); + sky130_fd_sc_hd__a211oi_2 _25306_ ( + .A1(_12560_), + .A2(_12610_), + .B1(_12609_), + .C1(\soc.core.int_rst ), + .Y(_07596_) + ); + sky130_fd_sc_hd__a21oi_2 _25307_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[61] ), + .Y(_12611_) + ); + sky130_fd_sc_hd__and4b_2 _25308_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12549_), + .X(_12612_) + ); + sky130_fd_sc_hd__a211oi_2 _25309_ ( + .A1(_12560_), + .A2(_12612_), + .B1(_12611_), + .C1(\soc.core.int_rst ), + .Y(_07597_) + ); + sky130_fd_sc_hd__a21oi_2 _25310_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[62] ), + .Y(_12613_) + ); + sky130_fd_sc_hd__and4b_2 _25311_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12551_), + .X(_12614_) + ); + sky130_fd_sc_hd__a211oi_2 _25312_ ( + .A1(_12560_), + .A2(_12614_), + .B1(_12613_), + .C1(\soc.core.int_rst ), + .Y(_07598_) + ); + sky130_fd_sc_hd__a21oi_2 _25313_ ( + .A1(_12488_), + .A2(_12560_), + .B1(\soc.core.la_out_storage[63] ), + .Y(_12615_) + ); + sky130_fd_sc_hd__and4b_2 _25314_ ( + .A_N(_11033_), + .B(_12391_), + .C(_12487_), + .D(_12553_), + .X(_12616_) + ); + sky130_fd_sc_hd__a211oi_2 _25315_ ( + .A1(_12560_), + .A2(_12616_), + .B1(_12615_), + .C1(\soc.core.int_rst ), + .Y(_07599_) + ); + sky130_fd_sc_hd__and3_2 _25316_ ( + .A(_11022_), + .B(_11025_), + .C(_12479_), + .X(_12617_) + ); + sky130_fd_sc_hd__and3_2 _25317_ ( + .A(_11014_), + .B(_12481_), + .C(_12617_), + .X(_12618_) + ); + sky130_fd_sc_hd__and3_2 _25318_ ( + .A(_11022_), + .B(_11030_), + .C(_12486_), + .X(_12619_) + ); + sky130_fd_sc_hd__nand2_2 _25319_ ( + .A(_12618_), + .B(_12440_), + .Y(_12620_) + ); + sky130_fd_sc_hd__o211a_2 _25320_ ( + .A1(\soc.core.la_out_storage[0] ), + .A2(_12618_), + .B1(_12620_), + .C1(_10904_), + .X(_07600_) + ); + sky130_fd_sc_hd__nand2_2 _25321_ ( + .A(_12618_), + .B(_12442_), + .Y(_12621_) + ); + sky130_fd_sc_hd__o211a_2 _25322_ ( + .A1(\soc.core.la_out_storage[1] ), + .A2(_12618_), + .B1(_12621_), + .C1(_10904_), + .X(_07601_) + ); + sky130_fd_sc_hd__nand2_2 _25323_ ( + .A(_12618_), + .B(_12444_), + .Y(_12622_) + ); + sky130_fd_sc_hd__o211a_2 _25324_ ( + .A1(\soc.core.la_out_storage[2] ), + .A2(_12618_), + .B1(_12622_), + .C1(_10904_), + .X(_07602_) + ); + sky130_fd_sc_hd__nand2_2 _25325_ ( + .A(_12618_), + .B(_12446_), + .Y(_12623_) + ); + sky130_fd_sc_hd__o211a_2 _25326_ ( + .A1(\soc.core.la_out_storage[3] ), + .A2(_12618_), + .B1(_12623_), + .C1(_10904_), + .X(_07603_) + ); + sky130_fd_sc_hd__nand2_2 _25327_ ( + .A(_12618_), + .B(_12448_), + .Y(_12624_) + ); + sky130_fd_sc_hd__o211a_2 _25328_ ( + .A1(\soc.core.la_out_storage[4] ), + .A2(_12618_), + .B1(_12624_), + .C1(_10904_), + .X(_07604_) + ); + sky130_fd_sc_hd__nand2_2 _25329_ ( + .A(_12618_), + .B(_12450_), + .Y(_12625_) + ); + sky130_fd_sc_hd__o211a_2 _25330_ ( + .A1(\soc.core.la_out_storage[5] ), + .A2(_12618_), + .B1(_12625_), + .C1(_10904_), + .X(_07605_) + ); + sky130_fd_sc_hd__mux2_1 _25331_ ( + .A0(\soc.core.la_out_storage[6] ), + .A1(_12451_), + .S(_12618_), + .X(_12626_) + ); + sky130_fd_sc_hd__and2_4 _25332_ ( + .A(_10904_), + .B(_12626_), + .X(_07606_) + ); + sky130_fd_sc_hd__nand2_2 _25333_ ( + .A(_12618_), + .B(_12454_), + .Y(_12627_) + ); + sky130_fd_sc_hd__o211a_2 _25334_ ( + .A1(\soc.core.la_out_storage[7] ), + .A2(_12618_), + .B1(_12627_), + .C1(_10904_), + .X(_07607_) + ); + sky130_fd_sc_hd__nand2_2 _25335_ ( + .A(_12618_), + .B(_12498_), + .Y(_12628_) + ); + sky130_fd_sc_hd__o211a_2 _25336_ ( + .A1(\soc.core.la_out_storage[8] ), + .A2(_12618_), + .B1(_12628_), + .C1(_10904_), + .X(_07608_) + ); + sky130_fd_sc_hd__nand2_2 _25337_ ( + .A(_12618_), + .B(_12501_), + .Y(_12629_) + ); + sky130_fd_sc_hd__o211a_2 _25338_ ( + .A1(\soc.core.la_out_storage[9] ), + .A2(_12618_), + .B1(_12629_), + .C1(_10904_), + .X(_07609_) + ); + sky130_fd_sc_hd__a21oi_2 _25339_ ( + .A1(_12488_), + .A2(_12619_), + .B1(\soc.core.la_out_storage[10] ), + .Y(_12630_) + ); + sky130_fd_sc_hd__a211oi_2 _25340_ ( + .A1(_12574_), + .A2(_12619_), + .B1(_12630_), + .C1(\soc.core.int_rst ), + .Y(_07610_) + ); + sky130_fd_sc_hd__nand2_2 _25341_ ( + .A(_12618_), + .B(_12506_), + .Y(_12631_) + ); + sky130_fd_sc_hd__o211a_2 _25342_ ( + .A1(\soc.core.la_out_storage[11] ), + .A2(_12618_), + .B1(_12631_), + .C1(_10904_), + .X(_07611_) + ); + sky130_fd_sc_hd__a21oi_2 _25343_ ( + .A1(_12488_), + .A2(_12619_), + .B1(\soc.core.la_out_storage[12] ), + .Y(_12632_) + ); + sky130_fd_sc_hd__a211oi_2 _25344_ ( + .A1(_12578_), + .A2(_12619_), + .B1(_12632_), + .C1(\soc.core.int_rst ), + .Y(_07612_) + ); + sky130_fd_sc_hd__nand2_2 _25345_ ( + .A(_12618_), + .B(_12511_), + .Y(_12633_) + ); + sky130_fd_sc_hd__o211a_2 _25346_ ( + .A1(\soc.core.la_out_storage[13] ), + .A2(_12618_), + .B1(_12633_), + .C1(_10904_), + .X(_07613_) + ); + sky130_fd_sc_hd__nand2_2 _25347_ ( + .A(_12618_), + .B(_12514_), + .Y(_12634_) + ); + sky130_fd_sc_hd__o211a_2 _25348_ ( + .A1(\soc.core.la_out_storage[14] ), + .A2(_12618_), + .B1(_12634_), + .C1(_10904_), + .X(_07614_) + ); + sky130_fd_sc_hd__a21oi_2 _25349_ ( + .A1(_12488_), + .A2(_12619_), + .B1(\soc.core.la_out_storage[15] ), + .Y(_12635_) + ); + sky130_fd_sc_hd__a211oi_2 _25350_ ( + .A1(_12584_), + .A2(_12619_), + .B1(_12635_), + .C1(\soc.core.int_rst ), + .Y(_07615_) + ); + sky130_fd_sc_hd__nand2_2 _25351_ ( + .A(_12618_), + .B(_12518_), + .Y(_12636_) + ); + sky130_fd_sc_hd__o211a_2 _25352_ ( + .A1(\soc.core.la_out_storage[16] ), + .A2(_12618_), + .B1(_12636_), + .C1(_10904_), + .X(_07616_) + ); + sky130_fd_sc_hd__a21oi_2 _25353_ ( + .A1(_12488_), + .A2(_12619_), + .B1(\soc.core.la_out_storage[17] ), + .Y(_12637_) + ); + sky130_fd_sc_hd__a211oi_2 _25354_ ( + .A1(_12588_), + .A2(_12619_), + .B1(_12637_), + .C1(\soc.core.int_rst ), + .Y(_07617_) + ); + sky130_fd_sc_hd__a21oi_2 _25355_ ( + .A1(_12488_), + .A2(_12619_), + .B1(\soc.core.la_out_storage[18] ), + .Y(_12638_) + ); + sky130_fd_sc_hd__a211oi_2 _25356_ ( + .A1(_12590_), + .A2(_12619_), + .B1(_12638_), + .C1(\soc.core.int_rst ), + .Y(_07618_) + ); + sky130_fd_sc_hd__a21oi_2 _25357_ ( + .A1(_12488_), + .A2(_12619_), + .B1(\soc.core.la_out_storage[19] ), + .Y(_12639_) + ); + sky130_fd_sc_hd__a211oi_2 _25358_ ( + .A1(_12592_), + .A2(_12619_), + .B1(_12639_), + .C1(\soc.core.int_rst ), + .Y(_07619_) + ); + sky130_fd_sc_hd__nand2_2 _25359_ ( + .A(_12618_), + .B(_12528_), + .Y(_12640_) + ); + sky130_fd_sc_hd__o211a_2 _25360_ ( + .A1(\soc.core.la_out_storage[20] ), + .A2(_12618_), + .B1(_12640_), + .C1(_10904_), + .X(_07620_) + ); + sky130_fd_sc_hd__nand2_2 _25361_ ( + .A(_12618_), + .B(_12531_), + .Y(_12641_) + ); + sky130_fd_sc_hd__o211a_2 _25362_ ( + .A1(\soc.core.la_out_storage[21] ), + .A2(_12618_), + .B1(_12641_), + .C1(_10904_), + .X(_07621_) + ); + sky130_fd_sc_hd__nand2_2 _25363_ ( + .A(_12618_), + .B(_12533_), + .Y(_12642_) + ); + sky130_fd_sc_hd__o211a_2 _25364_ ( + .A1(\soc.core.la_out_storage[22] ), + .A2(_12618_), + .B1(_12642_), + .C1(_10904_), + .X(_07622_) + ); + sky130_fd_sc_hd__a21oi_2 _25365_ ( + .A1(_12488_), + .A2(_12619_), + .B1(\soc.core.la_out_storage[23] ), + .Y(_12643_) + ); + sky130_fd_sc_hd__a211oi_2 _25366_ ( + .A1(_12600_), + .A2(_12619_), + .B1(_12643_), + .C1(\soc.core.int_rst ), + .Y(_07623_) + ); + sky130_fd_sc_hd__nand2_2 _25367_ ( + .A(_12618_), + .B(_12537_), + .Y(_12644_) + ); + sky130_fd_sc_hd__o211a_2 _25368_ ( + .A1(\soc.core.la_out_storage[24] ), + .A2(_12618_), + .B1(_12644_), + .C1(_10904_), + .X(_07624_) + ); + sky130_fd_sc_hd__nand2_2 _25369_ ( + .A(_12618_), + .B(_12540_), + .Y(_12645_) + ); + sky130_fd_sc_hd__o211a_2 _25370_ ( + .A1(\soc.core.la_out_storage[25] ), + .A2(_12618_), + .B1(_12645_), + .C1(_10904_), + .X(_07625_) + ); + sky130_fd_sc_hd__nand2_2 _25371_ ( + .A(_12618_), + .B(_12542_), + .Y(_12646_) + ); + sky130_fd_sc_hd__o211a_2 _25372_ ( + .A1(\soc.core.la_out_storage[26] ), + .A2(_12618_), + .B1(_12646_), + .C1(_10904_), + .X(_07626_) + ); + sky130_fd_sc_hd__a21oi_2 _25373_ ( + .A1(_12488_), + .A2(_12619_), + .B1(\soc.core.la_out_storage[27] ), + .Y(_12647_) + ); + sky130_fd_sc_hd__a211oi_2 _25374_ ( + .A1(_12608_), + .A2(_12619_), + .B1(_12647_), + .C1(\soc.core.int_rst ), + .Y(_07627_) + ); + sky130_fd_sc_hd__nand2_2 _25375_ ( + .A(_12618_), + .B(_12547_), + .Y(_12648_) + ); + sky130_fd_sc_hd__o211a_2 _25376_ ( + .A1(\soc.core.la_out_storage[28] ), + .A2(_12618_), + .B1(_12648_), + .C1(_10904_), + .X(_07628_) + ); + sky130_fd_sc_hd__nand2_2 _25377_ ( + .A(_12618_), + .B(_12549_), + .Y(_12649_) + ); + sky130_fd_sc_hd__o211a_2 _25378_ ( + .A1(\soc.core.la_out_storage[29] ), + .A2(_12618_), + .B1(_12649_), + .C1(_10904_), + .X(_07629_) + ); + sky130_fd_sc_hd__nand2_2 _25379_ ( + .A(_12618_), + .B(_12551_), + .Y(_12650_) + ); + sky130_fd_sc_hd__o211a_2 _25380_ ( + .A1(\soc.core.la_out_storage[30] ), + .A2(_12618_), + .B1(_12650_), + .C1(_10904_), + .X(_07630_) + ); + sky130_fd_sc_hd__nand2_2 _25381_ ( + .A(_12618_), + .B(_12553_), + .Y(_12651_) + ); + sky130_fd_sc_hd__o211a_2 _25382_ ( + .A1(\soc.core.la_out_storage[31] ), + .A2(_12618_), + .B1(_12651_), + .C1(_10904_), + .X(_07631_) + ); + sky130_fd_sc_hd__nor4_2 _25383_ ( + .A(_05002_), + .B(\mgmt_buffers.mprj_adr_o_core[5] ), + .C(_11004_), + .D(_11011_), + .Y(_12652_) + ); + sky130_fd_sc_hd__and3_2 _25384_ ( + .A(_11022_), + .B(_12478_), + .C(_12652_), + .X(_12653_) + ); + sky130_fd_sc_hd__and3_2 _25385_ ( + .A(_11002_), + .B(_11012_), + .C(_12653_), + .X(_12654_) + ); + sky130_fd_sc_hd__and3_2 _25386_ ( + .A(_11014_), + .B(_12481_), + .C(_12653_), + .X(_12655_) + ); + sky130_fd_sc_hd__nand2_2 _25387_ ( + .A(_12655_), + .B(_12440_), + .Y(_12656_) + ); + sky130_fd_sc_hd__o211a_2 _25388_ ( + .A1(\soc.core.la_oe_storage[64] ), + .A2(_12655_), + .B1(_12656_), + .C1(_10904_), + .X(_07632_) + ); + sky130_fd_sc_hd__nand2_2 _25389_ ( + .A(_12655_), + .B(_12442_), + .Y(_12657_) + ); + sky130_fd_sc_hd__o211a_2 _25390_ ( + .A1(\soc.core.la_oe_storage[65] ), + .A2(_12655_), + .B1(_12657_), + .C1(_10904_), + .X(_07633_) + ); + sky130_fd_sc_hd__nand2_2 _25391_ ( + .A(_12655_), + .B(_12444_), + .Y(_12658_) + ); + sky130_fd_sc_hd__o211a_2 _25392_ ( + .A1(\soc.core.la_oe_storage[66] ), + .A2(_12655_), + .B1(_12658_), + .C1(_10904_), + .X(_07634_) + ); + sky130_fd_sc_hd__nand2_2 _25393_ ( + .A(_12655_), + .B(_12446_), + .Y(_12659_) + ); + sky130_fd_sc_hd__o211a_2 _25394_ ( + .A1(\soc.core.la_oe_storage[67] ), + .A2(_12655_), + .B1(_12659_), + .C1(_10904_), + .X(_07635_) + ); + sky130_fd_sc_hd__nand2_2 _25395_ ( + .A(_12655_), + .B(_12448_), + .Y(_12660_) + ); + sky130_fd_sc_hd__o211a_2 _25396_ ( + .A1(\soc.core.la_oe_storage[68] ), + .A2(_12655_), + .B1(_12660_), + .C1(_10904_), + .X(_07636_) + ); + sky130_fd_sc_hd__nand2_2 _25397_ ( + .A(_12655_), + .B(_12450_), + .Y(_12661_) + ); + sky130_fd_sc_hd__o211a_2 _25398_ ( + .A1(\soc.core.la_oe_storage[69] ), + .A2(_12655_), + .B1(_12661_), + .C1(_10904_), + .X(_07637_) + ); + sky130_fd_sc_hd__nand2_2 _25399_ ( + .A(_12655_), + .B(_12452_), + .Y(_12662_) + ); + sky130_fd_sc_hd__o211a_2 _25400_ ( + .A1(\soc.core.la_oe_storage[70] ), + .A2(_12655_), + .B1(_12662_), + .C1(_10904_), + .X(_07638_) + ); + sky130_fd_sc_hd__nand2_2 _25401_ ( + .A(_12655_), + .B(_12454_), + .Y(_12663_) + ); + sky130_fd_sc_hd__o211a_2 _25402_ ( + .A1(\soc.core.la_oe_storage[71] ), + .A2(_12655_), + .B1(_12663_), + .C1(_10904_), + .X(_07639_) + ); + sky130_fd_sc_hd__nand2_2 _25403_ ( + .A(_12655_), + .B(_12498_), + .Y(_12664_) + ); + sky130_fd_sc_hd__o211a_2 _25404_ ( + .A1(\soc.core.la_oe_storage[72] ), + .A2(_12655_), + .B1(_12664_), + .C1(_10904_), + .X(_07640_) + ); + sky130_fd_sc_hd__nand2_2 _25405_ ( + .A(_12655_), + .B(_12501_), + .Y(_12665_) + ); + sky130_fd_sc_hd__o211a_2 _25406_ ( + .A1(\soc.core.la_oe_storage[73] ), + .A2(_12655_), + .B1(_12665_), + .C1(_10904_), + .X(_07641_) + ); + sky130_fd_sc_hd__nand2_2 _25407_ ( + .A(_12655_), + .B(_12504_), + .Y(_12666_) + ); + sky130_fd_sc_hd__o211a_2 _25408_ ( + .A1(\soc.core.la_oe_storage[74] ), + .A2(_12655_), + .B1(_12666_), + .C1(_10904_), + .X(_07642_) + ); + sky130_fd_sc_hd__nand2_2 _25409_ ( + .A(_12655_), + .B(_12506_), + .Y(_12667_) + ); + sky130_fd_sc_hd__o211a_2 _25410_ ( + .A1(\soc.core.la_oe_storage[75] ), + .A2(_12655_), + .B1(_12667_), + .C1(_10904_), + .X(_07643_) + ); + sky130_fd_sc_hd__nand2_2 _25411_ ( + .A(_12655_), + .B(_12509_), + .Y(_12668_) + ); + sky130_fd_sc_hd__o211a_2 _25412_ ( + .A1(\soc.core.la_oe_storage[76] ), + .A2(_12655_), + .B1(_12668_), + .C1(_10904_), + .X(_07644_) + ); + sky130_fd_sc_hd__nand2_2 _25413_ ( + .A(_12655_), + .B(_12511_), + .Y(_12669_) + ); + sky130_fd_sc_hd__o211a_2 _25414_ ( + .A1(\soc.core.la_oe_storage[77] ), + .A2(_12655_), + .B1(_12669_), + .C1(_10904_), + .X(_07645_) + ); + sky130_fd_sc_hd__nand2_2 _25415_ ( + .A(_12655_), + .B(_12514_), + .Y(_12670_) + ); + sky130_fd_sc_hd__o211a_2 _25416_ ( + .A1(\soc.core.la_oe_storage[78] ), + .A2(_12655_), + .B1(_12670_), + .C1(_10904_), + .X(_07646_) + ); + sky130_fd_sc_hd__nand2_2 _25417_ ( + .A(_12655_), + .B(_12516_), + .Y(_12671_) + ); + sky130_fd_sc_hd__o211a_2 _25418_ ( + .A1(\soc.core.la_oe_storage[79] ), + .A2(_12655_), + .B1(_12671_), + .C1(_10904_), + .X(_07647_) + ); + sky130_fd_sc_hd__nand2_2 _25419_ ( + .A(_12655_), + .B(_12518_), + .Y(_12672_) + ); + sky130_fd_sc_hd__o211a_2 _25420_ ( + .A1(\soc.core.la_oe_storage[80] ), + .A2(_12655_), + .B1(_12672_), + .C1(_10904_), + .X(_07648_) + ); + sky130_fd_sc_hd__nand2_2 _25421_ ( + .A(_12655_), + .B(_12520_), + .Y(_12673_) + ); + sky130_fd_sc_hd__o211a_2 _25422_ ( + .A1(\soc.core.la_oe_storage[81] ), + .A2(_12655_), + .B1(_12673_), + .C1(_10904_), + .X(_07649_) + ); + sky130_fd_sc_hd__nand2_2 _25423_ ( + .A(_12655_), + .B(_12523_), + .Y(_12674_) + ); + sky130_fd_sc_hd__o211a_2 _25424_ ( + .A1(\soc.core.la_oe_storage[82] ), + .A2(_12655_), + .B1(_12674_), + .C1(_10904_), + .X(_07650_) + ); + sky130_fd_sc_hd__nand2_2 _25425_ ( + .A(_12655_), + .B(_12525_), + .Y(_12675_) + ); + sky130_fd_sc_hd__o211a_2 _25426_ ( + .A1(\soc.core.la_oe_storage[83] ), + .A2(_12655_), + .B1(_12675_), + .C1(_10904_), + .X(_07651_) + ); + sky130_fd_sc_hd__nand2_2 _25427_ ( + .A(_12655_), + .B(_12528_), + .Y(_12676_) + ); + sky130_fd_sc_hd__o211a_2 _25428_ ( + .A1(\soc.core.la_oe_storage[84] ), + .A2(_12655_), + .B1(_12676_), + .C1(_10904_), + .X(_07652_) + ); + sky130_fd_sc_hd__nand2_2 _25429_ ( + .A(_12655_), + .B(_12531_), + .Y(_12677_) + ); + sky130_fd_sc_hd__o211a_2 _25430_ ( + .A1(\soc.core.la_oe_storage[85] ), + .A2(_12655_), + .B1(_12677_), + .C1(_10904_), + .X(_07653_) + ); + sky130_fd_sc_hd__nand2_2 _25431_ ( + .A(_12655_), + .B(_12533_), + .Y(_12678_) + ); + sky130_fd_sc_hd__o211a_2 _25432_ ( + .A1(\soc.core.la_oe_storage[86] ), + .A2(_12655_), + .B1(_12678_), + .C1(_10904_), + .X(_07654_) + ); + sky130_fd_sc_hd__nand2_2 _25433_ ( + .A(_12655_), + .B(_12535_), + .Y(_12679_) + ); + sky130_fd_sc_hd__o211a_2 _25434_ ( + .A1(\soc.core.la_oe_storage[87] ), + .A2(_12655_), + .B1(_12679_), + .C1(_10904_), + .X(_07655_) + ); + sky130_fd_sc_hd__nand2_2 _25435_ ( + .A(_12655_), + .B(_12537_), + .Y(_12680_) + ); + sky130_fd_sc_hd__o211a_2 _25436_ ( + .A1(\soc.core.la_oe_storage[88] ), + .A2(_12655_), + .B1(_12680_), + .C1(_10904_), + .X(_07656_) + ); + sky130_fd_sc_hd__nand2_2 _25437_ ( + .A(_12655_), + .B(_12540_), + .Y(_12681_) + ); + sky130_fd_sc_hd__o211a_2 _25438_ ( + .A1(\soc.core.la_oe_storage[89] ), + .A2(_12655_), + .B1(_12681_), + .C1(_10904_), + .X(_07657_) + ); + sky130_fd_sc_hd__nand2_2 _25439_ ( + .A(_12655_), + .B(_12542_), + .Y(_12682_) + ); + sky130_fd_sc_hd__o211a_2 _25440_ ( + .A1(\soc.core.la_oe_storage[90] ), + .A2(_12655_), + .B1(_12682_), + .C1(_10904_), + .X(_07658_) + ); + sky130_fd_sc_hd__nand2_2 _25441_ ( + .A(_12655_), + .B(_12544_), + .Y(_12683_) + ); + sky130_fd_sc_hd__o211a_2 _25442_ ( + .A1(\soc.core.la_oe_storage[91] ), + .A2(_12655_), + .B1(_12683_), + .C1(_10904_), + .X(_07659_) + ); + sky130_fd_sc_hd__nand2_2 _25443_ ( + .A(_12655_), + .B(_12547_), + .Y(_12684_) + ); + sky130_fd_sc_hd__o211a_2 _25444_ ( + .A1(\soc.core.la_oe_storage[92] ), + .A2(_12655_), + .B1(_12684_), + .C1(_10904_), + .X(_07660_) + ); + sky130_fd_sc_hd__nand2_2 _25445_ ( + .A(_12655_), + .B(_12549_), + .Y(_12685_) + ); + sky130_fd_sc_hd__o211a_2 _25446_ ( + .A1(\soc.core.la_oe_storage[93] ), + .A2(_12655_), + .B1(_12685_), + .C1(_10904_), + .X(_07661_) + ); + sky130_fd_sc_hd__nand2_2 _25447_ ( + .A(_12655_), + .B(_12551_), + .Y(_12686_) + ); + sky130_fd_sc_hd__o211a_2 _25448_ ( + .A1(\soc.core.la_oe_storage[94] ), + .A2(_12655_), + .B1(_12686_), + .C1(_10904_), + .X(_07662_) + ); + sky130_fd_sc_hd__nand2_2 _25449_ ( + .A(_12655_), + .B(_12553_), + .Y(_12687_) + ); + sky130_fd_sc_hd__o211a_2 _25450_ ( + .A1(\soc.core.la_oe_storage[95] ), + .A2(_12655_), + .B1(_12687_), + .C1(_10904_), + .X(_07663_) + ); + sky130_fd_sc_hd__and2_4 _25451_ ( + .A(_12556_), + .B(_12652_), + .X(_12688_) + ); + sky130_fd_sc_hd__nand2_2 _25452_ ( + .A(_12556_), + .B(_12652_), + .Y(_12689_) + ); + sky130_fd_sc_hd__and3_2 _25453_ ( + .A(_11014_), + .B(_12481_), + .C(_12688_), + .X(_12690_) + ); + sky130_fd_sc_hd__and3_2 _25454_ ( + .A(_05006_), + .B(_12485_), + .C(_12559_), + .X(_12691_) + ); + sky130_fd_sc_hd__nand2_2 _25455_ ( + .A(_12690_), + .B(_12440_), + .Y(_12692_) + ); + sky130_fd_sc_hd__o211a_2 _25456_ ( + .A1(\soc.core.la_oe_storage[32] ), + .A2(_12690_), + .B1(_12692_), + .C1(_10904_), + .X(_07664_) + ); + sky130_fd_sc_hd__nand2_2 _25457_ ( + .A(_12690_), + .B(_12442_), + .Y(_12693_) + ); + sky130_fd_sc_hd__o211a_2 _25458_ ( + .A1(\soc.core.la_oe_storage[33] ), + .A2(_12690_), + .B1(_12693_), + .C1(_10904_), + .X(_07665_) + ); + sky130_fd_sc_hd__nand2_2 _25459_ ( + .A(_12690_), + .B(_12444_), + .Y(_12694_) + ); + sky130_fd_sc_hd__o211a_2 _25460_ ( + .A1(\soc.core.la_oe_storage[34] ), + .A2(_12690_), + .B1(_12694_), + .C1(_10904_), + .X(_07666_) + ); + sky130_fd_sc_hd__nand2_2 _25461_ ( + .A(_12690_), + .B(_12446_), + .Y(_12695_) + ); + sky130_fd_sc_hd__o211a_2 _25462_ ( + .A1(\soc.core.la_oe_storage[35] ), + .A2(_12690_), + .B1(_12695_), + .C1(_10904_), + .X(_07667_) + ); + sky130_fd_sc_hd__nand2_2 _25463_ ( + .A(_12690_), + .B(_12448_), + .Y(_12696_) + ); + sky130_fd_sc_hd__o211a_2 _25464_ ( + .A1(\soc.core.la_oe_storage[36] ), + .A2(_12690_), + .B1(_12696_), + .C1(_10904_), + .X(_07668_) + ); + sky130_fd_sc_hd__nand2_2 _25465_ ( + .A(_12690_), + .B(_12450_), + .Y(_12697_) + ); + sky130_fd_sc_hd__o211a_2 _25466_ ( + .A1(\soc.core.la_oe_storage[37] ), + .A2(_12690_), + .B1(_12697_), + .C1(_10904_), + .X(_07669_) + ); + sky130_fd_sc_hd__nand2_2 _25467_ ( + .A(_12690_), + .B(_12452_), + .Y(_12698_) + ); + sky130_fd_sc_hd__o211a_2 _25468_ ( + .A1(\soc.core.la_oe_storage[38] ), + .A2(_12690_), + .B1(_12698_), + .C1(_10904_), + .X(_07670_) + ); + sky130_fd_sc_hd__nand2_2 _25469_ ( + .A(_12690_), + .B(_12454_), + .Y(_12699_) + ); + sky130_fd_sc_hd__o211a_2 _25470_ ( + .A1(\soc.core.la_oe_storage[39] ), + .A2(_12690_), + .B1(_12699_), + .C1(_10904_), + .X(_07671_) + ); + sky130_fd_sc_hd__a21oi_2 _25471_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[40] ), + .Y(_12700_) + ); + sky130_fd_sc_hd__a211oi_2 _25472_ ( + .A1(_12570_), + .A2(_12691_), + .B1(_12700_), + .C1(\soc.core.int_rst ), + .Y(_07672_) + ); + sky130_fd_sc_hd__a21oi_2 _25473_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[41] ), + .Y(_12701_) + ); + sky130_fd_sc_hd__a211oi_2 _25474_ ( + .A1(_12572_), + .A2(_12691_), + .B1(_12701_), + .C1(\soc.core.int_rst ), + .Y(_07673_) + ); + sky130_fd_sc_hd__a21oi_2 _25475_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[42] ), + .Y(_12702_) + ); + sky130_fd_sc_hd__a211oi_2 _25476_ ( + .A1(_12574_), + .A2(_12691_), + .B1(_12702_), + .C1(\soc.core.int_rst ), + .Y(_07674_) + ); + sky130_fd_sc_hd__a21oi_2 _25477_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[43] ), + .Y(_12703_) + ); + sky130_fd_sc_hd__a211oi_2 _25478_ ( + .A1(_12576_), + .A2(_12691_), + .B1(_12703_), + .C1(\soc.core.int_rst ), + .Y(_07675_) + ); + sky130_fd_sc_hd__a21oi_2 _25479_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[44] ), + .Y(_12704_) + ); + sky130_fd_sc_hd__a211oi_2 _25480_ ( + .A1(_12578_), + .A2(_12691_), + .B1(_12704_), + .C1(\soc.core.int_rst ), + .Y(_07676_) + ); + sky130_fd_sc_hd__a21oi_2 _25481_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[45] ), + .Y(_12705_) + ); + sky130_fd_sc_hd__a211oi_2 _25482_ ( + .A1(_12580_), + .A2(_12691_), + .B1(_12705_), + .C1(\soc.core.int_rst ), + .Y(_07677_) + ); + sky130_fd_sc_hd__a21oi_2 _25483_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[46] ), + .Y(_12706_) + ); + sky130_fd_sc_hd__a211oi_2 _25484_ ( + .A1(_12582_), + .A2(_12691_), + .B1(_12706_), + .C1(\soc.core.int_rst ), + .Y(_07678_) + ); + sky130_fd_sc_hd__a21oi_2 _25485_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[47] ), + .Y(_12707_) + ); + sky130_fd_sc_hd__a211oi_2 _25486_ ( + .A1(_12584_), + .A2(_12691_), + .B1(_12707_), + .C1(\soc.core.int_rst ), + .Y(_07679_) + ); + sky130_fd_sc_hd__a21oi_2 _25487_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[48] ), + .Y(_12708_) + ); + sky130_fd_sc_hd__a211oi_2 _25488_ ( + .A1(_12586_), + .A2(_12691_), + .B1(_12708_), + .C1(\soc.core.int_rst ), + .Y(_07680_) + ); + sky130_fd_sc_hd__a21oi_2 _25489_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[49] ), + .Y(_12709_) + ); + sky130_fd_sc_hd__a211oi_2 _25490_ ( + .A1(_12588_), + .A2(_12691_), + .B1(_12709_), + .C1(\soc.core.int_rst ), + .Y(_07681_) + ); + sky130_fd_sc_hd__a21oi_2 _25491_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[50] ), + .Y(_12710_) + ); + sky130_fd_sc_hd__a211oi_2 _25492_ ( + .A1(_12590_), + .A2(_12691_), + .B1(_12710_), + .C1(\soc.core.int_rst ), + .Y(_07682_) + ); + sky130_fd_sc_hd__a21oi_2 _25493_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[51] ), + .Y(_12711_) + ); + sky130_fd_sc_hd__a211oi_2 _25494_ ( + .A1(_12592_), + .A2(_12691_), + .B1(_12711_), + .C1(\soc.core.int_rst ), + .Y(_07683_) + ); + sky130_fd_sc_hd__a21oi_2 _25495_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[52] ), + .Y(_12712_) + ); + sky130_fd_sc_hd__a211oi_2 _25496_ ( + .A1(_12594_), + .A2(_12691_), + .B1(_12712_), + .C1(\soc.core.int_rst ), + .Y(_07684_) + ); + sky130_fd_sc_hd__a21oi_2 _25497_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[53] ), + .Y(_12713_) + ); + sky130_fd_sc_hd__a211oi_2 _25498_ ( + .A1(_12596_), + .A2(_12691_), + .B1(_12713_), + .C1(\soc.core.int_rst ), + .Y(_07685_) + ); + sky130_fd_sc_hd__a21oi_2 _25499_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[54] ), + .Y(_12714_) + ); + sky130_fd_sc_hd__a211oi_2 _25500_ ( + .A1(_12598_), + .A2(_12691_), + .B1(_12714_), + .C1(\soc.core.int_rst ), + .Y(_07686_) + ); + sky130_fd_sc_hd__a21oi_2 _25501_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[55] ), + .Y(_12715_) + ); + sky130_fd_sc_hd__a211oi_2 _25502_ ( + .A1(_12600_), + .A2(_12691_), + .B1(_12715_), + .C1(\soc.core.int_rst ), + .Y(_07687_) + ); + sky130_fd_sc_hd__a21oi_2 _25503_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[56] ), + .Y(_12716_) + ); + sky130_fd_sc_hd__a211oi_2 _25504_ ( + .A1(_12602_), + .A2(_12691_), + .B1(_12716_), + .C1(\soc.core.int_rst ), + .Y(_07688_) + ); + sky130_fd_sc_hd__a21oi_2 _25505_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[57] ), + .Y(_12717_) + ); + sky130_fd_sc_hd__a211oi_2 _25506_ ( + .A1(_12604_), + .A2(_12691_), + .B1(_12717_), + .C1(\soc.core.int_rst ), + .Y(_07689_) + ); + sky130_fd_sc_hd__a21oi_2 _25507_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[58] ), + .Y(_12718_) + ); + sky130_fd_sc_hd__a211oi_2 _25508_ ( + .A1(_12606_), + .A2(_12691_), + .B1(_12718_), + .C1(\soc.core.int_rst ), + .Y(_07690_) + ); + sky130_fd_sc_hd__a21oi_2 _25509_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[59] ), + .Y(_12719_) + ); + sky130_fd_sc_hd__a211oi_2 _25510_ ( + .A1(_12608_), + .A2(_12691_), + .B1(_12719_), + .C1(\soc.core.int_rst ), + .Y(_07691_) + ); + sky130_fd_sc_hd__a21oi_2 _25511_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[60] ), + .Y(_12720_) + ); + sky130_fd_sc_hd__a211oi_2 _25512_ ( + .A1(_12610_), + .A2(_12691_), + .B1(_12720_), + .C1(\soc.core.int_rst ), + .Y(_07692_) + ); + sky130_fd_sc_hd__a21oi_2 _25513_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[61] ), + .Y(_12721_) + ); + sky130_fd_sc_hd__a211oi_2 _25514_ ( + .A1(_12612_), + .A2(_12691_), + .B1(_12721_), + .C1(\soc.core.int_rst ), + .Y(_07693_) + ); + sky130_fd_sc_hd__a21oi_2 _25515_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[62] ), + .Y(_12722_) + ); + sky130_fd_sc_hd__a211oi_2 _25516_ ( + .A1(_12614_), + .A2(_12691_), + .B1(_12722_), + .C1(\soc.core.int_rst ), + .Y(_07694_) + ); + sky130_fd_sc_hd__a21oi_2 _25517_ ( + .A1(_12488_), + .A2(_12691_), + .B1(\soc.core.la_oe_storage[63] ), + .Y(_12723_) + ); + sky130_fd_sc_hd__a211oi_2 _25518_ ( + .A1(_12616_), + .A2(_12691_), + .B1(_12723_), + .C1(\soc.core.int_rst ), + .Y(_07695_) + ); + sky130_fd_sc_hd__and3_2 _25519_ ( + .A(_11022_), + .B(_11025_), + .C(_12652_), + .X(_12724_) + ); + sky130_fd_sc_hd__and3_2 _25520_ ( + .A(_11014_), + .B(_12481_), + .C(_12724_), + .X(_12725_) + ); + sky130_fd_sc_hd__and4_2 _25521_ ( + .A(_05006_), + .B(_11022_), + .C(_11030_), + .D(_12485_), + .X(_12726_) + ); + sky130_fd_sc_hd__nand2_2 _25522_ ( + .A(_12725_), + .B(_12440_), + .Y(_12727_) + ); + sky130_fd_sc_hd__o211a_2 _25523_ ( + .A1(\soc.core.la_oe_storage[0] ), + .A2(_12725_), + .B1(_12727_), + .C1(_10904_), + .X(_07696_) + ); + sky130_fd_sc_hd__nand2_2 _25524_ ( + .A(_12725_), + .B(_12442_), + .Y(_12728_) + ); + sky130_fd_sc_hd__o211a_2 _25525_ ( + .A1(\soc.core.la_oe_storage[1] ), + .A2(_12725_), + .B1(_12728_), + .C1(_10904_), + .X(_07697_) + ); + sky130_fd_sc_hd__nand2_2 _25526_ ( + .A(_12725_), + .B(_12444_), + .Y(_12729_) + ); + sky130_fd_sc_hd__o211a_2 _25527_ ( + .A1(\soc.core.la_oe_storage[2] ), + .A2(_12725_), + .B1(_12729_), + .C1(_10904_), + .X(_07698_) + ); + sky130_fd_sc_hd__nand2_2 _25528_ ( + .A(_12725_), + .B(_12446_), + .Y(_12730_) + ); + sky130_fd_sc_hd__o211a_2 _25529_ ( + .A1(\soc.core.la_oe_storage[3] ), + .A2(_12725_), + .B1(_12730_), + .C1(_10904_), + .X(_07699_) + ); + sky130_fd_sc_hd__nand2_2 _25530_ ( + .A(_12725_), + .B(_12448_), + .Y(_12731_) + ); + sky130_fd_sc_hd__o211a_2 _25531_ ( + .A1(\soc.core.la_oe_storage[4] ), + .A2(_12725_), + .B1(_12731_), + .C1(_10904_), + .X(_07700_) + ); + sky130_fd_sc_hd__nand2_2 _25532_ ( + .A(_12725_), + .B(_12450_), + .Y(_12732_) + ); + sky130_fd_sc_hd__o211a_2 _25533_ ( + .A1(\soc.core.la_oe_storage[5] ), + .A2(_12725_), + .B1(_12732_), + .C1(_10904_), + .X(_07701_) + ); + sky130_fd_sc_hd__nand2_2 _25534_ ( + .A(_12725_), + .B(_12452_), + .Y(_12733_) + ); + sky130_fd_sc_hd__o211a_2 _25535_ ( + .A1(\soc.core.la_oe_storage[6] ), + .A2(_12725_), + .B1(_12733_), + .C1(_10904_), + .X(_07702_) + ); + sky130_fd_sc_hd__nand2_2 _25536_ ( + .A(_12725_), + .B(_12454_), + .Y(_12734_) + ); + sky130_fd_sc_hd__o211a_2 _25537_ ( + .A1(\soc.core.la_oe_storage[7] ), + .A2(_12725_), + .B1(_12734_), + .C1(_10904_), + .X(_07703_) + ); + sky130_fd_sc_hd__nand2_2 _25538_ ( + .A(_12725_), + .B(_12498_), + .Y(_12735_) + ); + sky130_fd_sc_hd__o211a_2 _25539_ ( + .A1(\soc.core.la_oe_storage[8] ), + .A2(_12725_), + .B1(_12735_), + .C1(_10904_), + .X(_07704_) + ); + sky130_fd_sc_hd__a21oi_2 _25540_ ( + .A1(_12488_), + .A2(_12726_), + .B1(\soc.core.la_oe_storage[9] ), + .Y(_12736_) + ); + sky130_fd_sc_hd__a211oi_2 _25541_ ( + .A1(_12572_), + .A2(_12726_), + .B1(_12736_), + .C1(\soc.core.int_rst ), + .Y(_07705_) + ); + sky130_fd_sc_hd__nand2_2 _25542_ ( + .A(_12725_), + .B(_12504_), + .Y(_12737_) + ); + sky130_fd_sc_hd__o211a_2 _25543_ ( + .A1(\soc.core.la_oe_storage[10] ), + .A2(_12725_), + .B1(_12737_), + .C1(_10904_), + .X(_07706_) + ); + sky130_fd_sc_hd__nand2_2 _25544_ ( + .A(_12725_), + .B(_12506_), + .Y(_12738_) + ); + sky130_fd_sc_hd__o211a_2 _25545_ ( + .A1(\soc.core.la_oe_storage[11] ), + .A2(_12725_), + .B1(_12738_), + .C1(_10904_), + .X(_07707_) + ); + sky130_fd_sc_hd__a21oi_2 _25546_ ( + .A1(_12488_), + .A2(_12726_), + .B1(\soc.core.la_oe_storage[12] ), + .Y(_12739_) + ); + sky130_fd_sc_hd__a211oi_2 _25547_ ( + .A1(_12578_), + .A2(_12726_), + .B1(_12739_), + .C1(\soc.core.int_rst ), + .Y(_07708_) + ); + sky130_fd_sc_hd__nand2_2 _25548_ ( + .A(_12725_), + .B(_12511_), + .Y(_12740_) + ); + sky130_fd_sc_hd__o211a_2 _25549_ ( + .A1(\soc.core.la_oe_storage[13] ), + .A2(_12725_), + .B1(_12740_), + .C1(_10904_), + .X(_07709_) + ); + sky130_fd_sc_hd__a21oi_2 _25550_ ( + .A1(_12488_), + .A2(_12726_), + .B1(\soc.core.la_oe_storage[14] ), + .Y(_12741_) + ); + sky130_fd_sc_hd__a211oi_2 _25551_ ( + .A1(_12582_), + .A2(_12726_), + .B1(_12741_), + .C1(\soc.core.int_rst ), + .Y(_07710_) + ); + sky130_fd_sc_hd__a21oi_2 _25552_ ( + .A1(_12488_), + .A2(_12726_), + .B1(\soc.core.la_oe_storage[15] ), + .Y(_12742_) + ); + sky130_fd_sc_hd__a211oi_2 _25553_ ( + .A1(_12584_), + .A2(_12726_), + .B1(_12742_), + .C1(\soc.core.int_rst ), + .Y(_07711_) + ); + sky130_fd_sc_hd__nand2_2 _25554_ ( + .A(_12725_), + .B(_12518_), + .Y(_12743_) + ); + sky130_fd_sc_hd__o211a_2 _25555_ ( + .A1(\soc.core.la_oe_storage[16] ), + .A2(_12725_), + .B1(_12743_), + .C1(_10904_), + .X(_07712_) + ); + sky130_fd_sc_hd__a21oi_2 _25556_ ( + .A1(_12488_), + .A2(_12726_), + .B1(\soc.core.la_oe_storage[17] ), + .Y(_12744_) + ); + sky130_fd_sc_hd__a211oi_2 _25557_ ( + .A1(_12588_), + .A2(_12726_), + .B1(_12744_), + .C1(\soc.core.int_rst ), + .Y(_07713_) + ); + sky130_fd_sc_hd__nand2_2 _25558_ ( + .A(_12725_), + .B(_12523_), + .Y(_12745_) + ); + sky130_fd_sc_hd__o211a_2 _25559_ ( + .A1(\soc.core.la_oe_storage[18] ), + .A2(_12725_), + .B1(_12745_), + .C1(_10904_), + .X(_07714_) + ); + sky130_fd_sc_hd__a21oi_2 _25560_ ( + .A1(_12488_), + .A2(_12726_), + .B1(\soc.core.la_oe_storage[19] ), + .Y(_12746_) + ); + sky130_fd_sc_hd__a211oi_2 _25561_ ( + .A1(_12592_), + .A2(_12726_), + .B1(_12746_), + .C1(\soc.core.int_rst ), + .Y(_07715_) + ); + sky130_fd_sc_hd__nand2_2 _25562_ ( + .A(_12725_), + .B(_12528_), + .Y(_12747_) + ); + sky130_fd_sc_hd__o211a_2 _25563_ ( + .A1(\soc.core.la_oe_storage[20] ), + .A2(_12725_), + .B1(_12747_), + .C1(_10904_), + .X(_07716_) + ); + sky130_fd_sc_hd__nand2_2 _25564_ ( + .A(_12725_), + .B(_12531_), + .Y(_12748_) + ); + sky130_fd_sc_hd__o211a_2 _25565_ ( + .A1(\soc.core.la_oe_storage[21] ), + .A2(_12725_), + .B1(_12748_), + .C1(_10904_), + .X(_07717_) + ); + sky130_fd_sc_hd__nand2_2 _25566_ ( + .A(_12725_), + .B(_12533_), + .Y(_12749_) + ); + sky130_fd_sc_hd__o211a_2 _25567_ ( + .A1(\soc.core.la_oe_storage[22] ), + .A2(_12725_), + .B1(_12749_), + .C1(_10904_), + .X(_07718_) + ); + sky130_fd_sc_hd__nand2_2 _25568_ ( + .A(_12725_), + .B(_12535_), + .Y(_12750_) + ); + sky130_fd_sc_hd__o211a_2 _25569_ ( + .A1(\soc.core.la_oe_storage[23] ), + .A2(_12725_), + .B1(_12750_), + .C1(_10904_), + .X(_07719_) + ); + sky130_fd_sc_hd__nand2_2 _25570_ ( + .A(_12725_), + .B(_12537_), + .Y(_12751_) + ); + sky130_fd_sc_hd__o211a_2 _25571_ ( + .A1(\soc.core.la_oe_storage[24] ), + .A2(_12725_), + .B1(_12751_), + .C1(_10904_), + .X(_07720_) + ); + sky130_fd_sc_hd__nand2_2 _25572_ ( + .A(_12725_), + .B(_12540_), + .Y(_12752_) + ); + sky130_fd_sc_hd__o211a_2 _25573_ ( + .A1(\soc.core.la_oe_storage[25] ), + .A2(_12725_), + .B1(_12752_), + .C1(_10904_), + .X(_07721_) + ); + sky130_fd_sc_hd__nand2_2 _25574_ ( + .A(_12725_), + .B(_12542_), + .Y(_12753_) + ); + sky130_fd_sc_hd__o211a_2 _25575_ ( + .A1(\soc.core.la_oe_storage[26] ), + .A2(_12725_), + .B1(_12753_), + .C1(_10904_), + .X(_07722_) + ); + sky130_fd_sc_hd__nand2_2 _25576_ ( + .A(_12725_), + .B(_12544_), + .Y(_12754_) + ); + sky130_fd_sc_hd__o211a_2 _25577_ ( + .A1(\soc.core.la_oe_storage[27] ), + .A2(_12725_), + .B1(_12754_), + .C1(_10904_), + .X(_07723_) + ); + sky130_fd_sc_hd__nand2_2 _25578_ ( + .A(_12725_), + .B(_12547_), + .Y(_12755_) + ); + sky130_fd_sc_hd__o211a_2 _25579_ ( + .A1(\soc.core.la_oe_storage[28] ), + .A2(_12725_), + .B1(_12755_), + .C1(_10904_), + .X(_07724_) + ); + sky130_fd_sc_hd__nand2_2 _25580_ ( + .A(_12725_), + .B(_12549_), + .Y(_12756_) + ); + sky130_fd_sc_hd__o211a_2 _25581_ ( + .A1(\soc.core.la_oe_storage[29] ), + .A2(_12725_), + .B1(_12756_), + .C1(_10904_), + .X(_07725_) + ); + sky130_fd_sc_hd__nand2_2 _25582_ ( + .A(_12725_), + .B(_12551_), + .Y(_12757_) + ); + sky130_fd_sc_hd__o211a_2 _25583_ ( + .A1(\soc.core.la_oe_storage[30] ), + .A2(_12725_), + .B1(_12757_), + .C1(_10904_), + .X(_07726_) + ); + sky130_fd_sc_hd__nand2_2 _25584_ ( + .A(_12725_), + .B(_12553_), + .Y(_12758_) + ); + sky130_fd_sc_hd__o211a_2 _25585_ ( + .A1(\soc.core.la_oe_storage[31] ), + .A2(_12725_), + .B1(_12758_), + .C1(_10904_), + .X(_07727_) + ); + sky130_fd_sc_hd__and3_2 _25586_ ( + .A(_04999_), + .B(_11023_), + .C(_11024_), + .X(_12759_) + ); + sky130_fd_sc_hd__nand2_2 _25587_ ( + .A(_11023_), + .B(_12478_), + .Y(_12760_) + ); + sky130_fd_sc_hd__and3_2 _25588_ ( + .A(_11014_), + .B(_12481_), + .C(_12759_), + .X(_12761_) + ); + sky130_fd_sc_hd__and4_2 _25589_ ( + .A(_11002_), + .B(_11023_), + .C(_11028_), + .D(_12483_), + .X(_12762_) + ); + sky130_fd_sc_hd__and3_2 _25590_ ( + .A(_12391_), + .B(_12487_), + .C(_12762_), + .X(_12763_) + ); + sky130_fd_sc_hd__o41a_2 _25591_ ( + .A1(_11015_), + .A2(_12439_), + .A3(_12482_), + .A4(_12760_), + .B1(_10904_), + .X(_12764_) + ); + sky130_fd_sc_hd__and3_2 _25592_ ( + .A(_05002_), + .B(_05006_), + .C(_12484_), + .X(_12765_) + ); + sky130_fd_sc_hd__o21a_2 _25593_ ( + .A1(\soc.core.la_ien_storage[64] ), + .A2(_12761_), + .B1(_12764_), + .X(_07728_) + ); + sky130_fd_sc_hd__o41a_2 _25594_ ( + .A1(_11015_), + .A2(_12441_), + .A3(_12482_), + .A4(_12760_), + .B1(_10904_), + .X(_12766_) + ); + sky130_fd_sc_hd__o21a_2 _25595_ ( + .A1(\soc.core.la_ien_storage[65] ), + .A2(_12761_), + .B1(_12766_), + .X(_07729_) + ); + sky130_fd_sc_hd__o41a_2 _25596_ ( + .A1(_11015_), + .A2(_12443_), + .A3(_12482_), + .A4(_12760_), + .B1(_10904_), + .X(_12767_) + ); + sky130_fd_sc_hd__o21a_2 _25597_ ( + .A1(\soc.core.la_ien_storage[66] ), + .A2(_12761_), + .B1(_12767_), + .X(_07730_) + ); + sky130_fd_sc_hd__o41a_2 _25598_ ( + .A1(_11015_), + .A2(_12445_), + .A3(_12482_), + .A4(_12760_), + .B1(_10904_), + .X(_12768_) + ); + sky130_fd_sc_hd__o21a_2 _25599_ ( + .A1(\soc.core.la_ien_storage[67] ), + .A2(_12761_), + .B1(_12768_), + .X(_07731_) + ); + sky130_fd_sc_hd__o41a_2 _25600_ ( + .A1(_11015_), + .A2(_12447_), + .A3(_12482_), + .A4(_12760_), + .B1(_10904_), + .X(_12769_) + ); + sky130_fd_sc_hd__o21a_2 _25601_ ( + .A1(\soc.core.la_ien_storage[68] ), + .A2(_12761_), + .B1(_12769_), + .X(_07732_) + ); + sky130_fd_sc_hd__o41a_2 _25602_ ( + .A1(_11015_), + .A2(_12449_), + .A3(_12482_), + .A4(_12760_), + .B1(_10904_), + .X(_12770_) + ); + sky130_fd_sc_hd__o21a_2 _25603_ ( + .A1(\soc.core.la_ien_storage[69] ), + .A2(_12761_), + .B1(_12770_), + .X(_07733_) + ); + sky130_fd_sc_hd__o41a_2 _25604_ ( + .A1(_11015_), + .A2(_12451_), + .A3(_12482_), + .A4(_12760_), + .B1(_10904_), + .X(_12771_) + ); + sky130_fd_sc_hd__o21a_2 _25605_ ( + .A1(\soc.core.la_ien_storage[70] ), + .A2(_12761_), + .B1(_12771_), + .X(_07734_) + ); + sky130_fd_sc_hd__o41a_2 _25606_ ( + .A1(_11015_), + .A2(_12453_), + .A3(_12482_), + .A4(_12760_), + .B1(_10904_), + .X(_12772_) + ); + sky130_fd_sc_hd__o21a_2 _25607_ ( + .A1(\soc.core.la_ien_storage[71] ), + .A2(_12761_), + .B1(_12772_), + .X(_07735_) + ); + sky130_fd_sc_hd__nand2_2 _25608_ ( + .A(_12763_), + .B(_12498_), + .Y(_12773_) + ); + sky130_fd_sc_hd__o211a_2 _25609_ ( + .A1(\soc.core.la_ien_storage[72] ), + .A2(_12763_), + .B1(_12773_), + .C1(_10904_), + .X(_07736_) + ); + sky130_fd_sc_hd__o41a_2 _25610_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12500_), + .A4(_12760_), + .B1(_10904_), + .X(_12774_) + ); + sky130_fd_sc_hd__o21a_2 _25611_ ( + .A1(\soc.core.la_ien_storage[73] ), + .A2(_12761_), + .B1(_12774_), + .X(_07737_) + ); + sky130_fd_sc_hd__o41a_2 _25612_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12503_), + .A4(_12760_), + .B1(_10904_), + .X(_12775_) + ); + sky130_fd_sc_hd__o21a_2 _25613_ ( + .A1(\soc.core.la_ien_storage[74] ), + .A2(_12761_), + .B1(_12775_), + .X(_07738_) + ); + sky130_fd_sc_hd__nand2_2 _25614_ ( + .A(_12763_), + .B(_12506_), + .Y(_12776_) + ); + sky130_fd_sc_hd__o211a_2 _25615_ ( + .A1(\soc.core.la_ien_storage[75] ), + .A2(_12763_), + .B1(_12776_), + .C1(_10904_), + .X(_07739_) + ); + sky130_fd_sc_hd__nand2_2 _25616_ ( + .A(_12763_), + .B(_12509_), + .Y(_12777_) + ); + sky130_fd_sc_hd__o211a_2 _25617_ ( + .A1(\soc.core.la_ien_storage[76] ), + .A2(_12763_), + .B1(_12777_), + .C1(_10904_), + .X(_07740_) + ); + sky130_fd_sc_hd__nand2_2 _25618_ ( + .A(_12763_), + .B(_12511_), + .Y(_12778_) + ); + sky130_fd_sc_hd__o211a_2 _25619_ ( + .A1(\soc.core.la_ien_storage[77] ), + .A2(_12763_), + .B1(_12778_), + .C1(_10904_), + .X(_07741_) + ); + sky130_fd_sc_hd__o41a_2 _25620_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12513_), + .A4(_12760_), + .B1(_10904_), + .X(_12779_) + ); + sky130_fd_sc_hd__o21a_2 _25621_ ( + .A1(\soc.core.la_ien_storage[78] ), + .A2(_12761_), + .B1(_12779_), + .X(_07742_) + ); + sky130_fd_sc_hd__nand2_2 _25622_ ( + .A(_12763_), + .B(_12516_), + .Y(_12780_) + ); + sky130_fd_sc_hd__o211a_2 _25623_ ( + .A1(\soc.core.la_ien_storage[79] ), + .A2(_12763_), + .B1(_12780_), + .C1(_10904_), + .X(_07743_) + ); + sky130_fd_sc_hd__nand2_2 _25624_ ( + .A(_12763_), + .B(_12518_), + .Y(_12781_) + ); + sky130_fd_sc_hd__o211a_2 _25625_ ( + .A1(\soc.core.la_ien_storage[80] ), + .A2(_12763_), + .B1(_12781_), + .C1(_10904_), + .X(_07744_) + ); + sky130_fd_sc_hd__nand2_2 _25626_ ( + .A(_12763_), + .B(_12520_), + .Y(_12782_) + ); + sky130_fd_sc_hd__o211a_2 _25627_ ( + .A1(\soc.core.la_ien_storage[81] ), + .A2(_12763_), + .B1(_12782_), + .C1(_10904_), + .X(_07745_) + ); + sky130_fd_sc_hd__nand2_2 _25628_ ( + .A(_12763_), + .B(_12523_), + .Y(_12783_) + ); + sky130_fd_sc_hd__o211a_2 _25629_ ( + .A1(\soc.core.la_ien_storage[82] ), + .A2(_12763_), + .B1(_12783_), + .C1(_10904_), + .X(_07746_) + ); + sky130_fd_sc_hd__nand2_2 _25630_ ( + .A(_12763_), + .B(_12525_), + .Y(_12784_) + ); + sky130_fd_sc_hd__o211a_2 _25631_ ( + .A1(\soc.core.la_ien_storage[83] ), + .A2(_12763_), + .B1(_12784_), + .C1(_10904_), + .X(_07747_) + ); + sky130_fd_sc_hd__nand2_2 _25632_ ( + .A(_12763_), + .B(_12528_), + .Y(_12785_) + ); + sky130_fd_sc_hd__o211a_2 _25633_ ( + .A1(\soc.core.la_ien_storage[84] ), + .A2(_12763_), + .B1(_12785_), + .C1(_10904_), + .X(_07748_) + ); + sky130_fd_sc_hd__o41a_2 _25634_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12530_), + .A4(_12760_), + .B1(_10904_), + .X(_12786_) + ); + sky130_fd_sc_hd__o21a_2 _25635_ ( + .A1(\soc.core.la_ien_storage[85] ), + .A2(_12761_), + .B1(_12786_), + .X(_07749_) + ); + sky130_fd_sc_hd__nand2_2 _25636_ ( + .A(_12763_), + .B(_12533_), + .Y(_12787_) + ); + sky130_fd_sc_hd__o211a_2 _25637_ ( + .A1(\soc.core.la_ien_storage[86] ), + .A2(_12763_), + .B1(_12787_), + .C1(_10904_), + .X(_07750_) + ); + sky130_fd_sc_hd__nand2_2 _25638_ ( + .A(_12763_), + .B(_12535_), + .Y(_12788_) + ); + sky130_fd_sc_hd__o211a_2 _25639_ ( + .A1(\soc.core.la_ien_storage[87] ), + .A2(_12763_), + .B1(_12788_), + .C1(_10904_), + .X(_07751_) + ); + sky130_fd_sc_hd__nand2_2 _25640_ ( + .A(_12763_), + .B(_12537_), + .Y(_12789_) + ); + sky130_fd_sc_hd__o211a_2 _25641_ ( + .A1(\soc.core.la_ien_storage[88] ), + .A2(_12763_), + .B1(_12789_), + .C1(_10904_), + .X(_07752_) + ); + sky130_fd_sc_hd__o41a_2 _25642_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12539_), + .A4(_12760_), + .B1(_10904_), + .X(_12790_) + ); + sky130_fd_sc_hd__o21a_2 _25643_ ( + .A1(\soc.core.la_ien_storage[89] ), + .A2(_12761_), + .B1(_12790_), + .X(_07753_) + ); + sky130_fd_sc_hd__nand2_2 _25644_ ( + .A(_12763_), + .B(_12542_), + .Y(_12791_) + ); + sky130_fd_sc_hd__o211a_2 _25645_ ( + .A1(\soc.core.la_ien_storage[90] ), + .A2(_12763_), + .B1(_12791_), + .C1(_10904_), + .X(_07754_) + ); + sky130_fd_sc_hd__nand2_2 _25646_ ( + .A(_12763_), + .B(_12544_), + .Y(_12792_) + ); + sky130_fd_sc_hd__o211a_2 _25647_ ( + .A1(\soc.core.la_ien_storage[91] ), + .A2(_12763_), + .B1(_12792_), + .C1(_10904_), + .X(_07755_) + ); + sky130_fd_sc_hd__nand2_2 _25648_ ( + .A(_12763_), + .B(_12547_), + .Y(_12793_) + ); + sky130_fd_sc_hd__o211a_2 _25649_ ( + .A1(\soc.core.la_ien_storage[92] ), + .A2(_12763_), + .B1(_12793_), + .C1(_10904_), + .X(_07756_) + ); + sky130_fd_sc_hd__nand2_2 _25650_ ( + .A(_12763_), + .B(_12549_), + .Y(_12794_) + ); + sky130_fd_sc_hd__o211a_2 _25651_ ( + .A1(\soc.core.la_ien_storage[93] ), + .A2(_12763_), + .B1(_12794_), + .C1(_10904_), + .X(_07757_) + ); + sky130_fd_sc_hd__nand2_2 _25652_ ( + .A(_12763_), + .B(_12551_), + .Y(_12795_) + ); + sky130_fd_sc_hd__o211a_2 _25653_ ( + .A1(\soc.core.la_ien_storage[94] ), + .A2(_12763_), + .B1(_12795_), + .C1(_10904_), + .X(_07758_) + ); + sky130_fd_sc_hd__nand2_2 _25654_ ( + .A(_12763_), + .B(_12553_), + .Y(_12796_) + ); + sky130_fd_sc_hd__o211a_2 _25655_ ( + .A1(\soc.core.la_ien_storage[95] ), + .A2(_12763_), + .B1(_12796_), + .C1(_10904_), + .X(_07759_) + ); + sky130_fd_sc_hd__and3_2 _25656_ ( + .A(_05002_), + .B(_05006_), + .C(_12556_), + .X(_12797_) + ); + sky130_fd_sc_hd__nand3_2 _25657_ ( + .A(_05002_), + .B(_05006_), + .C(_12556_), + .Y(_12798_) + ); + sky130_fd_sc_hd__and3_2 _25658_ ( + .A(_11002_), + .B(_11012_), + .C(_12797_), + .X(_12799_) + ); + sky130_fd_sc_hd__and3_2 _25659_ ( + .A(_11014_), + .B(_12481_), + .C(_12797_), + .X(_12800_) + ); + sky130_fd_sc_hd__and4_2 _25660_ ( + .A(_04996_), + .B(_11028_), + .C(\mgmt_buffers.mprj_adr_o_core[3] ), + .D(_11023_), + .X(_12801_) + ); + sky130_fd_sc_hd__o41a_2 _25661_ ( + .A1(_11015_), + .A2(_12439_), + .A3(_12482_), + .A4(_12798_), + .B1(_10904_), + .X(_12802_) + ); + sky130_fd_sc_hd__o21a_2 _25662_ ( + .A1(\soc.core.la_ien_storage[32] ), + .A2(_12800_), + .B1(_12802_), + .X(_07760_) + ); + sky130_fd_sc_hd__o41a_2 _25663_ ( + .A1(_11015_), + .A2(_12441_), + .A3(_12482_), + .A4(_12798_), + .B1(_10904_), + .X(_12803_) + ); + sky130_fd_sc_hd__o21a_2 _25664_ ( + .A1(\soc.core.la_ien_storage[33] ), + .A2(_12800_), + .B1(_12803_), + .X(_07761_) + ); + sky130_fd_sc_hd__o41a_2 _25665_ ( + .A1(_11015_), + .A2(_12443_), + .A3(_12482_), + .A4(_12798_), + .B1(_10904_), + .X(_12804_) + ); + sky130_fd_sc_hd__o21a_2 _25666_ ( + .A1(\soc.core.la_ien_storage[34] ), + .A2(_12800_), + .B1(_12804_), + .X(_07762_) + ); + sky130_fd_sc_hd__o41a_2 _25667_ ( + .A1(_11015_), + .A2(_12445_), + .A3(_12482_), + .A4(_12798_), + .B1(_10904_), + .X(_12805_) + ); + sky130_fd_sc_hd__o21a_2 _25668_ ( + .A1(\soc.core.la_ien_storage[35] ), + .A2(_12800_), + .B1(_12805_), + .X(_07763_) + ); + sky130_fd_sc_hd__o41a_2 _25669_ ( + .A1(_11015_), + .A2(_12447_), + .A3(_12482_), + .A4(_12798_), + .B1(_10904_), + .X(_12806_) + ); + sky130_fd_sc_hd__o21a_2 _25670_ ( + .A1(\soc.core.la_ien_storage[36] ), + .A2(_12800_), + .B1(_12806_), + .X(_07764_) + ); + sky130_fd_sc_hd__o41a_2 _25671_ ( + .A1(_11015_), + .A2(_12449_), + .A3(_12482_), + .A4(_12798_), + .B1(_10904_), + .X(_12807_) + ); + sky130_fd_sc_hd__o21a_2 _25672_ ( + .A1(\soc.core.la_ien_storage[37] ), + .A2(_12800_), + .B1(_12807_), + .X(_07765_) + ); + sky130_fd_sc_hd__o41a_2 _25673_ ( + .A1(_11015_), + .A2(_12451_), + .A3(_12482_), + .A4(_12798_), + .B1(_10904_), + .X(_12808_) + ); + sky130_fd_sc_hd__o21a_2 _25674_ ( + .A1(\soc.core.la_ien_storage[38] ), + .A2(_12800_), + .B1(_12808_), + .X(_07766_) + ); + sky130_fd_sc_hd__o41a_2 _25675_ ( + .A1(_11015_), + .A2(_12453_), + .A3(_12482_), + .A4(_12798_), + .B1(_10904_), + .X(_12809_) + ); + sky130_fd_sc_hd__o21a_2 _25676_ ( + .A1(\soc.core.la_ien_storage[39] ), + .A2(_12800_), + .B1(_12809_), + .X(_07767_) + ); + sky130_fd_sc_hd__nand2_2 _25677_ ( + .A(_12800_), + .B(_12498_), + .Y(_12810_) + ); + sky130_fd_sc_hd__o211a_2 _25678_ ( + .A1(\soc.core.la_ien_storage[40] ), + .A2(_12800_), + .B1(_12810_), + .C1(_10904_), + .X(_07768_) + ); + sky130_fd_sc_hd__o41a_2 _25679_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12500_), + .A4(_12798_), + .B1(_10904_), + .X(_12811_) + ); + sky130_fd_sc_hd__o21a_2 _25680_ ( + .A1(\soc.core.la_ien_storage[41] ), + .A2(_12800_), + .B1(_12811_), + .X(_07769_) + ); + sky130_fd_sc_hd__o41a_2 _25681_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12503_), + .A4(_12798_), + .B1(_10904_), + .X(_12812_) + ); + sky130_fd_sc_hd__o21a_2 _25682_ ( + .A1(\soc.core.la_ien_storage[42] ), + .A2(_12800_), + .B1(_12812_), + .X(_07770_) + ); + sky130_fd_sc_hd__nand2_2 _25683_ ( + .A(_12800_), + .B(_12506_), + .Y(_12813_) + ); + sky130_fd_sc_hd__o211a_2 _25684_ ( + .A1(\soc.core.la_ien_storage[43] ), + .A2(_12800_), + .B1(_12813_), + .C1(_10904_), + .X(_07771_) + ); + sky130_fd_sc_hd__o41a_2 _25685_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12508_), + .A4(_12798_), + .B1(_10904_), + .X(_12814_) + ); + sky130_fd_sc_hd__o21a_2 _25686_ ( + .A1(\soc.core.la_ien_storage[44] ), + .A2(_12800_), + .B1(_12814_), + .X(_07772_) + ); + sky130_fd_sc_hd__nand2_2 _25687_ ( + .A(_12800_), + .B(_12511_), + .Y(_12815_) + ); + sky130_fd_sc_hd__o211a_2 _25688_ ( + .A1(\soc.core.la_ien_storage[45] ), + .A2(_12800_), + .B1(_12815_), + .C1(_10904_), + .X(_07773_) + ); + sky130_fd_sc_hd__o41a_2 _25689_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12513_), + .A4(_12798_), + .B1(_10904_), + .X(_12816_) + ); + sky130_fd_sc_hd__o21a_2 _25690_ ( + .A1(\soc.core.la_ien_storage[46] ), + .A2(_12800_), + .B1(_12816_), + .X(_07774_) + ); + sky130_fd_sc_hd__nand2_2 _25691_ ( + .A(_12800_), + .B(_12516_), + .Y(_12817_) + ); + sky130_fd_sc_hd__o211a_2 _25692_ ( + .A1(\soc.core.la_ien_storage[47] ), + .A2(_12800_), + .B1(_12817_), + .C1(_10904_), + .X(_07775_) + ); + sky130_fd_sc_hd__nand2_2 _25693_ ( + .A(_12800_), + .B(_12518_), + .Y(_12818_) + ); + sky130_fd_sc_hd__o211a_2 _25694_ ( + .A1(\soc.core.la_ien_storage[48] ), + .A2(_12800_), + .B1(_12818_), + .C1(_10904_), + .X(_07776_) + ); + sky130_fd_sc_hd__nand2_2 _25695_ ( + .A(_12800_), + .B(_12520_), + .Y(_12819_) + ); + sky130_fd_sc_hd__o211a_2 _25696_ ( + .A1(\soc.core.la_ien_storage[49] ), + .A2(_12800_), + .B1(_12819_), + .C1(_10904_), + .X(_07777_) + ); + sky130_fd_sc_hd__o41a_2 _25697_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12522_), + .A4(_12798_), + .B1(_10904_), + .X(_12820_) + ); + sky130_fd_sc_hd__o21a_2 _25698_ ( + .A1(\soc.core.la_ien_storage[50] ), + .A2(_12800_), + .B1(_12820_), + .X(_07778_) + ); + sky130_fd_sc_hd__nand2_2 _25699_ ( + .A(_12800_), + .B(_12525_), + .Y(_12821_) + ); + sky130_fd_sc_hd__o211a_2 _25700_ ( + .A1(\soc.core.la_ien_storage[51] ), + .A2(_12800_), + .B1(_12821_), + .C1(_10904_), + .X(_07779_) + ); + sky130_fd_sc_hd__o41a_2 _25701_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12527_), + .A4(_12798_), + .B1(_10904_), + .X(_12822_) + ); + sky130_fd_sc_hd__o21a_2 _25702_ ( + .A1(\soc.core.la_ien_storage[52] ), + .A2(_12800_), + .B1(_12822_), + .X(_07780_) + ); + sky130_fd_sc_hd__o41a_2 _25703_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12530_), + .A4(_12798_), + .B1(_10904_), + .X(_12823_) + ); + sky130_fd_sc_hd__o21a_2 _25704_ ( + .A1(\soc.core.la_ien_storage[53] ), + .A2(_12800_), + .B1(_12823_), + .X(_07781_) + ); + sky130_fd_sc_hd__nand2_2 _25705_ ( + .A(_12800_), + .B(_12533_), + .Y(_12824_) + ); + sky130_fd_sc_hd__o211a_2 _25706_ ( + .A1(\soc.core.la_ien_storage[54] ), + .A2(_12800_), + .B1(_12824_), + .C1(_10904_), + .X(_07782_) + ); + sky130_fd_sc_hd__nand2_2 _25707_ ( + .A(_12800_), + .B(_12535_), + .Y(_12825_) + ); + sky130_fd_sc_hd__o211a_2 _25708_ ( + .A1(\soc.core.la_ien_storage[55] ), + .A2(_12800_), + .B1(_12825_), + .C1(_10904_), + .X(_07783_) + ); + sky130_fd_sc_hd__nand2_2 _25709_ ( + .A(_12800_), + .B(_12537_), + .Y(_12826_) + ); + sky130_fd_sc_hd__o211a_2 _25710_ ( + .A1(\soc.core.la_ien_storage[56] ), + .A2(_12800_), + .B1(_12826_), + .C1(_10904_), + .X(_07784_) + ); + sky130_fd_sc_hd__o41a_2 _25711_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12539_), + .A4(_12798_), + .B1(_10904_), + .X(_12827_) + ); + sky130_fd_sc_hd__o21a_2 _25712_ ( + .A1(\soc.core.la_ien_storage[57] ), + .A2(_12800_), + .B1(_12827_), + .X(_07785_) + ); + sky130_fd_sc_hd__nand2_2 _25713_ ( + .A(_12800_), + .B(_12542_), + .Y(_12828_) + ); + sky130_fd_sc_hd__o211a_2 _25714_ ( + .A1(\soc.core.la_ien_storage[58] ), + .A2(_12800_), + .B1(_12828_), + .C1(_10904_), + .X(_07786_) + ); + sky130_fd_sc_hd__nand2_2 _25715_ ( + .A(_12800_), + .B(_12544_), + .Y(_12829_) + ); + sky130_fd_sc_hd__o211a_2 _25716_ ( + .A1(\soc.core.la_ien_storage[59] ), + .A2(_12800_), + .B1(_12829_), + .C1(_10904_), + .X(_07787_) + ); + sky130_fd_sc_hd__o41a_2 _25717_ ( + .A1(_11015_), + .A2(_12482_), + .A3(_12546_), + .A4(_12798_), + .B1(_10904_), + .X(_12830_) + ); + sky130_fd_sc_hd__o21a_2 _25718_ ( + .A1(\soc.core.la_ien_storage[60] ), + .A2(_12800_), + .B1(_12830_), + .X(_07788_) + ); + sky130_fd_sc_hd__nand2_2 _25719_ ( + .A(_12800_), + .B(_12549_), + .Y(_12831_) + ); + sky130_fd_sc_hd__o211a_2 _25720_ ( + .A1(\soc.core.la_ien_storage[61] ), + .A2(_12800_), + .B1(_12831_), + .C1(_10904_), + .X(_07789_) + ); + sky130_fd_sc_hd__nand2_2 _25721_ ( + .A(_12800_), + .B(_12551_), + .Y(_12832_) + ); + sky130_fd_sc_hd__o211a_2 _25722_ ( + .A1(\soc.core.la_ien_storage[62] ), + .A2(_12800_), + .B1(_12832_), + .C1(_10904_), + .X(_07790_) + ); + sky130_fd_sc_hd__nand2_2 _25723_ ( + .A(_12800_), + .B(_12553_), + .Y(_12833_) + ); + sky130_fd_sc_hd__o211a_2 _25724_ ( + .A1(\soc.core.la_ien_storage[63] ), + .A2(_12800_), + .B1(_12833_), + .C1(_10904_), + .X(_07791_) + ); + sky130_fd_sc_hd__o211a_2 _25725_ ( + .A1(_11023_), + .A2(_11029_), + .B1(_11030_), + .C1(_12488_), + .X(_12834_) + ); + sky130_fd_sc_hd__nand2_2 _25726_ ( + .A(_12834_), + .B(_12440_), + .Y(_12835_) + ); + sky130_fd_sc_hd__o211a_2 _25727_ ( + .A1(\soc.core.la_ien_storage[0] ), + .A2(_12834_), + .B1(_12835_), + .C1(_10904_), + .X(_07792_) + ); + sky130_fd_sc_hd__nand2_2 _25728_ ( + .A(_12834_), + .B(_12442_), + .Y(_12836_) + ); + sky130_fd_sc_hd__o211a_2 _25729_ ( + .A1(\soc.core.la_ien_storage[1] ), + .A2(_12834_), + .B1(_12836_), + .C1(_10904_), + .X(_07793_) + ); + sky130_fd_sc_hd__nand2_2 _25730_ ( + .A(_12834_), + .B(_12444_), + .Y(_12837_) + ); + sky130_fd_sc_hd__o211a_2 _25731_ ( + .A1(\soc.core.la_ien_storage[2] ), + .A2(_12834_), + .B1(_12837_), + .C1(_10904_), + .X(_07794_) + ); + sky130_fd_sc_hd__nand2_2 _25732_ ( + .A(_12834_), + .B(_12446_), + .Y(_12838_) + ); + sky130_fd_sc_hd__o211a_2 _25733_ ( + .A1(\soc.core.la_ien_storage[3] ), + .A2(_12834_), + .B1(_12838_), + .C1(_10904_), + .X(_07795_) + ); + sky130_fd_sc_hd__nand2_2 _25734_ ( + .A(_12834_), + .B(_12448_), + .Y(_12839_) + ); + sky130_fd_sc_hd__o211a_2 _25735_ ( + .A1(\soc.core.la_ien_storage[4] ), + .A2(_12834_), + .B1(_12839_), + .C1(_10904_), + .X(_07796_) + ); + sky130_fd_sc_hd__nand2_2 _25736_ ( + .A(_12834_), + .B(_12450_), + .Y(_12840_) + ); + sky130_fd_sc_hd__o211a_2 _25737_ ( + .A1(\soc.core.la_ien_storage[5] ), + .A2(_12834_), + .B1(_12840_), + .C1(_10904_), + .X(_07797_) + ); + sky130_fd_sc_hd__nand2_2 _25738_ ( + .A(_12834_), + .B(_12452_), + .Y(_12841_) + ); + sky130_fd_sc_hd__o211a_2 _25739_ ( + .A1(\soc.core.la_ien_storage[6] ), + .A2(_12834_), + .B1(_12841_), + .C1(_10904_), + .X(_07798_) + ); + sky130_fd_sc_hd__nand2_2 _25740_ ( + .A(_12834_), + .B(_12454_), + .Y(_12842_) + ); + sky130_fd_sc_hd__o211a_2 _25741_ ( + .A1(\soc.core.la_ien_storage[7] ), + .A2(_12834_), + .B1(_12842_), + .C1(_10904_), + .X(_07799_) + ); + sky130_fd_sc_hd__nand2_2 _25742_ ( + .A(_12834_), + .B(_12498_), + .Y(_12843_) + ); + sky130_fd_sc_hd__o211a_2 _25743_ ( + .A1(\soc.core.la_ien_storage[8] ), + .A2(_12834_), + .B1(_12843_), + .C1(_10904_), + .X(_07800_) + ); + sky130_fd_sc_hd__nand2_2 _25744_ ( + .A(_12834_), + .B(_12501_), + .Y(_12844_) + ); + sky130_fd_sc_hd__o211a_2 _25745_ ( + .A1(\soc.core.la_ien_storage[9] ), + .A2(_12834_), + .B1(_12844_), + .C1(_10904_), + .X(_07801_) + ); + sky130_fd_sc_hd__nand2_2 _25746_ ( + .A(_12834_), + .B(_12504_), + .Y(_12845_) + ); + sky130_fd_sc_hd__o211a_2 _25747_ ( + .A1(\soc.core.la_ien_storage[10] ), + .A2(_12834_), + .B1(_12845_), + .C1(_10904_), + .X(_07802_) + ); + sky130_fd_sc_hd__nand2_2 _25748_ ( + .A(_12834_), + .B(_12506_), + .Y(_12846_) + ); + sky130_fd_sc_hd__o211a_2 _25749_ ( + .A1(\soc.core.la_ien_storage[11] ), + .A2(_12834_), + .B1(_12846_), + .C1(_10904_), + .X(_07803_) + ); + sky130_fd_sc_hd__nand2_2 _25750_ ( + .A(_12834_), + .B(_12509_), + .Y(_12847_) + ); + sky130_fd_sc_hd__o211a_2 _25751_ ( + .A1(\soc.core.la_ien_storage[12] ), + .A2(_12834_), + .B1(_12847_), + .C1(_10904_), + .X(_07804_) + ); + sky130_fd_sc_hd__nand2_2 _25752_ ( + .A(_12834_), + .B(_12511_), + .Y(_12848_) + ); + sky130_fd_sc_hd__o211a_2 _25753_ ( + .A1(\soc.core.la_ien_storage[13] ), + .A2(_12834_), + .B1(_12848_), + .C1(_10904_), + .X(_07805_) + ); + sky130_fd_sc_hd__nand2_2 _25754_ ( + .A(_12834_), + .B(_12514_), + .Y(_12849_) + ); + sky130_fd_sc_hd__o211a_2 _25755_ ( + .A1(\soc.core.la_ien_storage[14] ), + .A2(_12834_), + .B1(_12849_), + .C1(_10904_), + .X(_07806_) + ); + sky130_fd_sc_hd__nand2_2 _25756_ ( + .A(_12834_), + .B(_12516_), + .Y(_12850_) + ); + sky130_fd_sc_hd__o211a_2 _25757_ ( + .A1(\soc.core.la_ien_storage[15] ), + .A2(_12834_), + .B1(_12850_), + .C1(_10904_), + .X(_07807_) + ); + sky130_fd_sc_hd__nand2_2 _25758_ ( + .A(_12834_), + .B(_12518_), + .Y(_12851_) + ); + sky130_fd_sc_hd__o211a_2 _25759_ ( + .A1(\soc.core.la_ien_storage[16] ), + .A2(_12834_), + .B1(_12851_), + .C1(_10904_), + .X(_07808_) + ); + sky130_fd_sc_hd__nand2_2 _25760_ ( + .A(_12834_), + .B(_12520_), + .Y(_12852_) + ); + sky130_fd_sc_hd__o211a_2 _25761_ ( + .A1(\soc.core.la_ien_storage[17] ), + .A2(_12834_), + .B1(_12852_), + .C1(_10904_), + .X(_07809_) + ); + sky130_fd_sc_hd__nand2_2 _25762_ ( + .A(_12834_), + .B(_12523_), + .Y(_12853_) + ); + sky130_fd_sc_hd__o211a_2 _25763_ ( + .A1(\soc.core.la_ien_storage[18] ), + .A2(_12834_), + .B1(_12853_), + .C1(_10904_), + .X(_07810_) + ); + sky130_fd_sc_hd__nand2_2 _25764_ ( + .A(_12834_), + .B(_12525_), + .Y(_12854_) + ); + sky130_fd_sc_hd__o211a_2 _25765_ ( + .A1(\soc.core.la_ien_storage[19] ), + .A2(_12834_), + .B1(_12854_), + .C1(_10904_), + .X(_07811_) + ); + sky130_fd_sc_hd__nand2_2 _25766_ ( + .A(_12834_), + .B(_12528_), + .Y(_12855_) + ); + sky130_fd_sc_hd__o211a_2 _25767_ ( + .A1(\soc.core.la_ien_storage[20] ), + .A2(_12834_), + .B1(_12855_), + .C1(_10904_), + .X(_07812_) + ); + sky130_fd_sc_hd__nand2_2 _25768_ ( + .A(_12834_), + .B(_12531_), + .Y(_12856_) + ); + sky130_fd_sc_hd__o211a_2 _25769_ ( + .A1(\soc.core.la_ien_storage[21] ), + .A2(_12834_), + .B1(_12856_), + .C1(_10904_), + .X(_07813_) + ); + sky130_fd_sc_hd__nand2_2 _25770_ ( + .A(_12834_), + .B(_12533_), + .Y(_12857_) + ); + sky130_fd_sc_hd__o211a_2 _25771_ ( + .A1(\soc.core.la_ien_storage[22] ), + .A2(_12834_), + .B1(_12857_), + .C1(_10904_), + .X(_07814_) + ); + sky130_fd_sc_hd__nand2_2 _25772_ ( + .A(_12834_), + .B(_12535_), + .Y(_12858_) + ); + sky130_fd_sc_hd__o211a_2 _25773_ ( + .A1(\soc.core.la_ien_storage[23] ), + .A2(_12834_), + .B1(_12858_), + .C1(_10904_), + .X(_07815_) + ); + sky130_fd_sc_hd__nand2_2 _25774_ ( + .A(_12834_), + .B(_12537_), + .Y(_12859_) + ); + sky130_fd_sc_hd__o211a_2 _25775_ ( + .A1(\soc.core.la_ien_storage[24] ), + .A2(_12834_), + .B1(_12859_), + .C1(_10904_), + .X(_07816_) + ); + sky130_fd_sc_hd__nand2_2 _25776_ ( + .A(_12834_), + .B(_12540_), + .Y(_12860_) + ); + sky130_fd_sc_hd__o211a_2 _25777_ ( + .A1(\soc.core.la_ien_storage[25] ), + .A2(_12834_), + .B1(_12860_), + .C1(_10904_), + .X(_07817_) + ); + sky130_fd_sc_hd__nand2_2 _25778_ ( + .A(_12834_), + .B(_12542_), + .Y(_12861_) + ); + sky130_fd_sc_hd__o211a_2 _25779_ ( + .A1(\soc.core.la_ien_storage[26] ), + .A2(_12834_), + .B1(_12861_), + .C1(_10904_), + .X(_07818_) + ); + sky130_fd_sc_hd__nand2_2 _25780_ ( + .A(_12834_), + .B(_12544_), + .Y(_12862_) + ); + sky130_fd_sc_hd__o211a_2 _25781_ ( + .A1(\soc.core.la_ien_storage[27] ), + .A2(_12834_), + .B1(_12862_), + .C1(_10904_), + .X(_07819_) + ); + sky130_fd_sc_hd__nand2_2 _25782_ ( + .A(_12834_), + .B(_12547_), + .Y(_12863_) + ); + sky130_fd_sc_hd__o211a_2 _25783_ ( + .A1(\soc.core.la_ien_storage[28] ), + .A2(_12834_), + .B1(_12863_), + .C1(_10904_), + .X(_07820_) + ); + sky130_fd_sc_hd__nand2_2 _25784_ ( + .A(_12834_), + .B(_12549_), + .Y(_12864_) + ); + sky130_fd_sc_hd__o211a_2 _25785_ ( + .A1(\soc.core.la_ien_storage[29] ), + .A2(_12834_), + .B1(_12864_), + .C1(_10904_), + .X(_07821_) + ); + sky130_fd_sc_hd__nand2_2 _25786_ ( + .A(_12834_), + .B(_12551_), + .Y(_12865_) + ); + sky130_fd_sc_hd__o211a_2 _25787_ ( + .A1(\soc.core.la_ien_storage[30] ), + .A2(_12834_), + .B1(_12865_), + .C1(_10904_), + .X(_07822_) + ); + sky130_fd_sc_hd__nand2_2 _25788_ ( + .A(_12834_), + .B(_12553_), + .Y(_12866_) + ); + sky130_fd_sc_hd__o211a_2 _25789_ ( + .A1(\soc.core.la_ien_storage[31] ), + .A2(_12834_), + .B1(_12866_), + .C1(_10904_), + .X(_07823_) + ); + sky130_fd_sc_hd__and2b_2 _25790_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(_12867_) + ); + sky130_fd_sc_hd__nand2_2 _25791_ ( + .A(_10904_), + .B(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .Y(_12868_) + ); + sky130_fd_sc_hd__nor2_2 _25792_ ( + .A(\soc.core.dbg_uart_rx_phase[0] ), + .B(_12868_), + .Y(_07824_) + ); + sky130_fd_sc_hd__nor2_2 _25793_ ( + .A(\soc.core.dbg_uart_rx_phase[0] ), + .B(\soc.core.dbg_uart_rx_phase[1] ), + .Y(_12869_) + ); + sky130_fd_sc_hd__and2_4 _25794_ ( + .A(\soc.core.dbg_uart_rx_phase[0] ), + .B(\soc.core.dbg_uart_rx_phase[1] ), + .X(_12870_) + ); + sky130_fd_sc_hd__o211a_2 _25795_ ( + .A1(_12869_), + .A2(_12870_), + .B1(_10904_), + .C1(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(_07825_) + ); + sky130_fd_sc_hd__o21a_2 _25796_ ( + .A1(\soc.core.dbg_uart_rx_phase[0] ), + .A2(\soc.core.dbg_uart_rx_phase[1] ), + .B1(\soc.core.dbg_uart_rx_phase[2] ), + .X(_12871_) + ); + sky130_fd_sc_hd__nor3_2 _25797_ ( + .A(\soc.core.dbg_uart_rx_phase[0] ), + .B(\soc.core.dbg_uart_rx_phase[2] ), + .C(\soc.core.dbg_uart_rx_phase[1] ), + .Y(_12872_) + ); + sky130_fd_sc_hd__o211a_2 _25798_ ( + .A1(_12871_), + .A2(_12872_), + .B1(_10904_), + .C1(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(_07826_) + ); + sky130_fd_sc_hd__o31a_2 _25799_ ( + .A1(\soc.core.dbg_uart_rx_phase[0] ), + .A2(\soc.core.dbg_uart_rx_phase[2] ), + .A3(\soc.core.dbg_uart_rx_phase[1] ), + .B1(\soc.core.dbg_uart_rx_phase[3] ), + .X(_12873_) + ); + sky130_fd_sc_hd__nor2_2 _25800_ ( + .A(_12868_), + .B(_12873_), + .Y(_12874_) + ); + sky130_fd_sc_hd__o41a_2 _25801_ ( + .A1(\soc.core.dbg_uart_rx_phase[0] ), + .A2(\soc.core.dbg_uart_rx_phase[3] ), + .A3(\soc.core.dbg_uart_rx_phase[2] ), + .A4(\soc.core.dbg_uart_rx_phase[1] ), + .B1(_12874_), + .X(_07827_) + ); + sky130_fd_sc_hd__o311a_2 _25802_ ( + .A1(\soc.core.dbg_uart_rx_phase[0] ), + .A2(\soc.core.dbg_uart_rx_phase[2] ), + .A3(\soc.core.dbg_uart_rx_phase[1] ), + .B1(\soc.core.dbg_uart_rx_phase[4] ), + .C1(\soc.core.dbg_uart_rx_phase[3] ), + .X(_12875_) + ); + sky130_fd_sc_hd__o21ai_2 _25803_ ( + .A1(\soc.core.dbg_uart_rx_phase[4] ), + .A2(_12873_), + .B1(_12867_), + .Y(_12876_) + ); + sky130_fd_sc_hd__a21oi_2 _25804_ ( + .A1(\soc.core.dbg_uart_rx_phase[4] ), + .A2(_12873_), + .B1(_12876_), + .Y(_07828_) + ); + sky130_fd_sc_hd__nand3_2 _25805_ ( + .A(\soc.core.dbg_uart_rx_phase[5] ), + .B(\soc.core.dbg_uart_rx_phase[4] ), + .C(\soc.core.dbg_uart_rx_phase[3] ), + .Y(_12877_) + ); + sky130_fd_sc_hd__o211a_2 _25806_ ( + .A1(_12877_), + .A2(_12872_), + .B1(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .C1(_10904_), + .X(_12878_) + ); + sky130_fd_sc_hd__o21a_2 _25807_ ( + .A1(\soc.core.dbg_uart_rx_phase[5] ), + .A2(_12875_), + .B1(_12878_), + .X(_07829_) + ); + sky130_fd_sc_hd__a31o_2 _25808_ ( + .A1(\soc.core.dbg_uart_rx_phase[5] ), + .A2(\soc.core.dbg_uart_rx_phase[4] ), + .A3(_12873_), + .B1(\soc.core.dbg_uart_rx_phase[6] ), + .X(_12879_) + ); + sky130_fd_sc_hd__and3_2 _25809_ ( + .A(\soc.core.dbg_uart_rx_phase[6] ), + .B(\soc.core.dbg_uart_rx_phase[5] ), + .C(_12875_), + .X(_12880_) + ); + sky130_fd_sc_hd__o311a_2 _25810_ ( + .A1(_10914_), + .A2(_12872_), + .A3(_12877_), + .B1(_12867_), + .C1(_12879_), + .X(_07830_) + ); + sky130_fd_sc_hd__a31o_2 _25811_ ( + .A1(\soc.core.dbg_uart_rx_phase[6] ), + .A2(\soc.core.dbg_uart_rx_phase[5] ), + .A3(_12875_), + .B1(\soc.core.dbg_uart_rx_phase[7] ), + .X(_12881_) + ); + sky130_fd_sc_hd__nand2_2 _25812_ ( + .A(\soc.core.dbg_uart_rx_phase[7] ), + .B(_12880_), + .Y(_12882_) + ); + sky130_fd_sc_hd__a21oi_2 _25813_ ( + .A1(_12881_), + .A2(_12882_), + .B1(_12868_), + .Y(_07831_) + ); + sky130_fd_sc_hd__o21ai_2 _25814_ ( + .A1(\soc.core.dbg_uart_rx_phase[7] ), + .A2(_12880_), + .B1(\soc.core.dbg_uart_rx_phase[8] ), + .Y(_12883_) + ); + sky130_fd_sc_hd__o311ai_2 _25815_ ( + .A1(_10914_), + .A2(_12877_), + .A3(_12872_), + .B1(_10912_), + .C1(_10913_), + .Y(_12884_) + ); + sky130_fd_sc_hd__a21oi_2 _25816_ ( + .A1(_12883_), + .A2(_12884_), + .B1(_12868_), + .Y(_07832_) + ); + sky130_fd_sc_hd__a21oi_2 _25817_ ( + .A1(_12884_), + .A2(\soc.core.dbg_uart_rx_phase[9] ), + .B1(_12868_), + .Y(_12885_) + ); + sky130_fd_sc_hd__o31a_2 _25818_ ( + .A1(\soc.core.dbg_uart_rx_phase[9] ), + .A2(\soc.core.dbg_uart_rx_phase[8] ), + .A3(_12881_), + .B1(_12885_), + .X(_07833_) + ); + sky130_fd_sc_hd__a21oi_2 _25819_ ( + .A1(_12884_), + .A2(\soc.core.dbg_uart_rx_phase[9] ), + .B1(\soc.core.dbg_uart_rx_phase[10] ), + .Y(_12886_) + ); + sky130_fd_sc_hd__and2_4 _25820_ ( + .A(\soc.core.dbg_uart_rx_phase[10] ), + .B(\soc.core.dbg_uart_rx_phase[9] ), + .X(_12887_) + ); + sky130_fd_sc_hd__a211oi_2 _25821_ ( + .A1(_12884_), + .A2(_12887_), + .B1(_12868_), + .C1(_12886_), + .Y(_07834_) + ); + sky130_fd_sc_hd__o311a_2 _25822_ ( + .A1(\soc.core.dbg_uart_rx_phase[8] ), + .A2(\soc.core.dbg_uart_rx_phase[7] ), + .A3(_12880_), + .B1(_12887_), + .C1(\soc.core.dbg_uart_rx_phase[11] ), + .X(_12888_) + ); + sky130_fd_sc_hd__a21oi_2 _25823_ ( + .A1(_12884_), + .A2(_12887_), + .B1(\soc.core.dbg_uart_rx_phase[11] ), + .Y(_12889_) + ); + sky130_fd_sc_hd__a31o_2 _25824_ ( + .A1(_12884_), + .A2(\soc.core.dbg_uart_rx_phase[9] ), + .A3(\soc.core.dbg_uart_rx_phase[10] ), + .B1(\soc.core.dbg_uart_rx_phase[11] ), + .X(_12890_) + ); + sky130_fd_sc_hd__o211a_2 _25825_ ( + .A1(_12888_), + .A2(_12889_), + .B1(_10904_), + .C1(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(_07835_) + ); + sky130_fd_sc_hd__a311o_2 _25826_ ( + .A1(_12884_), + .A2(\soc.core.dbg_uart_rx_phase[9] ), + .A3(\soc.core.dbg_uart_rx_phase[10] ), + .B1(\soc.core.dbg_uart_rx_phase[12] ), + .C1(\soc.core.dbg_uart_rx_phase[11] ), + .X(_12891_) + ); + sky130_fd_sc_hd__nand2_2 _25827_ ( + .A(_12890_), + .B(\soc.core.dbg_uart_rx_phase[12] ), + .Y(_12892_) + ); + sky130_fd_sc_hd__a21oi_2 _25828_ ( + .A1(_12891_), + .A2(_12892_), + .B1(_12868_), + .Y(_07836_) + ); + sky130_fd_sc_hd__o21ai_2 _25829_ ( + .A1(\soc.core.dbg_uart_rx_phase[12] ), + .A2(_12890_), + .B1(\soc.core.dbg_uart_rx_phase[13] ), + .Y(_12893_) + ); + sky130_fd_sc_hd__a2111o_2 _25830_ ( + .A1(_12884_), + .A2(_12887_), + .B1(\soc.core.dbg_uart_rx_phase[12] ), + .C1(\soc.core.dbg_uart_rx_phase[13] ), + .D1(\soc.core.dbg_uart_rx_phase[11] ), + .X(_12894_) + ); + sky130_fd_sc_hd__a21oi_2 _25831_ ( + .A1(_12893_), + .A2(_12894_), + .B1(_12868_), + .Y(_07837_) + ); + sky130_fd_sc_hd__nor2_2 _25832_ ( + .A(\soc.core.dbg_uart_rx_phase[14] ), + .B(_12894_), + .Y(_12895_) + ); + sky130_fd_sc_hd__o31a_2 _25833_ ( + .A1(\soc.core.dbg_uart_rx_phase[12] ), + .A2(\soc.core.dbg_uart_rx_phase[13] ), + .A3(_12890_), + .B1(\soc.core.dbg_uart_rx_phase[14] ), + .X(_12896_) + ); + sky130_fd_sc_hd__o211a_2 _25834_ ( + .A1(_12895_), + .A2(_12896_), + .B1(_10904_), + .C1(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(_07838_) + ); + sky130_fd_sc_hd__nor4_2 _25835_ ( + .A(\soc.core.dbg_uart_rx_phase[15] ), + .B(\soc.core.dbg_uart_rx_phase[14] ), + .C(\soc.core.dbg_uart_rx_phase[12] ), + .D(\soc.core.dbg_uart_rx_phase[13] ), + .Y(_12897_) + ); + sky130_fd_sc_hd__nand2_2 _25836_ ( + .A(_12889_), + .B(_12897_), + .Y(_12898_) + ); + sky130_fd_sc_hd__o21ai_2 _25837_ ( + .A1(\soc.core.dbg_uart_rx_phase[14] ), + .A2(_12894_), + .B1(\soc.core.dbg_uart_rx_phase[15] ), + .Y(_12899_) + ); + sky130_fd_sc_hd__a21oi_2 _25838_ ( + .A1(_12898_), + .A2(_12899_), + .B1(_12868_), + .Y(_07839_) + ); + sky130_fd_sc_hd__a21oi_2 _25839_ ( + .A1(_12889_), + .A2(_12897_), + .B1(_10911_), + .Y(_12900_) + ); + sky130_fd_sc_hd__a31o_2 _25840_ ( + .A1(_12889_), + .A2(_12897_), + .A3(_10911_), + .B1(_12868_), + .X(_12901_) + ); + sky130_fd_sc_hd__a21oi_2 _25841_ ( + .A1(\soc.core.dbg_uart_rx_phase[16] ), + .A2(_12898_), + .B1(_12901_), + .Y(_07840_) + ); + sky130_fd_sc_hd__o311a_2 _25842_ ( + .A1(\soc.core.dbg_uart_rx_phase[15] ), + .A2(\soc.core.dbg_uart_rx_phase[14] ), + .A3(_12894_), + .B1(\soc.core.dbg_uart_rx_phase[16] ), + .C1(\soc.core.dbg_uart_rx_phase[17] ), + .X(_12902_) + ); + sky130_fd_sc_hd__a21oi_2 _25843_ ( + .A1(_12898_), + .A2(\soc.core.dbg_uart_rx_phase[16] ), + .B1(\soc.core.dbg_uart_rx_phase[17] ), + .Y(_12903_) + ); + sky130_fd_sc_hd__o211a_2 _25844_ ( + .A1(_12902_), + .A2(_12903_), + .B1(_10904_), + .C1(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(_07841_) + ); + sky130_fd_sc_hd__o21a_2 _25845_ ( + .A1(\soc.core.dbg_uart_rx_phase[17] ), + .A2(_12900_), + .B1(\soc.core.dbg_uart_rx_phase[18] ), + .X(_12904_) + ); + sky130_fd_sc_hd__nor2_2 _25846_ ( + .A(_12868_), + .B(_12904_), + .Y(_12905_) + ); + sky130_fd_sc_hd__o31a_2 _25847_ ( + .A1(\soc.core.dbg_uart_rx_phase[17] ), + .A2(\soc.core.dbg_uart_rx_phase[18] ), + .A3(_12900_), + .B1(_12905_), + .X(_07842_) + ); + sky130_fd_sc_hd__o211a_2 _25848_ ( + .A1(\soc.core.dbg_uart_rx_phase[17] ), + .A2(_12900_), + .B1(\soc.core.dbg_uart_rx_phase[18] ), + .C1(\soc.core.dbg_uart_rx_phase[19] ), + .X(_12906_) + ); + sky130_fd_sc_hd__o21ai_2 _25849_ ( + .A1(\soc.core.dbg_uart_rx_phase[19] ), + .A2(_12904_), + .B1(_12867_), + .Y(_12907_) + ); + sky130_fd_sc_hd__a21oi_2 _25850_ ( + .A1(\soc.core.dbg_uart_rx_phase[19] ), + .A2(_12904_), + .B1(_12907_), + .Y(_07843_) + ); + sky130_fd_sc_hd__and3_2 _25851_ ( + .A(\soc.core.dbg_uart_rx_phase[20] ), + .B(\soc.core.dbg_uart_rx_phase[19] ), + .C(_12904_), + .X(_12908_) + ); + sky130_fd_sc_hd__a21oi_2 _25852_ ( + .A1(\soc.core.dbg_uart_rx_phase[19] ), + .A2(_12904_), + .B1(\soc.core.dbg_uart_rx_phase[20] ), + .Y(_12909_) + ); + sky130_fd_sc_hd__o211a_2 _25853_ ( + .A1(_12908_), + .A2(_12909_), + .B1(_10904_), + .C1(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(_07844_) + ); + sky130_fd_sc_hd__o21ai_2 _25854_ ( + .A1(\soc.core.dbg_uart_rx_phase[20] ), + .A2(_12906_), + .B1(\soc.core.dbg_uart_rx_phase[21] ), + .Y(_12910_) + ); + sky130_fd_sc_hd__nor2_2 _25855_ ( + .A(\soc.core.dbg_uart_rx_phase[21] ), + .B(\soc.core.dbg_uart_rx_phase[20] ), + .Y(_12911_) + ); + sky130_fd_sc_hd__a211o_2 _25856_ ( + .A1(\soc.core.dbg_uart_rx_phase[19] ), + .A2(_12904_), + .B1(\soc.core.dbg_uart_rx_phase[21] ), + .C1(\soc.core.dbg_uart_rx_phase[20] ), + .X(_12912_) + ); + sky130_fd_sc_hd__a21oi_2 _25857_ ( + .A1(_12910_), + .A2(_12912_), + .B1(_12868_), + .Y(_07845_) + ); + sky130_fd_sc_hd__a2111o_2 _25858_ ( + .A1(\soc.core.dbg_uart_rx_phase[19] ), + .A2(_12904_), + .B1(\soc.core.dbg_uart_rx_phase[22] ), + .C1(\soc.core.dbg_uart_rx_phase[21] ), + .D1(\soc.core.dbg_uart_rx_phase[20] ), + .X(_12913_) + ); + sky130_fd_sc_hd__nand2_2 _25859_ ( + .A(_12912_), + .B(\soc.core.dbg_uart_rx_phase[22] ), + .Y(_12914_) + ); + sky130_fd_sc_hd__a21oi_2 _25860_ ( + .A1(_12913_), + .A2(_12914_), + .B1(_12868_), + .Y(_07846_) + ); + sky130_fd_sc_hd__o21ai_2 _25861_ ( + .A1(\soc.core.dbg_uart_rx_phase[22] ), + .A2(_12912_), + .B1(\soc.core.dbg_uart_rx_phase[23] ), + .Y(_12915_) + ); + sky130_fd_sc_hd__nor2_2 _25862_ ( + .A(\soc.core.dbg_uart_rx_phase[23] ), + .B(\soc.core.dbg_uart_rx_phase[22] ), + .Y(_12916_) + ); + sky130_fd_sc_hd__nand3b_2 _25863_ ( + .A_N(_12906_), + .B(_12911_), + .C(_12916_), + .Y(_12917_) + ); + sky130_fd_sc_hd__a21oi_2 _25864_ ( + .A1(_12915_), + .A2(_12917_), + .B1(_12868_), + .Y(_07847_) + ); + sky130_fd_sc_hd__a21oi_2 _25865_ ( + .A1(_12917_), + .A2(\soc.core.dbg_uart_rx_phase[24] ), + .B1(_12868_), + .Y(_12918_) + ); + sky130_fd_sc_hd__o41a_2 _25866_ ( + .A1(\soc.core.dbg_uart_rx_phase[24] ), + .A2(\soc.core.dbg_uart_rx_phase[23] ), + .A3(\soc.core.dbg_uart_rx_phase[22] ), + .A4(_12912_), + .B1(_12918_), + .X(_07848_) + ); + sky130_fd_sc_hd__a21o_2 _25867_ ( + .A1(_12917_), + .A2(\soc.core.dbg_uart_rx_phase[24] ), + .B1(\soc.core.dbg_uart_rx_phase[25] ), + .X(_12919_) + ); + sky130_fd_sc_hd__nand3_2 _25868_ ( + .A(_12917_), + .B(\soc.core.dbg_uart_rx_phase[24] ), + .C(\soc.core.dbg_uart_rx_phase[25] ), + .Y(_12920_) + ); + sky130_fd_sc_hd__a21oi_2 _25869_ ( + .A1(_12919_), + .A2(_12920_), + .B1(_12868_), + .Y(_07849_) + ); + sky130_fd_sc_hd__o21ai_2 _25870_ ( + .A1(\soc.core.dbg_uart_rx_phase[26] ), + .A2(_12919_), + .B1(_12867_), + .Y(_12921_) + ); + sky130_fd_sc_hd__a21oi_2 _25871_ ( + .A1(\soc.core.dbg_uart_rx_phase[26] ), + .A2(_12919_), + .B1(_12921_), + .Y(_07850_) + ); + sky130_fd_sc_hd__a21o_2 _25872_ ( + .A1(_12919_), + .A2(\soc.core.dbg_uart_rx_phase[26] ), + .B1(\soc.core.dbg_uart_rx_phase[27] ), + .X(_12922_) + ); + sky130_fd_sc_hd__and3_2 _25873_ ( + .A(_12919_), + .B(\soc.core.dbg_uart_rx_phase[26] ), + .C(\soc.core.dbg_uart_rx_phase[27] ), + .X(_12923_) + ); + sky130_fd_sc_hd__and4bb_2 _25874_ ( + .A_N(\soc.core.int_rst ), + .B_N(_12923_), + .C(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .D(_12922_), + .X(_07851_) + ); + sky130_fd_sc_hd__and3_2 _25875_ ( + .A(\soc.core.dbg_uart_rx_phase[28] ), + .B(\soc.core.dbg_uart_rx_phase[27] ), + .C(\soc.core.dbg_uart_rx_phase[26] ), + .X(_12924_) + ); + sky130_fd_sc_hd__a41o_2 _25876_ ( + .A1(_12919_), + .A2(\soc.core.dbg_uart_rx_phase[26] ), + .A3(\soc.core.dbg_uart_rx_phase[27] ), + .A4(\soc.core.dbg_uart_rx_phase[28] ), + .B1(_12868_), + .X(_12925_) + ); + sky130_fd_sc_hd__o21ba_2 _25877_ ( + .A1(\soc.core.dbg_uart_rx_phase[28] ), + .A2(_12923_), + .B1_N(_12925_), + .X(_07852_) + ); + sky130_fd_sc_hd__a41o_2 _25878_ ( + .A1(_12919_), + .A2(\soc.core.dbg_uart_rx_phase[26] ), + .A3(\soc.core.dbg_uart_rx_phase[27] ), + .A4(\soc.core.dbg_uart_rx_phase[28] ), + .B1(\soc.core.dbg_uart_rx_phase[29] ), + .X(_12926_) + ); + sky130_fd_sc_hd__and3_2 _25879_ ( + .A(_12919_), + .B(_12924_), + .C(\soc.core.dbg_uart_rx_phase[29] ), + .X(_12927_) + ); + sky130_fd_sc_hd__and4bb_2 _25880_ ( + .A_N(\soc.core.int_rst ), + .B_N(_12927_), + .C(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .D(_12926_), + .X(_07853_) + ); + sky130_fd_sc_hd__a31o_2 _25881_ ( + .A1(_12919_), + .A2(_12924_), + .A3(\soc.core.dbg_uart_rx_phase[29] ), + .B1(\soc.core.dbg_uart_rx_phase[30] ), + .X(_12928_) + ); + sky130_fd_sc_hd__and3_2 _25882_ ( + .A(\soc.core.dbg_uart_rx_phase[29] ), + .B(\soc.core.dbg_uart_rx_phase[30] ), + .C(_12924_), + .X(_12929_) + ); + sky130_fd_sc_hd__nand2_2 _25883_ ( + .A(_12919_), + .B(_12929_), + .Y(_12930_) + ); + sky130_fd_sc_hd__and3_2 _25884_ ( + .A(_12928_), + .B(_12930_), + .C(_12867_), + .X(_07854_) + ); + sky130_fd_sc_hd__nor2_2 _25885_ ( + .A(\soc.core.dbg_uart_tx_phase[0] ), + .B(\soc.core.dbg_uart_tx_phase[1] ), + .Y(_12931_) + ); + sky130_fd_sc_hd__nand2b_2 _25886_ ( + .A_N(\soc.core.dbg_uart_tx_phase[2] ), + .B(_12931_), + .Y(_12932_) + ); + sky130_fd_sc_hd__o31a_2 _25887_ ( + .A1(\soc.core.dbg_uart_tx_phase[0] ), + .A2(\soc.core.dbg_uart_tx_phase[1] ), + .A3(\soc.core.dbg_uart_tx_phase[2] ), + .B1(\soc.core.dbg_uart_tx_phase[3] ), + .X(_12933_) + ); + sky130_fd_sc_hd__and2b_2 _25888_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(_12934_) + ); + sky130_fd_sc_hd__nand2_2 _25889_ ( + .A(_10904_), + .B(\soc.core.uartwishbonebridge_rs232phytx_state ), + .Y(_12935_) + ); + sky130_fd_sc_hd__a21oi_2 _25890_ ( + .A1(_12932_), + .A2(\soc.core.dbg_uart_tx_phase[3] ), + .B1(_12935_), + .Y(_12936_) + ); + sky130_fd_sc_hd__o41a_2 _25891_ ( + .A1(\soc.core.dbg_uart_tx_phase[0] ), + .A2(\soc.core.dbg_uart_tx_phase[1] ), + .A3(\soc.core.dbg_uart_tx_phase[2] ), + .A4(\soc.core.dbg_uart_tx_phase[3] ), + .B1(_12936_), + .X(_07855_) + ); + sky130_fd_sc_hd__and2_4 _25892_ ( + .A(\soc.core.dbg_uart_tx_phase[4] ), + .B(\soc.core.dbg_uart_tx_phase[3] ), + .X(_12937_) + ); + sky130_fd_sc_hd__o311a_2 _25893_ ( + .A1(\soc.core.dbg_uart_tx_phase[0] ), + .A2(\soc.core.dbg_uart_tx_phase[1] ), + .A3(\soc.core.dbg_uart_tx_phase[2] ), + .B1(\soc.core.dbg_uart_tx_phase[4] ), + .C1(\soc.core.dbg_uart_tx_phase[3] ), + .X(_12938_) + ); + sky130_fd_sc_hd__o21ai_2 _25894_ ( + .A1(\soc.core.dbg_uart_tx_phase[4] ), + .A2(_12933_), + .B1(_12934_), + .Y(_12939_) + ); + sky130_fd_sc_hd__a21oi_2 _25895_ ( + .A1(\soc.core.dbg_uart_tx_phase[4] ), + .A2(_12933_), + .B1(_12939_), + .Y(_07856_) + ); + sky130_fd_sc_hd__a31o_2 _25896_ ( + .A1(_12932_), + .A2(_12937_), + .A3(\soc.core.dbg_uart_tx_phase[5] ), + .B1(_12935_), + .X(_12940_) + ); + sky130_fd_sc_hd__o21ba_2 _25897_ ( + .A1(\soc.core.dbg_uart_tx_phase[5] ), + .A2(_12938_), + .B1_N(_12940_), + .X(_07857_) + ); + sky130_fd_sc_hd__a31o_2 _25898_ ( + .A1(_12932_), + .A2(_12937_), + .A3(\soc.core.dbg_uart_tx_phase[5] ), + .B1(\soc.core.dbg_uart_tx_phase[6] ), + .X(_12941_) + ); + sky130_fd_sc_hd__and3_2 _25899_ ( + .A(\soc.core.dbg_uart_tx_phase[5] ), + .B(\soc.core.dbg_uart_tx_phase[6] ), + .C(_12938_), + .X(_12942_) + ); + sky130_fd_sc_hd__nand4_2 _25900_ ( + .A(_12932_), + .B(_12937_), + .C(\soc.core.dbg_uart_tx_phase[5] ), + .D(\soc.core.dbg_uart_tx_phase[6] ), + .Y(_12943_) + ); + sky130_fd_sc_hd__and3_2 _25901_ ( + .A(_12941_), + .B(_12943_), + .C(_12934_), + .X(_07858_) + ); + sky130_fd_sc_hd__a31o_2 _25902_ ( + .A1(\soc.core.dbg_uart_tx_phase[5] ), + .A2(\soc.core.dbg_uart_tx_phase[6] ), + .A3(_12938_), + .B1(\soc.core.dbg_uart_tx_phase[7] ), + .X(_12944_) + ); + sky130_fd_sc_hd__nand3b_2 _25903_ ( + .A_N(\soc.core.dbg_uart_tx_phase[7] ), + .B(_10915_), + .C(_12943_), + .Y(_12945_) + ); + sky130_fd_sc_hd__a21oi_2 _25904_ ( + .A1(_12945_), + .A2(\soc.core.dbg_uart_tx_phase[9] ), + .B1(_12935_), + .Y(_12946_) + ); + sky130_fd_sc_hd__o31a_2 _25905_ ( + .A1(\soc.core.dbg_uart_tx_phase[8] ), + .A2(\soc.core.dbg_uart_tx_phase[9] ), + .A3(_12944_), + .B1(_12946_), + .X(_07859_) + ); + sky130_fd_sc_hd__a21o_2 _25906_ ( + .A1(_12945_), + .A2(\soc.core.dbg_uart_tx_phase[9] ), + .B1(\soc.core.dbg_uart_tx_phase[10] ), + .X(_12947_) + ); + sky130_fd_sc_hd__nand3_2 _25907_ ( + .A(_12945_), + .B(\soc.core.dbg_uart_tx_phase[9] ), + .C(\soc.core.dbg_uart_tx_phase[10] ), + .Y(_12948_) + ); + sky130_fd_sc_hd__and3_2 _25908_ ( + .A(_12947_), + .B(_12948_), + .C(_12934_), + .X(_07860_) + ); + sky130_fd_sc_hd__a31o_2 _25909_ ( + .A1(_12945_), + .A2(\soc.core.dbg_uart_tx_phase[9] ), + .A3(\soc.core.dbg_uart_tx_phase[10] ), + .B1(\soc.core.dbg_uart_tx_phase[11] ), + .X(_12949_) + ); + sky130_fd_sc_hd__nor4_2 _25910_ ( + .A(\soc.core.dbg_uart_tx_phase[12] ), + .B(\soc.core.dbg_uart_tx_phase[13] ), + .C(\soc.core.dbg_uart_tx_phase[14] ), + .D(\soc.core.dbg_uart_tx_phase[15] ), + .Y(_12950_) + ); + sky130_fd_sc_hd__nand3b_2 _25911_ ( + .A_N(\soc.core.dbg_uart_tx_phase[11] ), + .B(_12948_), + .C(_12950_), + .Y(_12951_) + ); + sky130_fd_sc_hd__o21ai_2 _25912_ ( + .A1(\soc.core.dbg_uart_tx_phase[16] ), + .A2(_12951_), + .B1(_12934_), + .Y(_12952_) + ); + sky130_fd_sc_hd__a21oi_2 _25913_ ( + .A1(\soc.core.dbg_uart_tx_phase[16] ), + .A2(_12951_), + .B1(_12952_), + .Y(_07861_) + ); + sky130_fd_sc_hd__a21o_2 _25914_ ( + .A1(_12951_), + .A2(\soc.core.dbg_uart_tx_phase[16] ), + .B1(\soc.core.dbg_uart_tx_phase[17] ), + .X(_12953_) + ); + sky130_fd_sc_hd__a21oi_2 _25915_ ( + .A1(_12953_), + .A2(\soc.core.dbg_uart_tx_phase[18] ), + .B1(_12935_), + .Y(_12954_) + ); + sky130_fd_sc_hd__o21a_2 _25916_ ( + .A1(\soc.core.dbg_uart_tx_phase[18] ), + .A2(_12953_), + .B1(_12954_), + .X(_07862_) + ); + sky130_fd_sc_hd__a21o_2 _25917_ ( + .A1(_12953_), + .A2(\soc.core.dbg_uart_tx_phase[18] ), + .B1(\soc.core.dbg_uart_tx_phase[19] ), + .X(_12955_) + ); + sky130_fd_sc_hd__nand3_2 _25918_ ( + .A(_12953_), + .B(\soc.core.dbg_uart_tx_phase[18] ), + .C(\soc.core.dbg_uart_tx_phase[19] ), + .Y(_12956_) + ); + sky130_fd_sc_hd__and3_2 _25919_ ( + .A(_12955_), + .B(_12956_), + .C(_12934_), + .X(_07863_) + ); + sky130_fd_sc_hd__a311o_2 _25920_ ( + .A1(_12953_), + .A2(\soc.core.dbg_uart_tx_phase[18] ), + .A3(\soc.core.dbg_uart_tx_phase[19] ), + .B1(\soc.core.dbg_uart_tx_phase[20] ), + .C1(\soc.core.dbg_uart_tx_phase[21] ), + .X(_12957_) + ); + sky130_fd_sc_hd__nor4_2 _25921_ ( + .A(\soc.core.dbg_uart_tx_phase[20] ), + .B(\soc.core.dbg_uart_tx_phase[21] ), + .C(\soc.core.dbg_uart_tx_phase[22] ), + .D(\soc.core.dbg_uart_tx_phase[23] ), + .Y(_12958_) + ); + sky130_fd_sc_hd__nand2_2 _25922_ ( + .A(_12956_), + .B(_12958_), + .Y(_12959_) + ); + sky130_fd_sc_hd__a21oi_2 _25923_ ( + .A1(_12959_), + .A2(\soc.core.dbg_uart_tx_phase[24] ), + .B1(_12935_), + .Y(_12960_) + ); + sky130_fd_sc_hd__o41a_2 _25924_ ( + .A1(\soc.core.dbg_uart_tx_phase[22] ), + .A2(\soc.core.dbg_uart_tx_phase[23] ), + .A3(\soc.core.dbg_uart_tx_phase[24] ), + .A4(_12957_), + .B1(_12960_), + .X(_07864_) + ); + sky130_fd_sc_hd__a21o_2 _25925_ ( + .A1(_12959_), + .A2(\soc.core.dbg_uart_tx_phase[24] ), + .B1(\soc.core.dbg_uart_tx_phase[25] ), + .X(_12961_) + ); + sky130_fd_sc_hd__a211o_2 _25926_ ( + .A1(_12959_), + .A2(\soc.core.dbg_uart_tx_phase[24] ), + .B1(\soc.core.dbg_uart_tx_phase[25] ), + .C1(\soc.core.dbg_uart_tx_phase[26] ), + .X(_12962_) + ); + sky130_fd_sc_hd__and2_4 _25927_ ( + .A(_12961_), + .B(\soc.core.dbg_uart_tx_phase[26] ), + .X(_12963_) + ); + sky130_fd_sc_hd__and4bb_2 _25928_ ( + .A_N(\soc.core.int_rst ), + .B_N(_12963_), + .C(\soc.core.uartwishbonebridge_rs232phytx_state ), + .D(_12962_), + .X(_07865_) + ); + sky130_fd_sc_hd__o21ai_2 _25929_ ( + .A1(\soc.core.dbg_uart_tx_phase[27] ), + .A2(_12963_), + .B1(_12934_), + .Y(_12964_) + ); + sky130_fd_sc_hd__a21oi_2 _25930_ ( + .A1(\soc.core.dbg_uart_tx_phase[27] ), + .A2(_12963_), + .B1(_12964_), + .Y(_07866_) + ); + sky130_fd_sc_hd__a31o_2 _25931_ ( + .A1(_12961_), + .A2(\soc.core.dbg_uart_tx_phase[27] ), + .A3(\soc.core.dbg_uart_tx_phase[26] ), + .B1(\soc.core.dbg_uart_tx_phase[28] ), + .X(_12965_) + ); + sky130_fd_sc_hd__and3_2 _25932_ ( + .A(\soc.core.dbg_uart_tx_phase[27] ), + .B(\soc.core.dbg_uart_tx_phase[28] ), + .C(_12963_), + .X(_12966_) + ); + sky130_fd_sc_hd__and4bb_2 _25933_ ( + .A_N(\soc.core.int_rst ), + .B_N(_12966_), + .C(\soc.core.uartwishbonebridge_rs232phytx_state ), + .D(_12965_), + .X(_07867_) + ); + sky130_fd_sc_hd__and3_2 _25934_ ( + .A(\soc.core.dbg_uart_tx_phase[27] ), + .B(\soc.core.dbg_uart_tx_phase[28] ), + .C(\soc.core.dbg_uart_tx_phase[29] ), + .X(_12967_) + ); + sky130_fd_sc_hd__nand2_2 _25935_ ( + .A(_12963_), + .B(_12967_), + .Y(_12968_) + ); + sky130_fd_sc_hd__o211a_2 _25936_ ( + .A1(\soc.core.dbg_uart_tx_phase[29] ), + .A2(_12966_), + .B1(_12968_), + .C1(_12934_), + .X(_07868_) + ); + sky130_fd_sc_hd__a31o_2 _25937_ ( + .A1(_12961_), + .A2(_12967_), + .A3(\soc.core.dbg_uart_tx_phase[26] ), + .B1(\soc.core.dbg_uart_tx_phase[30] ), + .X(_12969_) + ); + sky130_fd_sc_hd__and3_2 _25938_ ( + .A(\soc.core.dbg_uart_tx_phase[30] ), + .B(_12963_), + .C(_12967_), + .X(_12970_) + ); + sky130_fd_sc_hd__and4bb_2 _25939_ ( + .A_N(\soc.core.int_rst ), + .B_N(_12970_), + .C(\soc.core.uartwishbonebridge_rs232phytx_state ), + .D(_12969_), + .X(_07869_) + ); + sky130_fd_sc_hd__o21ai_2 _25940_ ( + .A1(\soc.core.dbg_uart_tx_phase[31] ), + .A2(_12970_), + .B1(_12934_), + .Y(_12971_) + ); + sky130_fd_sc_hd__a21oi_2 _25941_ ( + .A1(\soc.core.dbg_uart_tx_phase[31] ), + .A2(_12970_), + .B1(_12971_), + .Y(_07870_) + ); + sky130_fd_sc_hd__and3_2 _25942_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(_12466_), + .C(_05004_), + .X(_12972_) + ); + sky130_fd_sc_hd__mux2_1 _25943_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12972_), + .X(_07871_) + ); + sky130_fd_sc_hd__mux2_1 _25944_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12972_), + .X(_07872_) + ); + sky130_fd_sc_hd__mux2_1 _25945_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12972_), + .X(_07873_) + ); + sky130_fd_sc_hd__mux2_1 _25946_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12972_), + .X(_07874_) + ); + sky130_fd_sc_hd__mux2_1 _25947_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12972_), + .X(_07875_) + ); + sky130_fd_sc_hd__mux2_1 _25948_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12972_), + .X(_07876_) + ); + sky130_fd_sc_hd__mux2_1 _25949_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12972_), + .X(_07877_) + ); + sky130_fd_sc_hd__mux2_1 _25950_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12972_), + .X(_07878_) + ); + sky130_fd_sc_hd__mux2_1 _25951_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12972_), + .X(_07879_) + ); + sky130_fd_sc_hd__mux2_1 _25952_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12972_), + .X(_07880_) + ); + sky130_fd_sc_hd__mux2_1 _25953_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12972_), + .X(_07881_) + ); + sky130_fd_sc_hd__mux2_1 _25954_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12972_), + .X(_07882_) + ); + sky130_fd_sc_hd__mux2_1 _25955_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12972_), + .X(_07883_) + ); + sky130_fd_sc_hd__mux2_1 _25956_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12972_), + .X(_07884_) + ); + sky130_fd_sc_hd__mux2_1 _25957_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12972_), + .X(_07885_) + ); + sky130_fd_sc_hd__mux2_1 _25958_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12972_), + .X(_07886_) + ); + sky130_fd_sc_hd__mux2_1 _25959_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12972_), + .X(_07887_) + ); + sky130_fd_sc_hd__mux2_1 _25960_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12972_), + .X(_07888_) + ); + sky130_fd_sc_hd__mux2_1 _25961_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12972_), + .X(_07889_) + ); + sky130_fd_sc_hd__mux2_1 _25962_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12972_), + .X(_07890_) + ); + sky130_fd_sc_hd__mux2_1 _25963_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12972_), + .X(_07891_) + ); + sky130_fd_sc_hd__mux2_1 _25964_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12972_), + .X(_07892_) + ); + sky130_fd_sc_hd__mux2_1 _25965_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12972_), + .X(_07893_) + ); + sky130_fd_sc_hd__mux2_1 _25966_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12972_), + .X(_07894_) + ); + sky130_fd_sc_hd__mux2_1 _25967_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12972_), + .X(_07895_) + ); + sky130_fd_sc_hd__mux2_1 _25968_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12972_), + .X(_07896_) + ); + sky130_fd_sc_hd__mux2_1 _25969_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12972_), + .X(_07897_) + ); + sky130_fd_sc_hd__mux2_1 _25970_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12972_), + .X(_07898_) + ); + sky130_fd_sc_hd__mux2_1 _25971_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12972_), + .X(_07899_) + ); + sky130_fd_sc_hd__mux2_1 _25972_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12972_), + .X(_07900_) + ); + sky130_fd_sc_hd__mux2_1 _25973_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12972_), + .X(_07901_) + ); + sky130_fd_sc_hd__mux2_1 _25974_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12972_), + .X(_07902_) + ); + sky130_fd_sc_hd__nand2_2 _25975_ ( + .A(\soc.core.VexRiscv.lastStageIsFiring ), + .B(_03967_), + .Y(_12973_) + ); + sky130_fd_sc_hd__o211a_2 _25976_ ( + .A1(\soc.core.VexRiscv.lastStageIsFiring ), + .A2(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[0] ), + .B1(_11120_), + .C1(_12973_), + .X(_07903_) + ); + sky130_fd_sc_hd__nand2_2 _25977_ ( + .A(\soc.core.VexRiscv.lastStageIsFiring ), + .B(_03973_), + .Y(_12974_) + ); + sky130_fd_sc_hd__o211a_2 _25978_ ( + .A1(\soc.core.VexRiscv.lastStageIsFiring ), + .A2(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[1] ), + .B1(_11120_), + .C1(_12974_), + .X(_07904_) + ); + sky130_fd_sc_hd__and2b_2 _25979_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .X(_12975_) + ); + sky130_fd_sc_hd__and2b_2 _25980_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .X(_12976_) + ); + sky130_fd_sc_hd__and3_2 _25981_ ( + .A(_12975_), + .B(_12976_), + .C(_05004_), + .X(_12977_) + ); + sky130_fd_sc_hd__mux2_1 _25982_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12977_), + .X(_07905_) + ); + sky130_fd_sc_hd__mux2_1 _25983_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12977_), + .X(_07906_) + ); + sky130_fd_sc_hd__mux2_1 _25984_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12977_), + .X(_07907_) + ); + sky130_fd_sc_hd__mux2_1 _25985_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12977_), + .X(_07908_) + ); + sky130_fd_sc_hd__mux2_1 _25986_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12977_), + .X(_07909_) + ); + sky130_fd_sc_hd__mux2_1 _25987_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12977_), + .X(_07910_) + ); + sky130_fd_sc_hd__mux2_1 _25988_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12977_), + .X(_07911_) + ); + sky130_fd_sc_hd__mux2_1 _25989_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12977_), + .X(_07912_) + ); + sky130_fd_sc_hd__mux2_1 _25990_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12977_), + .X(_07913_) + ); + sky130_fd_sc_hd__mux2_1 _25991_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12977_), + .X(_07914_) + ); + sky130_fd_sc_hd__mux2_1 _25992_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12977_), + .X(_07915_) + ); + sky130_fd_sc_hd__mux2_1 _25993_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12977_), + .X(_07916_) + ); + sky130_fd_sc_hd__mux2_1 _25994_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12977_), + .X(_07917_) + ); + sky130_fd_sc_hd__mux2_1 _25995_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12977_), + .X(_07918_) + ); + sky130_fd_sc_hd__mux2_1 _25996_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12977_), + .X(_07919_) + ); + sky130_fd_sc_hd__mux2_1 _25997_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12977_), + .X(_07920_) + ); + sky130_fd_sc_hd__mux2_1 _25998_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12977_), + .X(_07921_) + ); + sky130_fd_sc_hd__mux2_1 _25999_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12977_), + .X(_07922_) + ); + sky130_fd_sc_hd__mux2_1 _26000_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12977_), + .X(_07923_) + ); + sky130_fd_sc_hd__mux2_1 _26001_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12977_), + .X(_07924_) + ); + sky130_fd_sc_hd__mux2_1 _26002_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12977_), + .X(_07925_) + ); + sky130_fd_sc_hd__mux2_1 _26003_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12977_), + .X(_07926_) + ); + sky130_fd_sc_hd__mux2_1 _26004_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12977_), + .X(_07927_) + ); + sky130_fd_sc_hd__mux2_1 _26005_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12977_), + .X(_07928_) + ); + sky130_fd_sc_hd__mux2_1 _26006_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12977_), + .X(_07929_) + ); + sky130_fd_sc_hd__mux2_1 _26007_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12977_), + .X(_07930_) + ); + sky130_fd_sc_hd__mux2_1 _26008_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12977_), + .X(_07931_) + ); + sky130_fd_sc_hd__mux2_1 _26009_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12977_), + .X(_07932_) + ); + sky130_fd_sc_hd__mux2_1 _26010_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12977_), + .X(_07933_) + ); + sky130_fd_sc_hd__mux2_1 _26011_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12977_), + .X(_07934_) + ); + sky130_fd_sc_hd__mux2_1 _26012_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12977_), + .X(_07935_) + ); + sky130_fd_sc_hd__mux2_1 _26013_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12977_), + .X(_07936_) + ); + sky130_fd_sc_hd__nor2_2 _26014_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .Y(_12978_) + ); + sky130_fd_sc_hd__and4_2 _26015_ ( + .A(_05004_), + .B(_12978_), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .X(_12979_) + ); + sky130_fd_sc_hd__mux2_1 _26016_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12979_), + .X(_07937_) + ); + sky130_fd_sc_hd__mux2_1 _26017_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12979_), + .X(_07938_) + ); + sky130_fd_sc_hd__mux2_1 _26018_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12979_), + .X(_07939_) + ); + sky130_fd_sc_hd__mux2_1 _26019_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12979_), + .X(_07940_) + ); + sky130_fd_sc_hd__mux2_1 _26020_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12979_), + .X(_07941_) + ); + sky130_fd_sc_hd__mux2_1 _26021_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12979_), + .X(_07942_) + ); + sky130_fd_sc_hd__mux2_1 _26022_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12979_), + .X(_07943_) + ); + sky130_fd_sc_hd__mux2_1 _26023_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12979_), + .X(_07944_) + ); + sky130_fd_sc_hd__mux2_1 _26024_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12979_), + .X(_07945_) + ); + sky130_fd_sc_hd__mux2_1 _26025_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12979_), + .X(_07946_) + ); + sky130_fd_sc_hd__mux2_1 _26026_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12979_), + .X(_07947_) + ); + sky130_fd_sc_hd__mux2_1 _26027_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12979_), + .X(_07948_) + ); + sky130_fd_sc_hd__mux2_1 _26028_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12979_), + .X(_07949_) + ); + sky130_fd_sc_hd__mux2_1 _26029_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12979_), + .X(_07950_) + ); + sky130_fd_sc_hd__mux2_1 _26030_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12979_), + .X(_07951_) + ); + sky130_fd_sc_hd__mux2_1 _26031_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12979_), + .X(_07952_) + ); + sky130_fd_sc_hd__mux2_1 _26032_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12979_), + .X(_07953_) + ); + sky130_fd_sc_hd__mux2_1 _26033_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12979_), + .X(_07954_) + ); + sky130_fd_sc_hd__mux2_1 _26034_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12979_), + .X(_07955_) + ); + sky130_fd_sc_hd__mux2_1 _26035_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12979_), + .X(_07956_) + ); + sky130_fd_sc_hd__mux2_1 _26036_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12979_), + .X(_07957_) + ); + sky130_fd_sc_hd__mux2_1 _26037_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12979_), + .X(_07958_) + ); + sky130_fd_sc_hd__mux2_1 _26038_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12979_), + .X(_07959_) + ); + sky130_fd_sc_hd__mux2_1 _26039_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12979_), + .X(_07960_) + ); + sky130_fd_sc_hd__mux2_1 _26040_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12979_), + .X(_07961_) + ); + sky130_fd_sc_hd__mux2_1 _26041_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12979_), + .X(_07962_) + ); + sky130_fd_sc_hd__mux2_1 _26042_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12979_), + .X(_07963_) + ); + sky130_fd_sc_hd__mux2_1 _26043_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12979_), + .X(_07964_) + ); + sky130_fd_sc_hd__mux2_1 _26044_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12979_), + .X(_07965_) + ); + sky130_fd_sc_hd__mux2_1 _26045_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12979_), + .X(_07966_) + ); + sky130_fd_sc_hd__mux2_1 _26046_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12979_), + .X(_07967_) + ); + sky130_fd_sc_hd__mux2_1 _26047_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12979_), + .X(_07968_) + ); + sky130_fd_sc_hd__nor2_2 _26048_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .Y(_12980_) + ); + sky130_fd_sc_hd__and4_2 _26049_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .D(_12980_), + .X(_12981_) + ); + sky130_fd_sc_hd__mux2_1 _26050_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12981_), + .X(_07969_) + ); + sky130_fd_sc_hd__mux2_1 _26051_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12981_), + .X(_07970_) + ); + sky130_fd_sc_hd__mux2_1 _26052_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12981_), + .X(_07971_) + ); + sky130_fd_sc_hd__mux2_1 _26053_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12981_), + .X(_07972_) + ); + sky130_fd_sc_hd__mux2_1 _26054_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12981_), + .X(_07973_) + ); + sky130_fd_sc_hd__mux2_1 _26055_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12981_), + .X(_07974_) + ); + sky130_fd_sc_hd__mux2_1 _26056_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12981_), + .X(_07975_) + ); + sky130_fd_sc_hd__mux2_1 _26057_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12981_), + .X(_07976_) + ); + sky130_fd_sc_hd__mux2_1 _26058_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12981_), + .X(_07977_) + ); + sky130_fd_sc_hd__mux2_1 _26059_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12981_), + .X(_07978_) + ); + sky130_fd_sc_hd__mux2_1 _26060_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12981_), + .X(_07979_) + ); + sky130_fd_sc_hd__mux2_1 _26061_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12981_), + .X(_07980_) + ); + sky130_fd_sc_hd__mux2_1 _26062_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12981_), + .X(_07981_) + ); + sky130_fd_sc_hd__mux2_1 _26063_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12981_), + .X(_07982_) + ); + sky130_fd_sc_hd__mux2_1 _26064_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12981_), + .X(_07983_) + ); + sky130_fd_sc_hd__mux2_1 _26065_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12981_), + .X(_07984_) + ); + sky130_fd_sc_hd__mux2_1 _26066_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12981_), + .X(_07985_) + ); + sky130_fd_sc_hd__mux2_1 _26067_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12981_), + .X(_07986_) + ); + sky130_fd_sc_hd__mux2_1 _26068_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12981_), + .X(_07987_) + ); + sky130_fd_sc_hd__mux2_1 _26069_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12981_), + .X(_07988_) + ); + sky130_fd_sc_hd__mux2_1 _26070_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12981_), + .X(_07989_) + ); + sky130_fd_sc_hd__mux2_1 _26071_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12981_), + .X(_07990_) + ); + sky130_fd_sc_hd__mux2_1 _26072_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12981_), + .X(_07991_) + ); + sky130_fd_sc_hd__mux2_1 _26073_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12981_), + .X(_07992_) + ); + sky130_fd_sc_hd__mux2_1 _26074_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12981_), + .X(_07993_) + ); + sky130_fd_sc_hd__mux2_1 _26075_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12981_), + .X(_07994_) + ); + sky130_fd_sc_hd__mux2_1 _26076_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12981_), + .X(_07995_) + ); + sky130_fd_sc_hd__mux2_1 _26077_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12981_), + .X(_07996_) + ); + sky130_fd_sc_hd__mux2_1 _26078_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12981_), + .X(_07997_) + ); + sky130_fd_sc_hd__mux2_1 _26079_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12981_), + .X(_07998_) + ); + sky130_fd_sc_hd__mux2_1 _26080_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12981_), + .X(_07999_) + ); + sky130_fd_sc_hd__mux2_1 _26081_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12981_), + .X(_08000_) + ); + sky130_fd_sc_hd__and3_2 _26082_ ( + .A(_11285_), + .B(_11305_), + .C(_10929_), + .X(_12982_) + ); + sky130_fd_sc_hd__mux2_1 _26083_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[0] ), + .A1(_00000_), + .S(_12982_), + .X(_08001_) + ); + sky130_fd_sc_hd__mux2_1 _26084_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[1] ), + .A1(_00011_), + .S(_12982_), + .X(_08002_) + ); + sky130_fd_sc_hd__mux2_1 _26085_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[2] ), + .A1(_00022_), + .S(_12982_), + .X(_08003_) + ); + sky130_fd_sc_hd__mux2_1 _26086_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[3] ), + .A1(_00025_), + .S(_12982_), + .X(_08004_) + ); + sky130_fd_sc_hd__mux2_1 _26087_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[4] ), + .A1(_00026_), + .S(_12982_), + .X(_08005_) + ); + sky130_fd_sc_hd__mux2_1 _26088_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[5] ), + .A1(_00027_), + .S(_12982_), + .X(_08006_) + ); + sky130_fd_sc_hd__mux2_1 _26089_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[6] ), + .A1(_00028_), + .S(_12982_), + .X(_08007_) + ); + sky130_fd_sc_hd__mux2_1 _26090_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[7] ), + .A1(_00029_), + .S(_12982_), + .X(_08008_) + ); + sky130_fd_sc_hd__mux2_1 _26091_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[8] ), + .A1(_00030_), + .S(_12982_), + .X(_08009_) + ); + sky130_fd_sc_hd__mux2_1 _26092_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[9] ), + .A1(_00031_), + .S(_12982_), + .X(_08010_) + ); + sky130_fd_sc_hd__mux2_1 _26093_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[10] ), + .A1(_00001_), + .S(_12982_), + .X(_08011_) + ); + sky130_fd_sc_hd__mux2_1 _26094_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[11] ), + .A1(_00002_), + .S(_12982_), + .X(_08012_) + ); + sky130_fd_sc_hd__mux2_1 _26095_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[12] ), + .A1(_00003_), + .S(_12982_), + .X(_08013_) + ); + sky130_fd_sc_hd__mux2_1 _26096_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[13] ), + .A1(_00004_), + .S(_12982_), + .X(_08014_) + ); + sky130_fd_sc_hd__mux2_1 _26097_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[14] ), + .A1(_00005_), + .S(_12982_), + .X(_08015_) + ); + sky130_fd_sc_hd__mux2_1 _26098_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[15] ), + .A1(_00006_), + .S(_12982_), + .X(_08016_) + ); + sky130_fd_sc_hd__mux2_1 _26099_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[16] ), + .A1(_00007_), + .S(_12982_), + .X(_08017_) + ); + sky130_fd_sc_hd__mux2_1 _26100_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[17] ), + .A1(_00008_), + .S(_12982_), + .X(_08018_) + ); + sky130_fd_sc_hd__mux2_1 _26101_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[18] ), + .A1(_00009_), + .S(_12982_), + .X(_08019_) + ); + sky130_fd_sc_hd__mux2_1 _26102_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[19] ), + .A1(_00010_), + .S(_12982_), + .X(_08020_) + ); + sky130_fd_sc_hd__mux2_1 _26103_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[20] ), + .A1(_00012_), + .S(_12982_), + .X(_08021_) + ); + sky130_fd_sc_hd__mux2_1 _26104_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[21] ), + .A1(_00013_), + .S(_12982_), + .X(_08022_) + ); + sky130_fd_sc_hd__mux2_1 _26105_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[22] ), + .A1(_00014_), + .S(_12982_), + .X(_08023_) + ); + sky130_fd_sc_hd__mux2_1 _26106_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[23] ), + .A1(_00015_), + .S(_12982_), + .X(_08024_) + ); + sky130_fd_sc_hd__mux2_1 _26107_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[24] ), + .A1(_00016_), + .S(_12982_), + .X(_08025_) + ); + sky130_fd_sc_hd__mux2_1 _26108_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[25] ), + .A1(_00017_), + .S(_12982_), + .X(_08026_) + ); + sky130_fd_sc_hd__mux2_1 _26109_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[26] ), + .A1(_00018_), + .S(_12982_), + .X(_08027_) + ); + sky130_fd_sc_hd__mux2_1 _26110_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[27] ), + .A1(_00019_), + .S(_12982_), + .X(_08028_) + ); + sky130_fd_sc_hd__mux2_1 _26111_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[28] ), + .A1(_00020_), + .S(_12982_), + .X(_08029_) + ); + sky130_fd_sc_hd__mux2_1 _26112_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[29] ), + .A1(_00021_), + .S(_12982_), + .X(_08030_) + ); + sky130_fd_sc_hd__mux2_1 _26113_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[30] ), + .A1(_00023_), + .S(_12982_), + .X(_08031_) + ); + sky130_fd_sc_hd__mux2_1 _26114_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[31] ), + .A1(_00024_), + .S(_12982_), + .X(_08032_) + ); + sky130_fd_sc_hd__and4b_2 _26115_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .C(_12980_), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .X(_12983_) + ); + sky130_fd_sc_hd__mux2_1 _26116_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12983_), + .X(_08033_) + ); + sky130_fd_sc_hd__mux2_1 _26117_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12983_), + .X(_08034_) + ); + sky130_fd_sc_hd__mux2_1 _26118_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12983_), + .X(_08035_) + ); + sky130_fd_sc_hd__mux2_1 _26119_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12983_), + .X(_08036_) + ); + sky130_fd_sc_hd__mux2_1 _26120_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12983_), + .X(_08037_) + ); + sky130_fd_sc_hd__mux2_1 _26121_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12983_), + .X(_08038_) + ); + sky130_fd_sc_hd__mux2_1 _26122_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12983_), + .X(_08039_) + ); + sky130_fd_sc_hd__mux2_1 _26123_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12983_), + .X(_08040_) + ); + sky130_fd_sc_hd__mux2_1 _26124_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12983_), + .X(_08041_) + ); + sky130_fd_sc_hd__mux2_1 _26125_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12983_), + .X(_08042_) + ); + sky130_fd_sc_hd__mux2_1 _26126_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12983_), + .X(_08043_) + ); + sky130_fd_sc_hd__mux2_1 _26127_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12983_), + .X(_08044_) + ); + sky130_fd_sc_hd__mux2_1 _26128_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12983_), + .X(_08045_) + ); + sky130_fd_sc_hd__mux2_1 _26129_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12983_), + .X(_08046_) + ); + sky130_fd_sc_hd__mux2_1 _26130_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12983_), + .X(_08047_) + ); + sky130_fd_sc_hd__mux2_1 _26131_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12983_), + .X(_08048_) + ); + sky130_fd_sc_hd__mux2_1 _26132_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12983_), + .X(_08049_) + ); + sky130_fd_sc_hd__mux2_1 _26133_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12983_), + .X(_08050_) + ); + sky130_fd_sc_hd__mux2_1 _26134_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12983_), + .X(_08051_) + ); + sky130_fd_sc_hd__mux2_1 _26135_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12983_), + .X(_08052_) + ); + sky130_fd_sc_hd__mux2_1 _26136_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12983_), + .X(_08053_) + ); + sky130_fd_sc_hd__mux2_1 _26137_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12983_), + .X(_08054_) + ); + sky130_fd_sc_hd__mux2_1 _26138_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12983_), + .X(_08055_) + ); + sky130_fd_sc_hd__mux2_1 _26139_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12983_), + .X(_08056_) + ); + sky130_fd_sc_hd__mux2_1 _26140_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12983_), + .X(_08057_) + ); + sky130_fd_sc_hd__mux2_1 _26141_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12983_), + .X(_08058_) + ); + sky130_fd_sc_hd__mux2_1 _26142_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12983_), + .X(_08059_) + ); + sky130_fd_sc_hd__mux2_1 _26143_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12983_), + .X(_08060_) + ); + sky130_fd_sc_hd__mux2_1 _26144_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12983_), + .X(_08061_) + ); + sky130_fd_sc_hd__mux2_1 _26145_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12983_), + .X(_08062_) + ); + sky130_fd_sc_hd__mux2_1 _26146_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12983_), + .X(_08063_) + ); + sky130_fd_sc_hd__mux2_1 _26147_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12983_), + .X(_08064_) + ); + sky130_fd_sc_hd__and4_2 _26148_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .D(_12976_), + .X(_12984_) + ); + sky130_fd_sc_hd__mux2_1 _26149_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12984_), + .X(_08065_) + ); + sky130_fd_sc_hd__mux2_1 _26150_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12984_), + .X(_08066_) + ); + sky130_fd_sc_hd__mux2_1 _26151_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12984_), + .X(_08067_) + ); + sky130_fd_sc_hd__mux2_1 _26152_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12984_), + .X(_08068_) + ); + sky130_fd_sc_hd__mux2_1 _26153_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12984_), + .X(_08069_) + ); + sky130_fd_sc_hd__mux2_1 _26154_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12984_), + .X(_08070_) + ); + sky130_fd_sc_hd__mux2_1 _26155_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12984_), + .X(_08071_) + ); + sky130_fd_sc_hd__mux2_1 _26156_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12984_), + .X(_08072_) + ); + sky130_fd_sc_hd__mux2_1 _26157_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12984_), + .X(_08073_) + ); + sky130_fd_sc_hd__mux2_1 _26158_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12984_), + .X(_08074_) + ); + sky130_fd_sc_hd__mux2_1 _26159_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12984_), + .X(_08075_) + ); + sky130_fd_sc_hd__mux2_1 _26160_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12984_), + .X(_08076_) + ); + sky130_fd_sc_hd__mux2_1 _26161_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12984_), + .X(_08077_) + ); + sky130_fd_sc_hd__mux2_1 _26162_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12984_), + .X(_08078_) + ); + sky130_fd_sc_hd__mux2_1 _26163_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12984_), + .X(_08079_) + ); + sky130_fd_sc_hd__mux2_1 _26164_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12984_), + .X(_08080_) + ); + sky130_fd_sc_hd__mux2_1 _26165_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12984_), + .X(_08081_) + ); + sky130_fd_sc_hd__mux2_1 _26166_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12984_), + .X(_08082_) + ); + sky130_fd_sc_hd__mux2_1 _26167_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12984_), + .X(_08083_) + ); + sky130_fd_sc_hd__mux2_1 _26168_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12984_), + .X(_08084_) + ); + sky130_fd_sc_hd__mux2_1 _26169_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12984_), + .X(_08085_) + ); + sky130_fd_sc_hd__mux2_1 _26170_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12984_), + .X(_08086_) + ); + sky130_fd_sc_hd__mux2_1 _26171_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12984_), + .X(_08087_) + ); + sky130_fd_sc_hd__mux2_1 _26172_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12984_), + .X(_08088_) + ); + sky130_fd_sc_hd__mux2_1 _26173_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12984_), + .X(_08089_) + ); + sky130_fd_sc_hd__mux2_1 _26174_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12984_), + .X(_08090_) + ); + sky130_fd_sc_hd__mux2_1 _26175_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12984_), + .X(_08091_) + ); + sky130_fd_sc_hd__mux2_1 _26176_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12984_), + .X(_08092_) + ); + sky130_fd_sc_hd__mux2_1 _26177_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12984_), + .X(_08093_) + ); + sky130_fd_sc_hd__mux2_1 _26178_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12984_), + .X(_08094_) + ); + sky130_fd_sc_hd__mux2_1 _26179_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12984_), + .X(_08095_) + ); + sky130_fd_sc_hd__mux2_1 _26180_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12984_), + .X(_08096_) + ); + sky130_fd_sc_hd__nand3_2 _26181_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .C(_12466_), + .Y(_12985_) + ); + sky130_fd_sc_hd__mux2_1 _26182_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][0] ), + .S(_12985_), + .X(_08097_) + ); + sky130_fd_sc_hd__mux2_1 _26183_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][1] ), + .S(_12985_), + .X(_08098_) + ); + sky130_fd_sc_hd__mux2_1 _26184_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][2] ), + .S(_12985_), + .X(_08099_) + ); + sky130_fd_sc_hd__mux2_1 _26185_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][3] ), + .S(_12985_), + .X(_08100_) + ); + sky130_fd_sc_hd__mux2_1 _26186_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][4] ), + .S(_12985_), + .X(_08101_) + ); + sky130_fd_sc_hd__mux2_1 _26187_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][5] ), + .S(_12985_), + .X(_08102_) + ); + sky130_fd_sc_hd__mux2_1 _26188_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][6] ), + .S(_12985_), + .X(_08103_) + ); + sky130_fd_sc_hd__mux2_1 _26189_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][7] ), + .S(_12985_), + .X(_08104_) + ); + sky130_fd_sc_hd__mux2_1 _26190_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][8] ), + .S(_12985_), + .X(_08105_) + ); + sky130_fd_sc_hd__mux2_1 _26191_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][9] ), + .S(_12985_), + .X(_08106_) + ); + sky130_fd_sc_hd__mux2_1 _26192_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][10] ), + .S(_12985_), + .X(_08107_) + ); + sky130_fd_sc_hd__mux2_1 _26193_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][11] ), + .S(_12985_), + .X(_08108_) + ); + sky130_fd_sc_hd__mux2_1 _26194_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][12] ), + .S(_12985_), + .X(_08109_) + ); + sky130_fd_sc_hd__mux2_1 _26195_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][13] ), + .S(_12985_), + .X(_08110_) + ); + sky130_fd_sc_hd__mux2_1 _26196_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][14] ), + .S(_12985_), + .X(_08111_) + ); + sky130_fd_sc_hd__mux2_1 _26197_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][15] ), + .S(_12985_), + .X(_08112_) + ); + sky130_fd_sc_hd__mux2_1 _26198_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][16] ), + .S(_12985_), + .X(_08113_) + ); + sky130_fd_sc_hd__mux2_1 _26199_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][17] ), + .S(_12985_), + .X(_08114_) + ); + sky130_fd_sc_hd__mux2_1 _26200_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][18] ), + .S(_12985_), + .X(_08115_) + ); + sky130_fd_sc_hd__mux2_1 _26201_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][19] ), + .S(_12985_), + .X(_08116_) + ); + sky130_fd_sc_hd__mux2_1 _26202_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][20] ), + .S(_12985_), + .X(_08117_) + ); + sky130_fd_sc_hd__mux2_1 _26203_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][21] ), + .S(_12985_), + .X(_08118_) + ); + sky130_fd_sc_hd__mux2_1 _26204_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][22] ), + .S(_12985_), + .X(_08119_) + ); + sky130_fd_sc_hd__mux2_1 _26205_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][23] ), + .S(_12985_), + .X(_08120_) + ); + sky130_fd_sc_hd__mux2_1 _26206_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][24] ), + .S(_12985_), + .X(_08121_) + ); + sky130_fd_sc_hd__mux2_1 _26207_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][25] ), + .S(_12985_), + .X(_08122_) + ); + sky130_fd_sc_hd__mux2_1 _26208_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][26] ), + .S(_12985_), + .X(_08123_) + ); + sky130_fd_sc_hd__mux2_1 _26209_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][27] ), + .S(_12985_), + .X(_08124_) + ); + sky130_fd_sc_hd__mux2_1 _26210_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][28] ), + .S(_12985_), + .X(_08125_) + ); + sky130_fd_sc_hd__mux2_1 _26211_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][29] ), + .S(_12985_), + .X(_08126_) + ); + sky130_fd_sc_hd__mux2_1 _26212_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][30] ), + .S(_12985_), + .X(_08127_) + ); + sky130_fd_sc_hd__mux2_1 _26213_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][31] ), + .S(_12985_), + .X(_08128_) + ); + sky130_fd_sc_hd__and4_2 _26214_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .D(_12978_), + .X(_12986_) + ); + sky130_fd_sc_hd__mux2_1 _26215_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12986_), + .X(_08129_) + ); + sky130_fd_sc_hd__mux2_1 _26216_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12986_), + .X(_08130_) + ); + sky130_fd_sc_hd__mux2_1 _26217_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12986_), + .X(_08131_) + ); + sky130_fd_sc_hd__mux2_1 _26218_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12986_), + .X(_08132_) + ); + sky130_fd_sc_hd__mux2_1 _26219_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12986_), + .X(_08133_) + ); + sky130_fd_sc_hd__mux2_1 _26220_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12986_), + .X(_08134_) + ); + sky130_fd_sc_hd__mux2_1 _26221_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12986_), + .X(_08135_) + ); + sky130_fd_sc_hd__mux2_1 _26222_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12986_), + .X(_08136_) + ); + sky130_fd_sc_hd__mux2_1 _26223_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12986_), + .X(_08137_) + ); + sky130_fd_sc_hd__mux2_1 _26224_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12986_), + .X(_08138_) + ); + sky130_fd_sc_hd__mux2_1 _26225_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12986_), + .X(_08139_) + ); + sky130_fd_sc_hd__mux2_1 _26226_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12986_), + .X(_08140_) + ); + sky130_fd_sc_hd__mux2_1 _26227_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12986_), + .X(_08141_) + ); + sky130_fd_sc_hd__mux2_1 _26228_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12986_), + .X(_08142_) + ); + sky130_fd_sc_hd__mux2_1 _26229_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12986_), + .X(_08143_) + ); + sky130_fd_sc_hd__mux2_1 _26230_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12986_), + .X(_08144_) + ); + sky130_fd_sc_hd__mux2_1 _26231_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12986_), + .X(_08145_) + ); + sky130_fd_sc_hd__mux2_1 _26232_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12986_), + .X(_08146_) + ); + sky130_fd_sc_hd__mux2_1 _26233_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12986_), + .X(_08147_) + ); + sky130_fd_sc_hd__mux2_1 _26234_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12986_), + .X(_08148_) + ); + sky130_fd_sc_hd__mux2_1 _26235_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12986_), + .X(_08149_) + ); + sky130_fd_sc_hd__mux2_1 _26236_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12986_), + .X(_08150_) + ); + sky130_fd_sc_hd__mux2_1 _26237_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12986_), + .X(_08151_) + ); + sky130_fd_sc_hd__mux2_1 _26238_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12986_), + .X(_08152_) + ); + sky130_fd_sc_hd__mux2_1 _26239_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12986_), + .X(_08153_) + ); + sky130_fd_sc_hd__mux2_1 _26240_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12986_), + .X(_08154_) + ); + sky130_fd_sc_hd__mux2_1 _26241_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12986_), + .X(_08155_) + ); + sky130_fd_sc_hd__mux2_1 _26242_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12986_), + .X(_08156_) + ); + sky130_fd_sc_hd__mux2_1 _26243_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12986_), + .X(_08157_) + ); + sky130_fd_sc_hd__mux2_1 _26244_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12986_), + .X(_08158_) + ); + sky130_fd_sc_hd__mux2_1 _26245_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12986_), + .X(_08159_) + ); + sky130_fd_sc_hd__mux2_1 _26246_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12986_), + .X(_08160_) + ); + sky130_fd_sc_hd__and4_2 _26247_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .D(_12975_), + .X(_12987_) + ); + sky130_fd_sc_hd__mux2_1 _26248_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12987_), + .X(_08161_) + ); + sky130_fd_sc_hd__mux2_1 _26249_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12987_), + .X(_08162_) + ); + sky130_fd_sc_hd__mux2_1 _26250_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12987_), + .X(_08163_) + ); + sky130_fd_sc_hd__mux2_1 _26251_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12987_), + .X(_08164_) + ); + sky130_fd_sc_hd__mux2_1 _26252_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12987_), + .X(_08165_) + ); + sky130_fd_sc_hd__mux2_1 _26253_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12987_), + .X(_08166_) + ); + sky130_fd_sc_hd__mux2_1 _26254_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12987_), + .X(_08167_) + ); + sky130_fd_sc_hd__mux2_1 _26255_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12987_), + .X(_08168_) + ); + sky130_fd_sc_hd__mux2_1 _26256_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12987_), + .X(_08169_) + ); + sky130_fd_sc_hd__mux2_1 _26257_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12987_), + .X(_08170_) + ); + sky130_fd_sc_hd__mux2_1 _26258_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12987_), + .X(_08171_) + ); + sky130_fd_sc_hd__mux2_1 _26259_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12987_), + .X(_08172_) + ); + sky130_fd_sc_hd__mux2_1 _26260_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12987_), + .X(_08173_) + ); + sky130_fd_sc_hd__mux2_1 _26261_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12987_), + .X(_08174_) + ); + sky130_fd_sc_hd__mux2_1 _26262_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12987_), + .X(_08175_) + ); + sky130_fd_sc_hd__mux2_1 _26263_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12987_), + .X(_08176_) + ); + sky130_fd_sc_hd__mux2_1 _26264_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12987_), + .X(_08177_) + ); + sky130_fd_sc_hd__mux2_1 _26265_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12987_), + .X(_08178_) + ); + sky130_fd_sc_hd__mux2_1 _26266_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12987_), + .X(_08179_) + ); + sky130_fd_sc_hd__mux2_1 _26267_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12987_), + .X(_08180_) + ); + sky130_fd_sc_hd__mux2_1 _26268_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12987_), + .X(_08181_) + ); + sky130_fd_sc_hd__mux2_1 _26269_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12987_), + .X(_08182_) + ); + sky130_fd_sc_hd__mux2_1 _26270_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12987_), + .X(_08183_) + ); + sky130_fd_sc_hd__mux2_1 _26271_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12987_), + .X(_08184_) + ); + sky130_fd_sc_hd__mux2_1 _26272_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12987_), + .X(_08185_) + ); + sky130_fd_sc_hd__mux2_1 _26273_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12987_), + .X(_08186_) + ); + sky130_fd_sc_hd__mux2_1 _26274_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12987_), + .X(_08187_) + ); + sky130_fd_sc_hd__mux2_1 _26275_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12987_), + .X(_08188_) + ); + sky130_fd_sc_hd__mux2_1 _26276_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12987_), + .X(_08189_) + ); + sky130_fd_sc_hd__mux2_1 _26277_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12987_), + .X(_08190_) + ); + sky130_fd_sc_hd__mux2_1 _26278_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12987_), + .X(_08191_) + ); + sky130_fd_sc_hd__mux2_1 _26279_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12987_), + .X(_08192_) + ); + sky130_fd_sc_hd__and3_2 _26280_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .B(_12975_), + .C(_12976_), + .X(_12988_) + ); + sky130_fd_sc_hd__mux2_1 _26281_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12988_), + .X(_08193_) + ); + sky130_fd_sc_hd__mux2_1 _26282_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12988_), + .X(_08194_) + ); + sky130_fd_sc_hd__mux2_1 _26283_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12988_), + .X(_08195_) + ); + sky130_fd_sc_hd__mux2_1 _26284_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12988_), + .X(_08196_) + ); + sky130_fd_sc_hd__mux2_1 _26285_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12988_), + .X(_08197_) + ); + sky130_fd_sc_hd__mux2_1 _26286_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12988_), + .X(_08198_) + ); + sky130_fd_sc_hd__mux2_1 _26287_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12988_), + .X(_08199_) + ); + sky130_fd_sc_hd__mux2_1 _26288_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12988_), + .X(_08200_) + ); + sky130_fd_sc_hd__mux2_1 _26289_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12988_), + .X(_08201_) + ); + sky130_fd_sc_hd__mux2_1 _26290_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12988_), + .X(_08202_) + ); + sky130_fd_sc_hd__mux2_1 _26291_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12988_), + .X(_08203_) + ); + sky130_fd_sc_hd__mux2_1 _26292_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12988_), + .X(_08204_) + ); + sky130_fd_sc_hd__mux2_1 _26293_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12988_), + .X(_08205_) + ); + sky130_fd_sc_hd__mux2_1 _26294_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12988_), + .X(_08206_) + ); + sky130_fd_sc_hd__mux2_1 _26295_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12988_), + .X(_08207_) + ); + sky130_fd_sc_hd__mux2_1 _26296_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12988_), + .X(_08208_) + ); + sky130_fd_sc_hd__mux2_1 _26297_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12988_), + .X(_08209_) + ); + sky130_fd_sc_hd__mux2_1 _26298_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12988_), + .X(_08210_) + ); + sky130_fd_sc_hd__mux2_1 _26299_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12988_), + .X(_08211_) + ); + sky130_fd_sc_hd__mux2_1 _26300_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12988_), + .X(_08212_) + ); + sky130_fd_sc_hd__mux2_1 _26301_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12988_), + .X(_08213_) + ); + sky130_fd_sc_hd__mux2_1 _26302_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12988_), + .X(_08214_) + ); + sky130_fd_sc_hd__mux2_1 _26303_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12988_), + .X(_08215_) + ); + sky130_fd_sc_hd__mux2_1 _26304_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12988_), + .X(_08216_) + ); + sky130_fd_sc_hd__mux2_1 _26305_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12988_), + .X(_08217_) + ); + sky130_fd_sc_hd__mux2_1 _26306_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12988_), + .X(_08218_) + ); + sky130_fd_sc_hd__mux2_1 _26307_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12988_), + .X(_08219_) + ); + sky130_fd_sc_hd__mux2_1 _26308_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12988_), + .X(_08220_) + ); + sky130_fd_sc_hd__mux2_1 _26309_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12988_), + .X(_08221_) + ); + sky130_fd_sc_hd__mux2_1 _26310_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12988_), + .X(_08222_) + ); + sky130_fd_sc_hd__mux2_1 _26311_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12988_), + .X(_08223_) + ); + sky130_fd_sc_hd__mux2_1 _26312_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12988_), + .X(_08224_) + ); + sky130_fd_sc_hd__and3_2 _26313_ ( + .A(_12975_), + .B(_12978_), + .C(_05004_), + .X(_12989_) + ); + sky130_fd_sc_hd__mux2_1 _26314_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12989_), + .X(_08225_) + ); + sky130_fd_sc_hd__mux2_1 _26315_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12989_), + .X(_08226_) + ); + sky130_fd_sc_hd__mux2_1 _26316_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12989_), + .X(_08227_) + ); + sky130_fd_sc_hd__mux2_1 _26317_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12989_), + .X(_08228_) + ); + sky130_fd_sc_hd__mux2_1 _26318_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12989_), + .X(_08229_) + ); + sky130_fd_sc_hd__mux2_1 _26319_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12989_), + .X(_08230_) + ); + sky130_fd_sc_hd__mux2_1 _26320_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12989_), + .X(_08231_) + ); + sky130_fd_sc_hd__mux2_1 _26321_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12989_), + .X(_08232_) + ); + sky130_fd_sc_hd__mux2_1 _26322_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12989_), + .X(_08233_) + ); + sky130_fd_sc_hd__mux2_1 _26323_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12989_), + .X(_08234_) + ); + sky130_fd_sc_hd__mux2_1 _26324_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12989_), + .X(_08235_) + ); + sky130_fd_sc_hd__mux2_1 _26325_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12989_), + .X(_08236_) + ); + sky130_fd_sc_hd__mux2_1 _26326_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12989_), + .X(_08237_) + ); + sky130_fd_sc_hd__mux2_1 _26327_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12989_), + .X(_08238_) + ); + sky130_fd_sc_hd__mux2_1 _26328_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12989_), + .X(_08239_) + ); + sky130_fd_sc_hd__mux2_1 _26329_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12989_), + .X(_08240_) + ); + sky130_fd_sc_hd__mux2_1 _26330_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12989_), + .X(_08241_) + ); + sky130_fd_sc_hd__mux2_1 _26331_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12989_), + .X(_08242_) + ); + sky130_fd_sc_hd__mux2_1 _26332_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12989_), + .X(_08243_) + ); + sky130_fd_sc_hd__mux2_1 _26333_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12989_), + .X(_08244_) + ); + sky130_fd_sc_hd__mux2_1 _26334_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12989_), + .X(_08245_) + ); + sky130_fd_sc_hd__mux2_1 _26335_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12989_), + .X(_08246_) + ); + sky130_fd_sc_hd__mux2_1 _26336_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12989_), + .X(_08247_) + ); + sky130_fd_sc_hd__mux2_1 _26337_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12989_), + .X(_08248_) + ); + sky130_fd_sc_hd__mux2_1 _26338_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12989_), + .X(_08249_) + ); + sky130_fd_sc_hd__mux2_1 _26339_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12989_), + .X(_08250_) + ); + sky130_fd_sc_hd__mux2_1 _26340_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12989_), + .X(_08251_) + ); + sky130_fd_sc_hd__mux2_1 _26341_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12989_), + .X(_08252_) + ); + sky130_fd_sc_hd__mux2_1 _26342_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12989_), + .X(_08253_) + ); + sky130_fd_sc_hd__mux2_1 _26343_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12989_), + .X(_08254_) + ); + sky130_fd_sc_hd__mux2_1 _26344_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12989_), + .X(_08255_) + ); + sky130_fd_sc_hd__mux2_1 _26345_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12989_), + .X(_08256_) + ); + sky130_fd_sc_hd__and3_2 _26346_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .B(_12975_), + .C(_12978_), + .X(_12990_) + ); + sky130_fd_sc_hd__mux2_1 _26347_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12990_), + .X(_08257_) + ); + sky130_fd_sc_hd__mux2_1 _26348_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12990_), + .X(_08258_) + ); + sky130_fd_sc_hd__mux2_1 _26349_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12990_), + .X(_08259_) + ); + sky130_fd_sc_hd__mux2_1 _26350_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12990_), + .X(_08260_) + ); + sky130_fd_sc_hd__mux2_1 _26351_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12990_), + .X(_08261_) + ); + sky130_fd_sc_hd__mux2_1 _26352_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12990_), + .X(_08262_) + ); + sky130_fd_sc_hd__mux2_1 _26353_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12990_), + .X(_08263_) + ); + sky130_fd_sc_hd__mux2_1 _26354_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12990_), + .X(_08264_) + ); + sky130_fd_sc_hd__mux2_1 _26355_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12990_), + .X(_08265_) + ); + sky130_fd_sc_hd__mux2_1 _26356_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12990_), + .X(_08266_) + ); + sky130_fd_sc_hd__mux2_1 _26357_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12990_), + .X(_08267_) + ); + sky130_fd_sc_hd__mux2_1 _26358_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12990_), + .X(_08268_) + ); + sky130_fd_sc_hd__mux2_1 _26359_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12990_), + .X(_08269_) + ); + sky130_fd_sc_hd__mux2_1 _26360_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12990_), + .X(_08270_) + ); + sky130_fd_sc_hd__mux2_1 _26361_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12990_), + .X(_08271_) + ); + sky130_fd_sc_hd__mux2_1 _26362_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12990_), + .X(_08272_) + ); + sky130_fd_sc_hd__mux2_1 _26363_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12990_), + .X(_08273_) + ); + sky130_fd_sc_hd__mux2_1 _26364_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12990_), + .X(_08274_) + ); + sky130_fd_sc_hd__mux2_1 _26365_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12990_), + .X(_08275_) + ); + sky130_fd_sc_hd__mux2_1 _26366_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12990_), + .X(_08276_) + ); + sky130_fd_sc_hd__mux2_1 _26367_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12990_), + .X(_08277_) + ); + sky130_fd_sc_hd__mux2_1 _26368_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12990_), + .X(_08278_) + ); + sky130_fd_sc_hd__mux2_1 _26369_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12990_), + .X(_08279_) + ); + sky130_fd_sc_hd__mux2_1 _26370_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12990_), + .X(_08280_) + ); + sky130_fd_sc_hd__mux2_1 _26371_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12990_), + .X(_08281_) + ); + sky130_fd_sc_hd__mux2_1 _26372_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12990_), + .X(_08282_) + ); + sky130_fd_sc_hd__mux2_1 _26373_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12990_), + .X(_08283_) + ); + sky130_fd_sc_hd__mux2_1 _26374_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12990_), + .X(_08284_) + ); + sky130_fd_sc_hd__mux2_1 _26375_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12990_), + .X(_08285_) + ); + sky130_fd_sc_hd__mux2_1 _26376_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12990_), + .X(_08286_) + ); + sky130_fd_sc_hd__mux2_1 _26377_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12990_), + .X(_08287_) + ); + sky130_fd_sc_hd__mux2_1 _26378_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12990_), + .X(_08288_) + ); + sky130_fd_sc_hd__nor2_2 _26379_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .B(_12464_), + .Y(_12991_) + ); + sky130_fd_sc_hd__mux2_1 _26380_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12991_), + .X(_08289_) + ); + sky130_fd_sc_hd__mux2_1 _26381_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12991_), + .X(_08290_) + ); + sky130_fd_sc_hd__mux2_1 _26382_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12991_), + .X(_08291_) + ); + sky130_fd_sc_hd__mux2_1 _26383_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12991_), + .X(_08292_) + ); + sky130_fd_sc_hd__mux2_1 _26384_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12991_), + .X(_08293_) + ); + sky130_fd_sc_hd__mux2_1 _26385_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12991_), + .X(_08294_) + ); + sky130_fd_sc_hd__mux2_1 _26386_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12991_), + .X(_08295_) + ); + sky130_fd_sc_hd__mux2_1 _26387_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12991_), + .X(_08296_) + ); + sky130_fd_sc_hd__mux2_1 _26388_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12991_), + .X(_08297_) + ); + sky130_fd_sc_hd__mux2_1 _26389_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12991_), + .X(_08298_) + ); + sky130_fd_sc_hd__mux2_1 _26390_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12991_), + .X(_08299_) + ); + sky130_fd_sc_hd__mux2_1 _26391_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12991_), + .X(_08300_) + ); + sky130_fd_sc_hd__mux2_1 _26392_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12991_), + .X(_08301_) + ); + sky130_fd_sc_hd__mux2_1 _26393_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12991_), + .X(_08302_) + ); + sky130_fd_sc_hd__mux2_1 _26394_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12991_), + .X(_08303_) + ); + sky130_fd_sc_hd__mux2_1 _26395_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12991_), + .X(_08304_) + ); + sky130_fd_sc_hd__mux2_1 _26396_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12991_), + .X(_08305_) + ); + sky130_fd_sc_hd__mux2_1 _26397_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12991_), + .X(_08306_) + ); + sky130_fd_sc_hd__mux2_1 _26398_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12991_), + .X(_08307_) + ); + sky130_fd_sc_hd__mux2_1 _26399_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12991_), + .X(_08308_) + ); + sky130_fd_sc_hd__mux2_1 _26400_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12991_), + .X(_08309_) + ); + sky130_fd_sc_hd__mux2_1 _26401_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12991_), + .X(_08310_) + ); + sky130_fd_sc_hd__mux2_1 _26402_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12991_), + .X(_08311_) + ); + sky130_fd_sc_hd__mux2_1 _26403_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12991_), + .X(_08312_) + ); + sky130_fd_sc_hd__mux2_1 _26404_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12991_), + .X(_08313_) + ); + sky130_fd_sc_hd__mux2_1 _26405_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12991_), + .X(_08314_) + ); + sky130_fd_sc_hd__mux2_1 _26406_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12991_), + .X(_08315_) + ); + sky130_fd_sc_hd__mux2_1 _26407_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12991_), + .X(_08316_) + ); + sky130_fd_sc_hd__mux2_1 _26408_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12991_), + .X(_08317_) + ); + sky130_fd_sc_hd__mux2_1 _26409_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12991_), + .X(_08318_) + ); + sky130_fd_sc_hd__mux2_1 _26410_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12991_), + .X(_08319_) + ); + sky130_fd_sc_hd__mux2_1 _26411_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12991_), + .X(_08320_) + ); + sky130_fd_sc_hd__and4_2 _26412_ ( + .A(_05004_), + .B(_12976_), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .X(_12992_) + ); + sky130_fd_sc_hd__mux2_1 _26413_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ), + .S(_12992_), + .X(_08321_) + ); + sky130_fd_sc_hd__mux2_1 _26414_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ), + .S(_12992_), + .X(_08322_) + ); + sky130_fd_sc_hd__mux2_1 _26415_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ), + .S(_12992_), + .X(_08323_) + ); + sky130_fd_sc_hd__mux2_1 _26416_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ), + .S(_12992_), + .X(_08324_) + ); + sky130_fd_sc_hd__mux2_1 _26417_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ), + .S(_12992_), + .X(_08325_) + ); + sky130_fd_sc_hd__mux2_1 _26418_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ), + .S(_12992_), + .X(_08326_) + ); + sky130_fd_sc_hd__mux2_1 _26419_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ), + .S(_12992_), + .X(_08327_) + ); + sky130_fd_sc_hd__mux2_1 _26420_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ), + .S(_12992_), + .X(_08328_) + ); + sky130_fd_sc_hd__mux2_1 _26421_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ), + .S(_12992_), + .X(_08329_) + ); + sky130_fd_sc_hd__mux2_1 _26422_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ), + .S(_12992_), + .X(_08330_) + ); + sky130_fd_sc_hd__mux2_1 _26423_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ), + .S(_12992_), + .X(_08331_) + ); + sky130_fd_sc_hd__mux2_1 _26424_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ), + .S(_12992_), + .X(_08332_) + ); + sky130_fd_sc_hd__mux2_1 _26425_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ), + .S(_12992_), + .X(_08333_) + ); + sky130_fd_sc_hd__mux2_1 _26426_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ), + .S(_12992_), + .X(_08334_) + ); + sky130_fd_sc_hd__mux2_1 _26427_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ), + .S(_12992_), + .X(_08335_) + ); + sky130_fd_sc_hd__mux2_1 _26428_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ), + .S(_12992_), + .X(_08336_) + ); + sky130_fd_sc_hd__mux2_1 _26429_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ), + .S(_12992_), + .X(_08337_) + ); + sky130_fd_sc_hd__mux2_1 _26430_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ), + .S(_12992_), + .X(_08338_) + ); + sky130_fd_sc_hd__mux2_1 _26431_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ), + .S(_12992_), + .X(_08339_) + ); + sky130_fd_sc_hd__mux2_1 _26432_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ), + .S(_12992_), + .X(_08340_) + ); + sky130_fd_sc_hd__mux2_1 _26433_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ), + .S(_12992_), + .X(_08341_) + ); + sky130_fd_sc_hd__mux2_1 _26434_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ), + .S(_12992_), + .X(_08342_) + ); + sky130_fd_sc_hd__mux2_1 _26435_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ), + .S(_12992_), + .X(_08343_) + ); + sky130_fd_sc_hd__mux2_1 _26436_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ), + .S(_12992_), + .X(_08344_) + ); + sky130_fd_sc_hd__mux2_1 _26437_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ), + .S(_12992_), + .X(_08345_) + ); + sky130_fd_sc_hd__mux2_1 _26438_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ), + .S(_12992_), + .X(_08346_) + ); + sky130_fd_sc_hd__mux2_1 _26439_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ), + .S(_12992_), + .X(_08347_) + ); + sky130_fd_sc_hd__mux2_1 _26440_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ), + .S(_12992_), + .X(_08348_) + ); + sky130_fd_sc_hd__mux2_1 _26441_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ), + .S(_12992_), + .X(_08349_) + ); + sky130_fd_sc_hd__mux2_1 _26442_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ), + .S(_12992_), + .X(_08350_) + ); + sky130_fd_sc_hd__mux2_1 _26443_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ), + .S(_12992_), + .X(_08351_) + ); + sky130_fd_sc_hd__mux2_1 _26444_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ), + .S(_12992_), + .X(_08352_) + ); + sky130_fd_sc_hd__and4b_2 _26445_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12399_), + .D(_12408_), + .X(_12993_) + ); + sky130_fd_sc_hd__mux2_1 _26446_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][0] ), + .A1(_12352_), + .S(_12993_), + .X(_08353_) + ); + sky130_fd_sc_hd__mux2_1 _26447_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][1] ), + .A1(_12353_), + .S(_12993_), + .X(_08354_) + ); + sky130_fd_sc_hd__mux2_1 _26448_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][2] ), + .A1(_12354_), + .S(_12993_), + .X(_08355_) + ); + sky130_fd_sc_hd__mux2_1 _26449_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][3] ), + .A1(_12355_), + .S(_12993_), + .X(_08356_) + ); + sky130_fd_sc_hd__mux2_1 _26450_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][4] ), + .A1(_12356_), + .S(_12993_), + .X(_08357_) + ); + sky130_fd_sc_hd__mux2_1 _26451_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][5] ), + .A1(_12357_), + .S(_12993_), + .X(_08358_) + ); + sky130_fd_sc_hd__mux2_1 _26452_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][6] ), + .A1(_12358_), + .S(_12993_), + .X(_08359_) + ); + sky130_fd_sc_hd__mux2_1 _26453_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][7] ), + .A1(_12359_), + .S(_12993_), + .X(_08360_) + ); + sky130_fd_sc_hd__mux2_1 _26454_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][8] ), + .A1(_12360_), + .S(_12993_), + .X(_08361_) + ); + sky130_fd_sc_hd__mux2_1 _26455_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][9] ), + .A1(_12361_), + .S(_12993_), + .X(_08362_) + ); + sky130_fd_sc_hd__mux2_1 _26456_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][10] ), + .A1(_12362_), + .S(_12993_), + .X(_08363_) + ); + sky130_fd_sc_hd__mux2_1 _26457_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][11] ), + .A1(_12363_), + .S(_12993_), + .X(_08364_) + ); + sky130_fd_sc_hd__mux2_1 _26458_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][12] ), + .A1(_12364_), + .S(_12993_), + .X(_08365_) + ); + sky130_fd_sc_hd__mux2_1 _26459_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][13] ), + .A1(_12365_), + .S(_12993_), + .X(_08366_) + ); + sky130_fd_sc_hd__mux2_1 _26460_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][14] ), + .A1(_12366_), + .S(_12993_), + .X(_08367_) + ); + sky130_fd_sc_hd__mux2_1 _26461_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][15] ), + .A1(_12367_), + .S(_12993_), + .X(_08368_) + ); + sky130_fd_sc_hd__mux2_1 _26462_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][16] ), + .A1(_12368_), + .S(_12993_), + .X(_08369_) + ); + sky130_fd_sc_hd__mux2_1 _26463_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][17] ), + .A1(_12369_), + .S(_12993_), + .X(_08370_) + ); + sky130_fd_sc_hd__mux2_1 _26464_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][18] ), + .A1(_12370_), + .S(_12993_), + .X(_08371_) + ); + sky130_fd_sc_hd__mux2_1 _26465_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][19] ), + .A1(_12371_), + .S(_12993_), + .X(_08372_) + ); + sky130_fd_sc_hd__mux2_1 _26466_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][20] ), + .A1(_12372_), + .S(_12993_), + .X(_08373_) + ); + sky130_fd_sc_hd__mux2_1 _26467_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][21] ), + .A1(_12373_), + .S(_12993_), + .X(_08374_) + ); + sky130_fd_sc_hd__mux2_1 _26468_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][22] ), + .A1(_12374_), + .S(_12993_), + .X(_08375_) + ); + sky130_fd_sc_hd__mux2_1 _26469_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][23] ), + .A1(_12375_), + .S(_12993_), + .X(_08376_) + ); + sky130_fd_sc_hd__mux2_1 _26470_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][24] ), + .A1(_12376_), + .S(_12993_), + .X(_08377_) + ); + sky130_fd_sc_hd__mux2_1 _26471_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][25] ), + .A1(_12377_), + .S(_12993_), + .X(_08378_) + ); + sky130_fd_sc_hd__mux2_1 _26472_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][26] ), + .A1(_12378_), + .S(_12993_), + .X(_08379_) + ); + sky130_fd_sc_hd__mux2_1 _26473_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][27] ), + .A1(_12379_), + .S(_12993_), + .X(_08380_) + ); + sky130_fd_sc_hd__mux2_1 _26474_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][28] ), + .A1(_12380_), + .S(_12993_), + .X(_08381_) + ); + sky130_fd_sc_hd__mux2_1 _26475_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][29] ), + .A1(_12381_), + .S(_12993_), + .X(_08382_) + ); + sky130_fd_sc_hd__mux2_1 _26476_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][30] ), + .A1(_12382_), + .S(_12993_), + .X(_08383_) + ); + sky130_fd_sc_hd__mux2_1 _26477_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[24][31] ), + .A1(_12383_), + .S(_12993_), + .X(_08384_) + ); + sky130_fd_sc_hd__nor3b_2 _26478_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isValid ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_valid ), + .C_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushPending ), + .Y(_12994_) + ); + sky130_fd_sc_hd__nor2_2 _26479_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[0] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .Y(_12995_) + ); + sky130_fd_sc_hd__nor2_2 _26480_ ( + .A(_12994_), + .B(_12995_), + .Y(_08385_) + ); + sky130_fd_sc_hd__nand3b_2 _26481_ ( + .A_N(\soc.core.VexRiscv._zz_2 ), + .B(_12350_), + .C(_12402_), + .Y(_12996_) + ); + sky130_fd_sc_hd__nand2_2 _26482_ ( + .A(_12996_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[1][0] ), + .Y(_12997_) + ); + sky130_fd_sc_hd__o21ai_2 _26483_ ( + .A1(_03967_), + .A2(_12996_), + .B1(_12997_), + .Y(_08386_) + ); + sky130_fd_sc_hd__nand2_2 _26484_ ( + .A(_12996_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[1][1] ), + .Y(_12998_) + ); + sky130_fd_sc_hd__o21ai_2 _26485_ ( + .A1(_03973_), + .A2(_12996_), + .B1(_12998_), + .Y(_08387_) + ); + sky130_fd_sc_hd__mux2_1 _26486_ ( + .A0(_04521_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][2] ), + .S(_12996_), + .X(_08388_) + ); + sky130_fd_sc_hd__mux2_1 _26487_ ( + .A0(_04522_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][3] ), + .S(_12996_), + .X(_08389_) + ); + sky130_fd_sc_hd__mux2_1 _26488_ ( + .A0(_04523_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][4] ), + .S(_12996_), + .X(_08390_) + ); + sky130_fd_sc_hd__mux2_1 _26489_ ( + .A0(_04524_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][5] ), + .S(_12996_), + .X(_08391_) + ); + sky130_fd_sc_hd__mux2_1 _26490_ ( + .A0(_04525_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][6] ), + .S(_12996_), + .X(_08392_) + ); + sky130_fd_sc_hd__mux2_1 _26491_ ( + .A0(_04526_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][7] ), + .S(_12996_), + .X(_08393_) + ); + sky130_fd_sc_hd__mux2_1 _26492_ ( + .A0(_04527_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][8] ), + .S(_12996_), + .X(_08394_) + ); + sky130_fd_sc_hd__mux2_1 _26493_ ( + .A0(_04528_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][9] ), + .S(_12996_), + .X(_08395_) + ); + sky130_fd_sc_hd__mux2_1 _26494_ ( + .A0(_04529_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][10] ), + .S(_12996_), + .X(_08396_) + ); + sky130_fd_sc_hd__mux2_1 _26495_ ( + .A0(_04530_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][11] ), + .S(_12996_), + .X(_08397_) + ); + sky130_fd_sc_hd__mux2_1 _26496_ ( + .A0(_04531_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][12] ), + .S(_12996_), + .X(_08398_) + ); + sky130_fd_sc_hd__mux2_1 _26497_ ( + .A0(_04532_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][13] ), + .S(_12996_), + .X(_08399_) + ); + sky130_fd_sc_hd__mux2_1 _26498_ ( + .A0(_04533_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][14] ), + .S(_12996_), + .X(_08400_) + ); + sky130_fd_sc_hd__mux2_1 _26499_ ( + .A0(_04534_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][15] ), + .S(_12996_), + .X(_08401_) + ); + sky130_fd_sc_hd__mux2_1 _26500_ ( + .A0(_04535_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][16] ), + .S(_12996_), + .X(_08402_) + ); + sky130_fd_sc_hd__mux2_1 _26501_ ( + .A0(_04536_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][17] ), + .S(_12996_), + .X(_08403_) + ); + sky130_fd_sc_hd__mux2_1 _26502_ ( + .A0(_04537_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][18] ), + .S(_12996_), + .X(_08404_) + ); + sky130_fd_sc_hd__mux2_1 _26503_ ( + .A0(_04538_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][19] ), + .S(_12996_), + .X(_08405_) + ); + sky130_fd_sc_hd__mux2_1 _26504_ ( + .A0(_04539_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][20] ), + .S(_12996_), + .X(_08406_) + ); + sky130_fd_sc_hd__mux2_1 _26505_ ( + .A0(_04540_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][21] ), + .S(_12996_), + .X(_08407_) + ); + sky130_fd_sc_hd__mux2_1 _26506_ ( + .A0(_04541_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][22] ), + .S(_12996_), + .X(_08408_) + ); + sky130_fd_sc_hd__mux2_1 _26507_ ( + .A0(_04542_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][23] ), + .S(_12996_), + .X(_08409_) + ); + sky130_fd_sc_hd__mux2_1 _26508_ ( + .A0(_04216_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][24] ), + .S(_12996_), + .X(_08410_) + ); + sky130_fd_sc_hd__mux2_1 _26509_ ( + .A0(_04218_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][25] ), + .S(_12996_), + .X(_08411_) + ); + sky130_fd_sc_hd__mux2_1 _26510_ ( + .A0(_04220_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][26] ), + .S(_12996_), + .X(_08412_) + ); + sky130_fd_sc_hd__mux2_1 _26511_ ( + .A0(_04222_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][27] ), + .S(_12996_), + .X(_08413_) + ); + sky130_fd_sc_hd__mux2_1 _26512_ ( + .A0(_04224_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][28] ), + .S(_12996_), + .X(_08414_) + ); + sky130_fd_sc_hd__mux2_1 _26513_ ( + .A0(_04226_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][29] ), + .S(_12996_), + .X(_08415_) + ); + sky130_fd_sc_hd__mux2_1 _26514_ ( + .A0(_04228_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][30] ), + .S(_12996_), + .X(_08416_) + ); + sky130_fd_sc_hd__mux2_1 _26515_ ( + .A0(_04230_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[1][31] ), + .S(_12996_), + .X(_08417_) + ); + sky130_fd_sc_hd__and4b_2 _26516_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(_12399_), + .C(_12408_), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .X(_12999_) + ); + sky130_fd_sc_hd__mux2_1 _26517_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][0] ), + .A1(_12352_), + .S(_12999_), + .X(_08418_) + ); + sky130_fd_sc_hd__mux2_1 _26518_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][1] ), + .A1(_12353_), + .S(_12999_), + .X(_08419_) + ); + sky130_fd_sc_hd__mux2_1 _26519_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][2] ), + .A1(_12354_), + .S(_12999_), + .X(_08420_) + ); + sky130_fd_sc_hd__mux2_1 _26520_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][3] ), + .A1(_12355_), + .S(_12999_), + .X(_08421_) + ); + sky130_fd_sc_hd__mux2_1 _26521_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][4] ), + .A1(_12356_), + .S(_12999_), + .X(_08422_) + ); + sky130_fd_sc_hd__mux2_1 _26522_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][5] ), + .A1(_12357_), + .S(_12999_), + .X(_08423_) + ); + sky130_fd_sc_hd__mux2_1 _26523_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][6] ), + .A1(_12358_), + .S(_12999_), + .X(_08424_) + ); + sky130_fd_sc_hd__mux2_1 _26524_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][7] ), + .A1(_12359_), + .S(_12999_), + .X(_08425_) + ); + sky130_fd_sc_hd__mux2_1 _26525_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][8] ), + .A1(_12360_), + .S(_12999_), + .X(_08426_) + ); + sky130_fd_sc_hd__mux2_1 _26526_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][9] ), + .A1(_12361_), + .S(_12999_), + .X(_08427_) + ); + sky130_fd_sc_hd__mux2_1 _26527_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][10] ), + .A1(_12362_), + .S(_12999_), + .X(_08428_) + ); + sky130_fd_sc_hd__mux2_1 _26528_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][11] ), + .A1(_12363_), + .S(_12999_), + .X(_08429_) + ); + sky130_fd_sc_hd__mux2_1 _26529_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][12] ), + .A1(_12364_), + .S(_12999_), + .X(_08430_) + ); + sky130_fd_sc_hd__mux2_1 _26530_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][13] ), + .A1(_12365_), + .S(_12999_), + .X(_08431_) + ); + sky130_fd_sc_hd__mux2_1 _26531_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][14] ), + .A1(_12366_), + .S(_12999_), + .X(_08432_) + ); + sky130_fd_sc_hd__mux2_1 _26532_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][15] ), + .A1(_12367_), + .S(_12999_), + .X(_08433_) + ); + sky130_fd_sc_hd__mux2_1 _26533_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][16] ), + .A1(_12368_), + .S(_12999_), + .X(_08434_) + ); + sky130_fd_sc_hd__mux2_1 _26534_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][17] ), + .A1(_12369_), + .S(_12999_), + .X(_08435_) + ); + sky130_fd_sc_hd__mux2_1 _26535_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][18] ), + .A1(_12370_), + .S(_12999_), + .X(_08436_) + ); + sky130_fd_sc_hd__mux2_1 _26536_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][19] ), + .A1(_12371_), + .S(_12999_), + .X(_08437_) + ); + sky130_fd_sc_hd__mux2_1 _26537_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][20] ), + .A1(_12372_), + .S(_12999_), + .X(_08438_) + ); + sky130_fd_sc_hd__mux2_1 _26538_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][21] ), + .A1(_12373_), + .S(_12999_), + .X(_08439_) + ); + sky130_fd_sc_hd__mux2_1 _26539_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][22] ), + .A1(_12374_), + .S(_12999_), + .X(_08440_) + ); + sky130_fd_sc_hd__mux2_1 _26540_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][23] ), + .A1(_12375_), + .S(_12999_), + .X(_08441_) + ); + sky130_fd_sc_hd__mux2_1 _26541_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][24] ), + .A1(_12376_), + .S(_12999_), + .X(_08442_) + ); + sky130_fd_sc_hd__mux2_1 _26542_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][25] ), + .A1(_12377_), + .S(_12999_), + .X(_08443_) + ); + sky130_fd_sc_hd__mux2_1 _26543_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][26] ), + .A1(_12378_), + .S(_12999_), + .X(_08444_) + ); + sky130_fd_sc_hd__mux2_1 _26544_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][27] ), + .A1(_12379_), + .S(_12999_), + .X(_08445_) + ); + sky130_fd_sc_hd__mux2_1 _26545_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][28] ), + .A1(_12380_), + .S(_12999_), + .X(_08446_) + ); + sky130_fd_sc_hd__mux2_1 _26546_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][29] ), + .A1(_12381_), + .S(_12999_), + .X(_08447_) + ); + sky130_fd_sc_hd__mux2_1 _26547_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][30] ), + .A1(_12382_), + .S(_12999_), + .X(_08448_) + ); + sky130_fd_sc_hd__mux2_1 _26548_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[20][31] ), + .A1(_12383_), + .S(_12999_), + .X(_08449_) + ); + sky130_fd_sc_hd__nand4b_2 _26549_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(_12402_), + .C(_12408_), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .Y(_13000_) + ); + sky130_fd_sc_hd__nand2_2 _26550_ ( + .A(_13000_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[21][0] ), + .Y(_13001_) + ); + sky130_fd_sc_hd__o21ai_2 _26551_ ( + .A1(_03967_), + .A2(_13000_), + .B1(_13001_), + .Y(_08450_) + ); + sky130_fd_sc_hd__nand2_2 _26552_ ( + .A(_13000_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[21][1] ), + .Y(_13002_) + ); + sky130_fd_sc_hd__o21ai_2 _26553_ ( + .A1(_03973_), + .A2(_13000_), + .B1(_13002_), + .Y(_08451_) + ); + sky130_fd_sc_hd__mux2_1 _26554_ ( + .A0(_04521_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][2] ), + .S(_13000_), + .X(_08452_) + ); + sky130_fd_sc_hd__mux2_1 _26555_ ( + .A0(_04522_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][3] ), + .S(_13000_), + .X(_08453_) + ); + sky130_fd_sc_hd__mux2_1 _26556_ ( + .A0(_04523_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][4] ), + .S(_13000_), + .X(_08454_) + ); + sky130_fd_sc_hd__mux2_1 _26557_ ( + .A0(_04524_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][5] ), + .S(_13000_), + .X(_08455_) + ); + sky130_fd_sc_hd__mux2_1 _26558_ ( + .A0(_04525_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][6] ), + .S(_13000_), + .X(_08456_) + ); + sky130_fd_sc_hd__mux2_1 _26559_ ( + .A0(_04526_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][7] ), + .S(_13000_), + .X(_08457_) + ); + sky130_fd_sc_hd__mux2_1 _26560_ ( + .A0(_04527_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][8] ), + .S(_13000_), + .X(_08458_) + ); + sky130_fd_sc_hd__mux2_1 _26561_ ( + .A0(_04528_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][9] ), + .S(_13000_), + .X(_08459_) + ); + sky130_fd_sc_hd__mux2_1 _26562_ ( + .A0(_04529_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][10] ), + .S(_13000_), + .X(_08460_) + ); + sky130_fd_sc_hd__mux2_1 _26563_ ( + .A0(_04530_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][11] ), + .S(_13000_), + .X(_08461_) + ); + sky130_fd_sc_hd__mux2_1 _26564_ ( + .A0(_04531_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][12] ), + .S(_13000_), + .X(_08462_) + ); + sky130_fd_sc_hd__mux2_1 _26565_ ( + .A0(_04532_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][13] ), + .S(_13000_), + .X(_08463_) + ); + sky130_fd_sc_hd__mux2_1 _26566_ ( + .A0(_04533_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][14] ), + .S(_13000_), + .X(_08464_) + ); + sky130_fd_sc_hd__mux2_1 _26567_ ( + .A0(_04534_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][15] ), + .S(_13000_), + .X(_08465_) + ); + sky130_fd_sc_hd__mux2_1 _26568_ ( + .A0(_04535_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][16] ), + .S(_13000_), + .X(_08466_) + ); + sky130_fd_sc_hd__mux2_1 _26569_ ( + .A0(_04536_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][17] ), + .S(_13000_), + .X(_08467_) + ); + sky130_fd_sc_hd__mux2_1 _26570_ ( + .A0(_04537_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][18] ), + .S(_13000_), + .X(_08468_) + ); + sky130_fd_sc_hd__mux2_1 _26571_ ( + .A0(_04538_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][19] ), + .S(_13000_), + .X(_08469_) + ); + sky130_fd_sc_hd__mux2_1 _26572_ ( + .A0(_04539_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][20] ), + .S(_13000_), + .X(_08470_) + ); + sky130_fd_sc_hd__mux2_1 _26573_ ( + .A0(_04540_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][21] ), + .S(_13000_), + .X(_08471_) + ); + sky130_fd_sc_hd__mux2_1 _26574_ ( + .A0(_04541_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][22] ), + .S(_13000_), + .X(_08472_) + ); + sky130_fd_sc_hd__mux2_1 _26575_ ( + .A0(_04542_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][23] ), + .S(_13000_), + .X(_08473_) + ); + sky130_fd_sc_hd__mux2_1 _26576_ ( + .A0(_04216_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][24] ), + .S(_13000_), + .X(_08474_) + ); + sky130_fd_sc_hd__mux2_1 _26577_ ( + .A0(_04218_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][25] ), + .S(_13000_), + .X(_08475_) + ); + sky130_fd_sc_hd__mux2_1 _26578_ ( + .A0(_04220_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][26] ), + .S(_13000_), + .X(_08476_) + ); + sky130_fd_sc_hd__mux2_1 _26579_ ( + .A0(_04222_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][27] ), + .S(_13000_), + .X(_08477_) + ); + sky130_fd_sc_hd__mux2_1 _26580_ ( + .A0(_04224_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][28] ), + .S(_13000_), + .X(_08478_) + ); + sky130_fd_sc_hd__mux2_1 _26581_ ( + .A0(_04226_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][29] ), + .S(_13000_), + .X(_08479_) + ); + sky130_fd_sc_hd__mux2_1 _26582_ ( + .A0(_04228_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][30] ), + .S(_13000_), + .X(_08480_) + ); + sky130_fd_sc_hd__mux2_1 _26583_ ( + .A0(_04230_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[21][31] ), + .S(_13000_), + .X(_08481_) + ); + sky130_fd_sc_hd__and4b_2 _26584_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(_12349_), + .C(_12408_), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .X(_13003_) + ); + sky130_fd_sc_hd__mux2_1 _26585_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][0] ), + .A1(_12352_), + .S(_13003_), + .X(_08482_) + ); + sky130_fd_sc_hd__mux2_1 _26586_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][1] ), + .A1(_12353_), + .S(_13003_), + .X(_08483_) + ); + sky130_fd_sc_hd__mux2_1 _26587_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][2] ), + .A1(_12354_), + .S(_13003_), + .X(_08484_) + ); + sky130_fd_sc_hd__mux2_1 _26588_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][3] ), + .A1(_12355_), + .S(_13003_), + .X(_08485_) + ); + sky130_fd_sc_hd__mux2_1 _26589_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][4] ), + .A1(_12356_), + .S(_13003_), + .X(_08486_) + ); + sky130_fd_sc_hd__mux2_1 _26590_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][5] ), + .A1(_12357_), + .S(_13003_), + .X(_08487_) + ); + sky130_fd_sc_hd__mux2_1 _26591_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][6] ), + .A1(_12358_), + .S(_13003_), + .X(_08488_) + ); + sky130_fd_sc_hd__mux2_1 _26592_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][7] ), + .A1(_12359_), + .S(_13003_), + .X(_08489_) + ); + sky130_fd_sc_hd__mux2_1 _26593_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][8] ), + .A1(_12360_), + .S(_13003_), + .X(_08490_) + ); + sky130_fd_sc_hd__mux2_1 _26594_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][9] ), + .A1(_12361_), + .S(_13003_), + .X(_08491_) + ); + sky130_fd_sc_hd__mux2_1 _26595_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][10] ), + .A1(_12362_), + .S(_13003_), + .X(_08492_) + ); + sky130_fd_sc_hd__mux2_1 _26596_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][11] ), + .A1(_12363_), + .S(_13003_), + .X(_08493_) + ); + sky130_fd_sc_hd__mux2_1 _26597_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][12] ), + .A1(_12364_), + .S(_13003_), + .X(_08494_) + ); + sky130_fd_sc_hd__mux2_1 _26598_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][13] ), + .A1(_12365_), + .S(_13003_), + .X(_08495_) + ); + sky130_fd_sc_hd__mux2_1 _26599_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][14] ), + .A1(_12366_), + .S(_13003_), + .X(_08496_) + ); + sky130_fd_sc_hd__mux2_1 _26600_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][15] ), + .A1(_12367_), + .S(_13003_), + .X(_08497_) + ); + sky130_fd_sc_hd__mux2_1 _26601_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][16] ), + .A1(_12368_), + .S(_13003_), + .X(_08498_) + ); + sky130_fd_sc_hd__mux2_1 _26602_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][17] ), + .A1(_12369_), + .S(_13003_), + .X(_08499_) + ); + sky130_fd_sc_hd__mux2_1 _26603_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][18] ), + .A1(_12370_), + .S(_13003_), + .X(_08500_) + ); + sky130_fd_sc_hd__mux2_1 _26604_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][19] ), + .A1(_12371_), + .S(_13003_), + .X(_08501_) + ); + sky130_fd_sc_hd__mux2_1 _26605_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][20] ), + .A1(_12372_), + .S(_13003_), + .X(_08502_) + ); + sky130_fd_sc_hd__mux2_1 _26606_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][21] ), + .A1(_12373_), + .S(_13003_), + .X(_08503_) + ); + sky130_fd_sc_hd__mux2_1 _26607_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][22] ), + .A1(_12374_), + .S(_13003_), + .X(_08504_) + ); + sky130_fd_sc_hd__mux2_1 _26608_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][23] ), + .A1(_12375_), + .S(_13003_), + .X(_08505_) + ); + sky130_fd_sc_hd__mux2_1 _26609_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][24] ), + .A1(_12376_), + .S(_13003_), + .X(_08506_) + ); + sky130_fd_sc_hd__mux2_1 _26610_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][25] ), + .A1(_12377_), + .S(_13003_), + .X(_08507_) + ); + sky130_fd_sc_hd__mux2_1 _26611_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][26] ), + .A1(_12378_), + .S(_13003_), + .X(_08508_) + ); + sky130_fd_sc_hd__mux2_1 _26612_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][27] ), + .A1(_12379_), + .S(_13003_), + .X(_08509_) + ); + sky130_fd_sc_hd__mux2_1 _26613_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][28] ), + .A1(_12380_), + .S(_13003_), + .X(_08510_) + ); + sky130_fd_sc_hd__mux2_1 _26614_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][29] ), + .A1(_12381_), + .S(_13003_), + .X(_08511_) + ); + sky130_fd_sc_hd__mux2_1 _26615_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][30] ), + .A1(_12382_), + .S(_13003_), + .X(_08512_) + ); + sky130_fd_sc_hd__mux2_1 _26616_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[22][31] ), + .A1(_12383_), + .S(_13003_), + .X(_08513_) + ); + sky130_fd_sc_hd__nor4_2 _26617_ ( + .A(\soc.core.uart_rx_fifo_level0[3] ), + .B(\soc.core.uart_rx_fifo_level0[2] ), + .C(\soc.core.uart_rx_fifo_level0[1] ), + .D(\soc.core.uart_rx_fifo_level0[0] ), + .Y(_13004_) + ); + sky130_fd_sc_hd__nand2_2 _26618_ ( + .A(\soc.core.uart_rx_fifo_level0[4] ), + .B(_13004_), + .Y(_13005_) + ); + sky130_fd_sc_hd__and2_4 _26619_ ( + .A(\soc.core.uart_phy_rx_tick ), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .X(_13006_) + ); + sky130_fd_sc_hd__nand2_2 _26620_ ( + .A(\soc.core.uart_phy_rx_tick ), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13007_) + ); + sky130_fd_sc_hd__and4_2 _26621_ ( + .A(_13005_), + .B(_13006_), + .C(\soc.core.multiregimpl0_regs1 ), + .D(_11827_), + .X(_13008_) + ); + sky130_fd_sc_hd__nand4_2 _26622_ ( + .A(_13005_), + .B(_13006_), + .C(\soc.core.multiregimpl0_regs1 ), + .D(_11827_), + .Y(_13009_) + ); + sky130_fd_sc_hd__and3_2 _26623_ ( + .A(\soc.core.uart_rx_fifo_produce[1] ), + .B(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .X(_13010_) + ); + sky130_fd_sc_hd__and2_4 _26624_ ( + .A(\soc.core.uart_rx_fifo_produce[3] ), + .B(\soc.core.uart_rx_fifo_produce[2] ), + .X(_13011_) + ); + sky130_fd_sc_hd__nand2_2 _26625_ ( + .A(_13010_), + .B(_13011_), + .Y(_13012_) + ); + sky130_fd_sc_hd__and3_2 _26626_ ( + .A(\soc.core.uart_phy_rx_data[0] ), + .B(_11827_), + .C(_13006_), + .X(_13013_) + ); + sky130_fd_sc_hd__mux2_1 _26627_ ( + .A0(_13013_), + .A1(\soc.core.storage_1[15][0] ), + .S(_13012_), + .X(_08514_) + ); + sky130_fd_sc_hd__and3_2 _26628_ ( + .A(\soc.core.uart_phy_rx_data[1] ), + .B(\soc.core.uart_phy_rx_tick ), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_13014_) + ); + sky130_fd_sc_hd__and3_2 _26629_ ( + .A(\soc.core.uart_phy_rx_data[1] ), + .B(_11827_), + .C(_13006_), + .X(_13015_) + ); + sky130_fd_sc_hd__mux2_1 _26630_ ( + .A0(_13015_), + .A1(\soc.core.storage_1[15][1] ), + .S(_13012_), + .X(_08515_) + ); + sky130_fd_sc_hd__and3_2 _26631_ ( + .A(\soc.core.uart_phy_rx_data[2] ), + .B(\soc.core.uart_phy_rx_tick ), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_13016_) + ); + sky130_fd_sc_hd__and3_2 _26632_ ( + .A(\soc.core.uart_phy_rx_data[2] ), + .B(_11827_), + .C(_13006_), + .X(_13017_) + ); + sky130_fd_sc_hd__mux2_1 _26633_ ( + .A0(_13017_), + .A1(\soc.core.storage_1[15][2] ), + .S(_13012_), + .X(_08516_) + ); + sky130_fd_sc_hd__and3_2 _26634_ ( + .A(\soc.core.uart_phy_rx_data[3] ), + .B(\soc.core.uart_phy_rx_tick ), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_13018_) + ); + sky130_fd_sc_hd__and3_2 _26635_ ( + .A(\soc.core.uart_phy_rx_data[3] ), + .B(_11827_), + .C(_13006_), + .X(_13019_) + ); + sky130_fd_sc_hd__mux2_1 _26636_ ( + .A0(_13019_), + .A1(\soc.core.storage_1[15][3] ), + .S(_13012_), + .X(_08517_) + ); + sky130_fd_sc_hd__and3_2 _26637_ ( + .A(\soc.core.uart_phy_rx_data[4] ), + .B(\soc.core.uart_phy_rx_tick ), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_13020_) + ); + sky130_fd_sc_hd__and3_2 _26638_ ( + .A(\soc.core.uart_phy_rx_data[4] ), + .B(_11827_), + .C(_13006_), + .X(_13021_) + ); + sky130_fd_sc_hd__mux2_1 _26639_ ( + .A0(_13021_), + .A1(\soc.core.storage_1[15][4] ), + .S(_13012_), + .X(_08518_) + ); + sky130_fd_sc_hd__and3_2 _26640_ ( + .A(\soc.core.uart_phy_rx_data[5] ), + .B(\soc.core.uart_phy_rx_tick ), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_13022_) + ); + sky130_fd_sc_hd__mux2_1 _26641_ ( + .A0(_13022_), + .A1(\soc.core.storage_1[15][5] ), + .S(_13012_), + .X(_08519_) + ); + sky130_fd_sc_hd__and3_2 _26642_ ( + .A(\soc.core.uart_phy_rx_data[6] ), + .B(\soc.core.uart_phy_rx_tick ), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_13023_) + ); + sky130_fd_sc_hd__and3_2 _26643_ ( + .A(\soc.core.uart_phy_rx_data[6] ), + .B(_11827_), + .C(_13006_), + .X(_13024_) + ); + sky130_fd_sc_hd__mux2_1 _26644_ ( + .A0(_13024_), + .A1(\soc.core.storage_1[15][6] ), + .S(_13012_), + .X(_08520_) + ); + sky130_fd_sc_hd__and3_2 _26645_ ( + .A(\soc.core.uart_phy_rx_data[7] ), + .B(_11827_), + .C(_13006_), + .X(_13025_) + ); + sky130_fd_sc_hd__mux2_1 _26646_ ( + .A0(_13025_), + .A1(\soc.core.storage_1[15][7] ), + .S(_13012_), + .X(_08521_) + ); + sky130_fd_sc_hd__and4b_2 _26647_ ( + .A_N(\soc.core.uart_rx_fifo_produce[0] ), + .B(_13008_), + .C(_13011_), + .D(\soc.core.uart_rx_fifo_produce[1] ), + .X(_13026_) + ); + sky130_fd_sc_hd__mux2_1 _26648_ ( + .A0(\soc.core.storage_1[14][0] ), + .A1(_13013_), + .S(_13026_), + .X(_08522_) + ); + sky130_fd_sc_hd__mux2_1 _26649_ ( + .A0(\soc.core.storage_1[14][1] ), + .A1(_13015_), + .S(_13026_), + .X(_08523_) + ); + sky130_fd_sc_hd__mux2_1 _26650_ ( + .A0(\soc.core.storage_1[14][2] ), + .A1(_13017_), + .S(_13026_), + .X(_08524_) + ); + sky130_fd_sc_hd__mux2_1 _26651_ ( + .A0(\soc.core.storage_1[14][3] ), + .A1(_13019_), + .S(_13026_), + .X(_08525_) + ); + sky130_fd_sc_hd__mux2_1 _26652_ ( + .A0(\soc.core.storage_1[14][4] ), + .A1(_13021_), + .S(_13026_), + .X(_08526_) + ); + sky130_fd_sc_hd__and3_2 _26653_ ( + .A(\soc.core.uart_phy_rx_data[5] ), + .B(_11827_), + .C(_13006_), + .X(_13027_) + ); + sky130_fd_sc_hd__and4bb_2 _26654_ ( + .A_N(\soc.core.uart_rx_fifo_produce[0] ), + .B_N(_13027_), + .C(_13008_), + .D(\soc.core.uart_rx_fifo_produce[1] ), + .X(_13028_) + ); + sky130_fd_sc_hd__o2bb2a_2 _26655_ ( + .A1_N(_13011_), + .A2_N(_13028_), + .B1(_13026_), + .B2(\soc.core.storage_1[14][5] ), + .X(_08527_) + ); + sky130_fd_sc_hd__mux2_1 _26656_ ( + .A0(\soc.core.storage_1[14][6] ), + .A1(_13024_), + .S(_13026_), + .X(_08528_) + ); + sky130_fd_sc_hd__mux2_1 _26657_ ( + .A0(\soc.core.storage_1[14][7] ), + .A1(_13025_), + .S(_13026_), + .X(_08529_) + ); + sky130_fd_sc_hd__and4b_2 _26658_ ( + .A_N(\soc.core.uart_rx_fifo_produce[1] ), + .B(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13011_), + .X(_13029_) + ); + sky130_fd_sc_hd__mux2_1 _26659_ ( + .A0(\soc.core.storage_1[13][0] ), + .A1(_13013_), + .S(_13029_), + .X(_08530_) + ); + sky130_fd_sc_hd__mux2_1 _26660_ ( + .A0(\soc.core.storage_1[13][1] ), + .A1(_13015_), + .S(_13029_), + .X(_08531_) + ); + sky130_fd_sc_hd__mux2_1 _26661_ ( + .A0(\soc.core.storage_1[13][2] ), + .A1(_13017_), + .S(_13029_), + .X(_08532_) + ); + sky130_fd_sc_hd__mux2_1 _26662_ ( + .A0(\soc.core.storage_1[13][3] ), + .A1(_13018_), + .S(_13029_), + .X(_08533_) + ); + sky130_fd_sc_hd__mux2_1 _26663_ ( + .A0(\soc.core.storage_1[13][4] ), + .A1(_13021_), + .S(_13029_), + .X(_08534_) + ); + sky130_fd_sc_hd__mux2_1 _26664_ ( + .A0(\soc.core.storage_1[13][5] ), + .A1(_13022_), + .S(_13029_), + .X(_08535_) + ); + sky130_fd_sc_hd__mux2_1 _26665_ ( + .A0(\soc.core.storage_1[13][6] ), + .A1(_13024_), + .S(_13029_), + .X(_08536_) + ); + sky130_fd_sc_hd__mux2_1 _26666_ ( + .A0(\soc.core.storage_1[13][7] ), + .A1(_13025_), + .S(_13029_), + .X(_08537_) + ); + sky130_fd_sc_hd__and4bb_2 _26667_ ( + .A_N(\soc.core.uart_rx_fifo_produce[1] ), + .B_N(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13011_), + .X(_13030_) + ); + sky130_fd_sc_hd__mux2_1 _26668_ ( + .A0(\soc.core.storage_1[12][0] ), + .A1(_13013_), + .S(_13030_), + .X(_08538_) + ); + sky130_fd_sc_hd__mux2_1 _26669_ ( + .A0(\soc.core.storage_1[12][1] ), + .A1(_13015_), + .S(_13030_), + .X(_08539_) + ); + sky130_fd_sc_hd__mux2_1 _26670_ ( + .A0(\soc.core.storage_1[12][2] ), + .A1(_13017_), + .S(_13030_), + .X(_08540_) + ); + sky130_fd_sc_hd__mux2_1 _26671_ ( + .A0(\soc.core.storage_1[12][3] ), + .A1(_13019_), + .S(_13030_), + .X(_08541_) + ); + sky130_fd_sc_hd__mux2_1 _26672_ ( + .A0(\soc.core.storage_1[12][4] ), + .A1(_13021_), + .S(_13030_), + .X(_08542_) + ); + sky130_fd_sc_hd__mux2_1 _26673_ ( + .A0(\soc.core.storage_1[12][5] ), + .A1(_13022_), + .S(_13030_), + .X(_08543_) + ); + sky130_fd_sc_hd__mux2_1 _26674_ ( + .A0(\soc.core.storage_1[12][6] ), + .A1(_13024_), + .S(_13030_), + .X(_08544_) + ); + sky130_fd_sc_hd__mux2_1 _26675_ ( + .A0(\soc.core.storage_1[12][7] ), + .A1(_13025_), + .S(_13030_), + .X(_08545_) + ); + sky130_fd_sc_hd__and2b_2 _26676_ ( + .A_N(\soc.core.uart_rx_fifo_produce[2] ), + .B(\soc.core.uart_rx_fifo_produce[3] ), + .X(_13031_) + ); + sky130_fd_sc_hd__nand2_2 _26677_ ( + .A(_13010_), + .B(_13031_), + .Y(_13032_) + ); + sky130_fd_sc_hd__mux2_1 _26678_ ( + .A0(_13013_), + .A1(\soc.core.storage_1[11][0] ), + .S(_13032_), + .X(_08546_) + ); + sky130_fd_sc_hd__mux2_1 _26679_ ( + .A0(_13014_), + .A1(\soc.core.storage_1[11][1] ), + .S(_13032_), + .X(_08547_) + ); + sky130_fd_sc_hd__mux2_1 _26680_ ( + .A0(_13017_), + .A1(\soc.core.storage_1[11][2] ), + .S(_13032_), + .X(_08548_) + ); + sky130_fd_sc_hd__mux2_1 _26681_ ( + .A0(_13018_), + .A1(\soc.core.storage_1[11][3] ), + .S(_13032_), + .X(_08549_) + ); + sky130_fd_sc_hd__mux2_1 _26682_ ( + .A0(_13020_), + .A1(\soc.core.storage_1[11][4] ), + .S(_13032_), + .X(_08550_) + ); + sky130_fd_sc_hd__mux2_1 _26683_ ( + .A0(_13022_), + .A1(\soc.core.storage_1[11][5] ), + .S(_13032_), + .X(_08551_) + ); + sky130_fd_sc_hd__mux2_1 _26684_ ( + .A0(_13023_), + .A1(\soc.core.storage_1[11][6] ), + .S(_13032_), + .X(_08552_) + ); + sky130_fd_sc_hd__mux2_1 _26685_ ( + .A0(_13025_), + .A1(\soc.core.storage_1[11][7] ), + .S(_13032_), + .X(_08553_) + ); + sky130_fd_sc_hd__and4b_2 _26686_ ( + .A_N(\soc.core.uart_rx_fifo_produce[0] ), + .B(_13008_), + .C(_13031_), + .D(\soc.core.uart_rx_fifo_produce[1] ), + .X(_13033_) + ); + sky130_fd_sc_hd__mux2_1 _26687_ ( + .A0(\soc.core.storage_1[10][0] ), + .A1(_13013_), + .S(_13033_), + .X(_08554_) + ); + sky130_fd_sc_hd__mux2_1 _26688_ ( + .A0(\soc.core.storage_1[10][1] ), + .A1(_13015_), + .S(_13033_), + .X(_08555_) + ); + sky130_fd_sc_hd__mux2_1 _26689_ ( + .A0(\soc.core.storage_1[10][2] ), + .A1(_13017_), + .S(_13033_), + .X(_08556_) + ); + sky130_fd_sc_hd__mux2_1 _26690_ ( + .A0(\soc.core.storage_1[10][3] ), + .A1(_13019_), + .S(_13033_), + .X(_08557_) + ); + sky130_fd_sc_hd__mux2_1 _26691_ ( + .A0(\soc.core.storage_1[10][4] ), + .A1(_13021_), + .S(_13033_), + .X(_08558_) + ); + sky130_fd_sc_hd__mux2_1 _26692_ ( + .A0(\soc.core.storage_1[10][5] ), + .A1(_13027_), + .S(_13033_), + .X(_08559_) + ); + sky130_fd_sc_hd__mux2_1 _26693_ ( + .A0(\soc.core.storage_1[10][6] ), + .A1(_13024_), + .S(_13033_), + .X(_08560_) + ); + sky130_fd_sc_hd__mux2_1 _26694_ ( + .A0(\soc.core.storage_1[10][7] ), + .A1(_13025_), + .S(_13033_), + .X(_08561_) + ); + sky130_fd_sc_hd__and4b_2 _26695_ ( + .A_N(\soc.core.uart_rx_fifo_produce[1] ), + .B(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13031_), + .X(_13034_) + ); + sky130_fd_sc_hd__mux2_1 _26696_ ( + .A0(\soc.core.storage_1[9][0] ), + .A1(_13013_), + .S(_13034_), + .X(_08562_) + ); + sky130_fd_sc_hd__mux2_1 _26697_ ( + .A0(\soc.core.storage_1[9][1] ), + .A1(_13014_), + .S(_13034_), + .X(_08563_) + ); + sky130_fd_sc_hd__mux2_1 _26698_ ( + .A0(\soc.core.storage_1[9][2] ), + .A1(_13017_), + .S(_13034_), + .X(_08564_) + ); + sky130_fd_sc_hd__mux2_1 _26699_ ( + .A0(\soc.core.storage_1[9][3] ), + .A1(_13018_), + .S(_13034_), + .X(_08565_) + ); + sky130_fd_sc_hd__mux2_1 _26700_ ( + .A0(\soc.core.storage_1[9][4] ), + .A1(_13021_), + .S(_13034_), + .X(_08566_) + ); + sky130_fd_sc_hd__mux2_1 _26701_ ( + .A0(\soc.core.storage_1[9][5] ), + .A1(_13022_), + .S(_13034_), + .X(_08567_) + ); + sky130_fd_sc_hd__mux2_1 _26702_ ( + .A0(\soc.core.storage_1[9][6] ), + .A1(_13023_), + .S(_13034_), + .X(_08568_) + ); + sky130_fd_sc_hd__mux2_1 _26703_ ( + .A0(\soc.core.storage_1[9][7] ), + .A1(_13025_), + .S(_13034_), + .X(_08569_) + ); + sky130_fd_sc_hd__and4bb_2 _26704_ ( + .A_N(\soc.core.uart_rx_fifo_produce[1] ), + .B_N(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13031_), + .X(_13035_) + ); + sky130_fd_sc_hd__mux2_1 _26705_ ( + .A0(\soc.core.storage_1[8][0] ), + .A1(_13013_), + .S(_13035_), + .X(_08570_) + ); + sky130_fd_sc_hd__mux2_1 _26706_ ( + .A0(\soc.core.storage_1[8][1] ), + .A1(_13015_), + .S(_13035_), + .X(_08571_) + ); + sky130_fd_sc_hd__mux2_1 _26707_ ( + .A0(\soc.core.storage_1[8][2] ), + .A1(_13017_), + .S(_13035_), + .X(_08572_) + ); + sky130_fd_sc_hd__mux2_1 _26708_ ( + .A0(\soc.core.storage_1[8][3] ), + .A1(_13019_), + .S(_13035_), + .X(_08573_) + ); + sky130_fd_sc_hd__mux2_1 _26709_ ( + .A0(\soc.core.storage_1[8][4] ), + .A1(_13021_), + .S(_13035_), + .X(_08574_) + ); + sky130_fd_sc_hd__mux2_1 _26710_ ( + .A0(\soc.core.storage_1[8][5] ), + .A1(_13022_), + .S(_13035_), + .X(_08575_) + ); + sky130_fd_sc_hd__mux2_1 _26711_ ( + .A0(\soc.core.storage_1[8][6] ), + .A1(_13024_), + .S(_13035_), + .X(_08576_) + ); + sky130_fd_sc_hd__mux2_1 _26712_ ( + .A0(\soc.core.storage_1[8][7] ), + .A1(_13025_), + .S(_13035_), + .X(_08577_) + ); + sky130_fd_sc_hd__and2b_2 _26713_ ( + .A_N(\soc.core.uart_rx_fifo_produce[3] ), + .B(\soc.core.uart_rx_fifo_produce[2] ), + .X(_13036_) + ); + sky130_fd_sc_hd__nand2_2 _26714_ ( + .A(_13010_), + .B(_13036_), + .Y(_13037_) + ); + sky130_fd_sc_hd__mux2_1 _26715_ ( + .A0(_13013_), + .A1(\soc.core.storage_1[7][0] ), + .S(_13037_), + .X(_08578_) + ); + sky130_fd_sc_hd__mux2_1 _26716_ ( + .A0(_13015_), + .A1(\soc.core.storage_1[7][1] ), + .S(_13037_), + .X(_08579_) + ); + sky130_fd_sc_hd__mux2_1 _26717_ ( + .A0(_13017_), + .A1(\soc.core.storage_1[7][2] ), + .S(_13037_), + .X(_08580_) + ); + sky130_fd_sc_hd__mux2_1 _26718_ ( + .A0(_13019_), + .A1(\soc.core.storage_1[7][3] ), + .S(_13037_), + .X(_08581_) + ); + sky130_fd_sc_hd__mux2_1 _26719_ ( + .A0(_13021_), + .A1(\soc.core.storage_1[7][4] ), + .S(_13037_), + .X(_08582_) + ); + sky130_fd_sc_hd__mux2_1 _26720_ ( + .A0(_13027_), + .A1(\soc.core.storage_1[7][5] ), + .S(_13037_), + .X(_08583_) + ); + sky130_fd_sc_hd__mux2_1 _26721_ ( + .A0(_13024_), + .A1(\soc.core.storage_1[7][6] ), + .S(_13037_), + .X(_08584_) + ); + sky130_fd_sc_hd__mux2_1 _26722_ ( + .A0(_13025_), + .A1(\soc.core.storage_1[7][7] ), + .S(_13037_), + .X(_08585_) + ); + sky130_fd_sc_hd__and4b_2 _26723_ ( + .A_N(\soc.core.uart_rx_fifo_produce[0] ), + .B(_13008_), + .C(_13036_), + .D(\soc.core.uart_rx_fifo_produce[1] ), + .X(_13038_) + ); + sky130_fd_sc_hd__mux2_1 _26724_ ( + .A0(\soc.core.storage_1[6][0] ), + .A1(_13013_), + .S(_13038_), + .X(_08586_) + ); + sky130_fd_sc_hd__mux2_1 _26725_ ( + .A0(\soc.core.storage_1[6][1] ), + .A1(_13015_), + .S(_13038_), + .X(_08587_) + ); + sky130_fd_sc_hd__mux2_1 _26726_ ( + .A0(\soc.core.storage_1[6][2] ), + .A1(_13017_), + .S(_13038_), + .X(_08588_) + ); + sky130_fd_sc_hd__mux2_1 _26727_ ( + .A0(\soc.core.storage_1[6][3] ), + .A1(_13019_), + .S(_13038_), + .X(_08589_) + ); + sky130_fd_sc_hd__mux2_1 _26728_ ( + .A0(\soc.core.storage_1[6][4] ), + .A1(_13021_), + .S(_13038_), + .X(_08590_) + ); + sky130_fd_sc_hd__mux2_1 _26729_ ( + .A0(\soc.core.storage_1[6][5] ), + .A1(_13027_), + .S(_13038_), + .X(_08591_) + ); + sky130_fd_sc_hd__mux2_1 _26730_ ( + .A0(\soc.core.storage_1[6][6] ), + .A1(_13024_), + .S(_13038_), + .X(_08592_) + ); + sky130_fd_sc_hd__mux2_1 _26731_ ( + .A0(\soc.core.storage_1[6][7] ), + .A1(_13025_), + .S(_13038_), + .X(_08593_) + ); + sky130_fd_sc_hd__and4b_2 _26732_ ( + .A_N(\soc.core.uart_rx_fifo_produce[1] ), + .B(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13036_), + .X(_13039_) + ); + sky130_fd_sc_hd__mux2_1 _26733_ ( + .A0(\soc.core.storage_1[5][0] ), + .A1(_13013_), + .S(_13039_), + .X(_08594_) + ); + sky130_fd_sc_hd__mux2_1 _26734_ ( + .A0(\soc.core.storage_1[5][1] ), + .A1(_13014_), + .S(_13039_), + .X(_08595_) + ); + sky130_fd_sc_hd__mux2_1 _26735_ ( + .A0(\soc.core.storage_1[5][2] ), + .A1(_13017_), + .S(_13039_), + .X(_08596_) + ); + sky130_fd_sc_hd__mux2_1 _26736_ ( + .A0(\soc.core.storage_1[5][3] ), + .A1(_13019_), + .S(_13039_), + .X(_08597_) + ); + sky130_fd_sc_hd__mux2_1 _26737_ ( + .A0(\soc.core.storage_1[5][4] ), + .A1(_13021_), + .S(_13039_), + .X(_08598_) + ); + sky130_fd_sc_hd__mux2_1 _26738_ ( + .A0(\soc.core.storage_1[5][5] ), + .A1(_13027_), + .S(_13039_), + .X(_08599_) + ); + sky130_fd_sc_hd__mux2_1 _26739_ ( + .A0(\soc.core.storage_1[5][6] ), + .A1(_13023_), + .S(_13039_), + .X(_08600_) + ); + sky130_fd_sc_hd__mux2_1 _26740_ ( + .A0(\soc.core.storage_1[5][7] ), + .A1(_13025_), + .S(_13039_), + .X(_08601_) + ); + sky130_fd_sc_hd__and4bb_2 _26741_ ( + .A_N(\soc.core.uart_rx_fifo_produce[1] ), + .B_N(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13036_), + .X(_13040_) + ); + sky130_fd_sc_hd__mux2_1 _26742_ ( + .A0(\soc.core.storage_1[4][0] ), + .A1(_13013_), + .S(_13040_), + .X(_08602_) + ); + sky130_fd_sc_hd__mux2_1 _26743_ ( + .A0(\soc.core.storage_1[4][1] ), + .A1(_13015_), + .S(_13040_), + .X(_08603_) + ); + sky130_fd_sc_hd__mux2_1 _26744_ ( + .A0(\soc.core.storage_1[4][2] ), + .A1(_13017_), + .S(_13040_), + .X(_08604_) + ); + sky130_fd_sc_hd__mux2_1 _26745_ ( + .A0(\soc.core.storage_1[4][3] ), + .A1(_13019_), + .S(_13040_), + .X(_08605_) + ); + sky130_fd_sc_hd__mux2_1 _26746_ ( + .A0(\soc.core.storage_1[4][4] ), + .A1(_13021_), + .S(_13040_), + .X(_08606_) + ); + sky130_fd_sc_hd__mux2_1 _26747_ ( + .A0(\soc.core.storage_1[4][5] ), + .A1(_13022_), + .S(_13040_), + .X(_08607_) + ); + sky130_fd_sc_hd__mux2_1 _26748_ ( + .A0(\soc.core.storage_1[4][6] ), + .A1(_13024_), + .S(_13040_), + .X(_08608_) + ); + sky130_fd_sc_hd__mux2_1 _26749_ ( + .A0(\soc.core.storage_1[4][7] ), + .A1(_13025_), + .S(_13040_), + .X(_08609_) + ); + sky130_fd_sc_hd__nor2_2 _26750_ ( + .A(\soc.core.uart_rx_fifo_produce[3] ), + .B(\soc.core.uart_rx_fifo_produce[2] ), + .Y(_13041_) + ); + sky130_fd_sc_hd__and4_2 _26751_ ( + .A(\soc.core.uart_rx_fifo_produce[1] ), + .B(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13041_), + .X(_13042_) + ); + sky130_fd_sc_hd__mux2_1 _26752_ ( + .A0(\soc.core.storage_1[3][0] ), + .A1(_13013_), + .S(_13042_), + .X(_08610_) + ); + sky130_fd_sc_hd__mux2_1 _26753_ ( + .A0(\soc.core.storage_1[3][1] ), + .A1(_13014_), + .S(_13042_), + .X(_08611_) + ); + sky130_fd_sc_hd__mux2_1 _26754_ ( + .A0(\soc.core.storage_1[3][2] ), + .A1(_13017_), + .S(_13042_), + .X(_08612_) + ); + sky130_fd_sc_hd__mux2_1 _26755_ ( + .A0(\soc.core.storage_1[3][3] ), + .A1(_13018_), + .S(_13042_), + .X(_08613_) + ); + sky130_fd_sc_hd__mux2_1 _26756_ ( + .A0(\soc.core.storage_1[3][4] ), + .A1(_13021_), + .S(_13042_), + .X(_08614_) + ); + sky130_fd_sc_hd__mux2_1 _26757_ ( + .A0(\soc.core.storage_1[3][5] ), + .A1(_13022_), + .S(_13042_), + .X(_08615_) + ); + sky130_fd_sc_hd__mux2_1 _26758_ ( + .A0(\soc.core.storage_1[3][6] ), + .A1(_13023_), + .S(_13042_), + .X(_08616_) + ); + sky130_fd_sc_hd__mux2_1 _26759_ ( + .A0(\soc.core.storage_1[3][7] ), + .A1(_13025_), + .S(_13042_), + .X(_08617_) + ); + sky130_fd_sc_hd__and4b_2 _26760_ ( + .A_N(\soc.core.uart_rx_fifo_produce[0] ), + .B(_13008_), + .C(_13041_), + .D(\soc.core.uart_rx_fifo_produce[1] ), + .X(_13043_) + ); + sky130_fd_sc_hd__mux2_1 _26761_ ( + .A0(\soc.core.storage_1[2][0] ), + .A1(_13013_), + .S(_13043_), + .X(_08618_) + ); + sky130_fd_sc_hd__mux2_1 _26762_ ( + .A0(\soc.core.storage_1[2][1] ), + .A1(_13015_), + .S(_13043_), + .X(_08619_) + ); + sky130_fd_sc_hd__mux2_1 _26763_ ( + .A0(\soc.core.storage_1[2][2] ), + .A1(_13017_), + .S(_13043_), + .X(_08620_) + ); + sky130_fd_sc_hd__mux2_1 _26764_ ( + .A0(\soc.core.storage_1[2][3] ), + .A1(_13019_), + .S(_13043_), + .X(_08621_) + ); + sky130_fd_sc_hd__mux2_1 _26765_ ( + .A0(\soc.core.storage_1[2][4] ), + .A1(_13021_), + .S(_13043_), + .X(_08622_) + ); + sky130_fd_sc_hd__mux2_1 _26766_ ( + .A0(\soc.core.storage_1[2][5] ), + .A1(_13027_), + .S(_13043_), + .X(_08623_) + ); + sky130_fd_sc_hd__mux2_1 _26767_ ( + .A0(\soc.core.storage_1[2][6] ), + .A1(_13024_), + .S(_13043_), + .X(_08624_) + ); + sky130_fd_sc_hd__mux2_1 _26768_ ( + .A0(\soc.core.storage_1[2][7] ), + .A1(_13025_), + .S(_13043_), + .X(_08625_) + ); + sky130_fd_sc_hd__and4b_2 _26769_ ( + .A_N(\soc.core.uart_rx_fifo_produce[1] ), + .B(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13041_), + .X(_13044_) + ); + sky130_fd_sc_hd__mux2_1 _26770_ ( + .A0(\soc.core.storage_1[1][0] ), + .A1(_13013_), + .S(_13044_), + .X(_08626_) + ); + sky130_fd_sc_hd__mux2_1 _26771_ ( + .A0(\soc.core.storage_1[1][1] ), + .A1(_13015_), + .S(_13044_), + .X(_08627_) + ); + sky130_fd_sc_hd__mux2_1 _26772_ ( + .A0(\soc.core.storage_1[1][2] ), + .A1(_13016_), + .S(_13044_), + .X(_08628_) + ); + sky130_fd_sc_hd__mux2_1 _26773_ ( + .A0(\soc.core.storage_1[1][3] ), + .A1(_13018_), + .S(_13044_), + .X(_08629_) + ); + sky130_fd_sc_hd__mux2_1 _26774_ ( + .A0(\soc.core.storage_1[1][4] ), + .A1(_13020_), + .S(_13044_), + .X(_08630_) + ); + sky130_fd_sc_hd__mux2_1 _26775_ ( + .A0(\soc.core.storage_1[1][5] ), + .A1(_13022_), + .S(_13044_), + .X(_08631_) + ); + sky130_fd_sc_hd__mux2_1 _26776_ ( + .A0(\soc.core.storage_1[1][6] ), + .A1(_13024_), + .S(_13044_), + .X(_08632_) + ); + sky130_fd_sc_hd__mux2_1 _26777_ ( + .A0(\soc.core.storage_1[1][7] ), + .A1(_13025_), + .S(_13044_), + .X(_08633_) + ); + sky130_fd_sc_hd__and4bb_2 _26778_ ( + .A_N(\soc.core.uart_rx_fifo_produce[1] ), + .B_N(\soc.core.uart_rx_fifo_produce[0] ), + .C(_13008_), + .D(_13041_), + .X(_13045_) + ); + sky130_fd_sc_hd__mux2_1 _26779_ ( + .A0(\soc.core.storage_1[0][0] ), + .A1(_13013_), + .S(_13045_), + .X(_08634_) + ); + sky130_fd_sc_hd__mux2_1 _26780_ ( + .A0(\soc.core.storage_1[0][1] ), + .A1(_13015_), + .S(_13045_), + .X(_08635_) + ); + sky130_fd_sc_hd__mux2_1 _26781_ ( + .A0(\soc.core.storage_1[0][2] ), + .A1(_13017_), + .S(_13045_), + .X(_08636_) + ); + sky130_fd_sc_hd__mux2_1 _26782_ ( + .A0(\soc.core.storage_1[0][3] ), + .A1(_13019_), + .S(_13045_), + .X(_08637_) + ); + sky130_fd_sc_hd__mux2_1 _26783_ ( + .A0(\soc.core.storage_1[0][4] ), + .A1(_13021_), + .S(_13045_), + .X(_08638_) + ); + sky130_fd_sc_hd__mux2_1 _26784_ ( + .A0(\soc.core.storage_1[0][5] ), + .A1(_13022_), + .S(_13045_), + .X(_08639_) + ); + sky130_fd_sc_hd__mux2_1 _26785_ ( + .A0(\soc.core.storage_1[0][6] ), + .A1(_13024_), + .S(_13045_), + .X(_08640_) + ); + sky130_fd_sc_hd__mux2_1 _26786_ ( + .A0(\soc.core.storage_1[0][7] ), + .A1(_13025_), + .S(_13045_), + .X(_08641_) + ); + sky130_fd_sc_hd__and2b_2 _26787_ ( + .A_N(\soc.core.uart_phy_rx_phase[5] ), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .X(_08642_) + ); + sky130_fd_sc_hd__o21ai_2 _26788_ ( + .A1(\soc.core.uart_phy_rx_phase[5] ), + .A2(\soc.core.uart_phy_rx_phase[6] ), + .B1(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13046_) + ); + sky130_fd_sc_hd__a21oi_2 _26789_ ( + .A1(\soc.core.uart_phy_rx_phase[5] ), + .A2(\soc.core.uart_phy_rx_phase[6] ), + .B1(_13046_), + .Y(_08643_) + ); + sky130_fd_sc_hd__a21o_2 _26790_ ( + .A1(\soc.core.uart_phy_rx_phase[5] ), + .A2(\soc.core.uart_phy_rx_phase[6] ), + .B1(\soc.core.uart_phy_rx_phase[7] ), + .X(_13047_) + ); + sky130_fd_sc_hd__nand3_2 _26791_ ( + .A(\soc.core.uart_phy_rx_phase[5] ), + .B(\soc.core.uart_phy_rx_phase[6] ), + .C(\soc.core.uart_phy_rx_phase[7] ), + .Y(_13048_) + ); + sky130_fd_sc_hd__and3_2 _26792_ ( + .A(_13047_), + .B(_13048_), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_08644_) + ); + sky130_fd_sc_hd__a31o_2 _26793_ ( + .A1(\soc.core.uart_phy_rx_phase[5] ), + .A2(\soc.core.uart_phy_rx_phase[6] ), + .A3(\soc.core.uart_phy_rx_phase[7] ), + .B1(\soc.core.uart_phy_rx_phase[8] ), + .X(_13049_) + ); + sky130_fd_sc_hd__and4_2 _26794_ ( + .A(\soc.core.uart_phy_rx_phase[5] ), + .B(\soc.core.uart_phy_rx_phase[6] ), + .C(\soc.core.uart_phy_rx_phase[7] ), + .D(\soc.core.uart_phy_rx_phase[8] ), + .X(_13050_) + ); + sky130_fd_sc_hd__and3b_2 _26795_ ( + .A_N(_13050_), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .C(_13049_), + .X(_08645_) + ); + sky130_fd_sc_hd__nand2_2 _26796_ ( + .A(\soc.core.uart_phy_rx_phase[9] ), + .B(_13050_), + .Y(_13051_) + ); + sky130_fd_sc_hd__a41oi_2 _26797_ ( + .A1(\soc.core.uart_phy_rx_phase[5] ), + .A2(\soc.core.uart_phy_rx_phase[6] ), + .A3(\soc.core.uart_phy_rx_phase[7] ), + .A4(\soc.core.uart_phy_rx_phase[8] ), + .B1(\soc.core.uart_phy_rx_phase[9] ), + .Y(_13052_) + ); + sky130_fd_sc_hd__a41o_2 _26798_ ( + .A1(\soc.core.uart_phy_rx_phase[5] ), + .A2(\soc.core.uart_phy_rx_phase[6] ), + .A3(\soc.core.uart_phy_rx_phase[7] ), + .A4(\soc.core.uart_phy_rx_phase[8] ), + .B1(\soc.core.uart_phy_rx_phase[9] ), + .X(_13053_) + ); + sky130_fd_sc_hd__a21boi_2 _26799_ ( + .A1(_13051_), + .A2(_13053_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_08646_) + ); + sky130_fd_sc_hd__o21ai_2 _26800_ ( + .A1(\soc.core.uart_phy_rx_phase[10] ), + .A2(_13053_), + .B1(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13054_) + ); + sky130_fd_sc_hd__a21oi_2 _26801_ ( + .A1(\soc.core.uart_phy_rx_phase[10] ), + .A2(_13053_), + .B1(_13054_), + .Y(_08647_) + ); + sky130_fd_sc_hd__o211ai_2 _26802_ ( + .A1(\soc.core.uart_phy_rx_phase[9] ), + .A2(_13050_), + .B1(\soc.core.uart_phy_rx_phase[11] ), + .C1(\soc.core.uart_phy_rx_phase[10] ), + .Y(_13055_) + ); + sky130_fd_sc_hd__o21bai_2 _26803_ ( + .A1(_10916_), + .A2(_13052_), + .B1_N(\soc.core.uart_phy_rx_phase[11] ), + .Y(_13056_) + ); + sky130_fd_sc_hd__a21boi_2 _26804_ ( + .A1(_13055_), + .A2(_13056_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_08648_) + ); + sky130_fd_sc_hd__and2_4 _26805_ ( + .A(_13056_), + .B(\soc.core.uart_phy_rx_phase[12] ), + .X(_13057_) + ); + sky130_fd_sc_hd__o21ai_2 _26806_ ( + .A1(\soc.core.uart_phy_rx_phase[12] ), + .A2(_13056_), + .B1(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13058_) + ); + sky130_fd_sc_hd__a21oi_2 _26807_ ( + .A1(\soc.core.uart_phy_rx_phase[12] ), + .A2(_13056_), + .B1(_13058_), + .Y(_08649_) + ); + sky130_fd_sc_hd__nand2_2 _26808_ ( + .A(\soc.core.uart_phy_rx_phase[13] ), + .B(_13057_), + .Y(_13059_) + ); + sky130_fd_sc_hd__a21o_2 _26809_ ( + .A1(_13056_), + .A2(\soc.core.uart_phy_rx_phase[12] ), + .B1(\soc.core.uart_phy_rx_phase[13] ), + .X(_13060_) + ); + sky130_fd_sc_hd__a21boi_2 _26810_ ( + .A1(_13059_), + .A2(_13060_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_08650_) + ); + sky130_fd_sc_hd__o21a_2 _26811_ ( + .A1(\soc.core.uart_phy_rx_phase[13] ), + .A2(_13057_), + .B1(\soc.core.uart_phy_rx_phase[14] ), + .X(_13061_) + ); + sky130_fd_sc_hd__nor2_2 _26812_ ( + .A(\soc.core.uart_phy_rx_phase[14] ), + .B(_13060_), + .Y(_13062_) + ); + sky130_fd_sc_hd__o21a_2 _26813_ ( + .A1(_13061_), + .A2(_13062_), + .B1(\soc.core.rs232phy_rs232phyrx_state ), + .X(_08651_) + ); + sky130_fd_sc_hd__o21ai_2 _26814_ ( + .A1(\soc.core.uart_phy_rx_phase[14] ), + .A2(_13060_), + .B1(\soc.core.uart_phy_rx_phase[15] ), + .Y(_13063_) + ); + sky130_fd_sc_hd__a2111o_2 _26815_ ( + .A1(_13056_), + .A2(\soc.core.uart_phy_rx_phase[12] ), + .B1(\soc.core.uart_phy_rx_phase[14] ), + .C1(\soc.core.uart_phy_rx_phase[15] ), + .D1(\soc.core.uart_phy_rx_phase[13] ), + .X(_13064_) + ); + sky130_fd_sc_hd__a21boi_2 _26816_ ( + .A1(_13063_), + .A2(_13064_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_08652_) + ); + sky130_fd_sc_hd__nand2_2 _26817_ ( + .A(_13064_), + .B(\soc.core.uart_phy_rx_phase[16] ), + .Y(_13065_) + ); + sky130_fd_sc_hd__o21ai_2 _26818_ ( + .A1(\soc.core.uart_phy_rx_phase[16] ), + .A2(_13064_), + .B1(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13066_) + ); + sky130_fd_sc_hd__a21oi_2 _26819_ ( + .A1(\soc.core.uart_phy_rx_phase[16] ), + .A2(_13064_), + .B1(_13066_), + .Y(_08653_) + ); + sky130_fd_sc_hd__nand3_2 _26820_ ( + .A(_13064_), + .B(\soc.core.uart_phy_rx_phase[17] ), + .C(\soc.core.uart_phy_rx_phase[16] ), + .Y(_13067_) + ); + sky130_fd_sc_hd__a21o_2 _26821_ ( + .A1(_13064_), + .A2(\soc.core.uart_phy_rx_phase[16] ), + .B1(\soc.core.uart_phy_rx_phase[17] ), + .X(_13068_) + ); + sky130_fd_sc_hd__a21boi_2 _26822_ ( + .A1(_13067_), + .A2(_13068_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_08654_) + ); + sky130_fd_sc_hd__a211o_2 _26823_ ( + .A1(_13064_), + .A2(\soc.core.uart_phy_rx_phase[16] ), + .B1(\soc.core.uart_phy_rx_phase[17] ), + .C1(\soc.core.uart_phy_rx_phase[18] ), + .X(_13069_) + ); + sky130_fd_sc_hd__nand2_2 _26824_ ( + .A(_13068_), + .B(\soc.core.uart_phy_rx_phase[18] ), + .Y(_13070_) + ); + sky130_fd_sc_hd__a21boi_2 _26825_ ( + .A1(_13069_), + .A2(_13070_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_08655_) + ); + sky130_fd_sc_hd__o21a_2 _26826_ ( + .A1(\soc.core.uart_phy_rx_phase[18] ), + .A2(_13068_), + .B1(\soc.core.uart_phy_rx_phase[19] ), + .X(_13071_) + ); + sky130_fd_sc_hd__nor2_2 _26827_ ( + .A(\soc.core.uart_phy_rx_phase[19] ), + .B(_13069_), + .Y(_13072_) + ); + sky130_fd_sc_hd__o21a_2 _26828_ ( + .A1(_13071_), + .A2(_13072_), + .B1(\soc.core.rs232phy_rs232phyrx_state ), + .X(_08656_) + ); + sky130_fd_sc_hd__o31a_2 _26829_ ( + .A1(\soc.core.uart_phy_rx_phase[18] ), + .A2(\soc.core.uart_phy_rx_phase[19] ), + .A3(_13068_), + .B1(\soc.core.uart_phy_rx_phase[20] ), + .X(_13073_) + ); + sky130_fd_sc_hd__nor4_2 _26830_ ( + .A(\soc.core.uart_phy_rx_phase[18] ), + .B(\soc.core.uart_phy_rx_phase[19] ), + .C(\soc.core.uart_phy_rx_phase[20] ), + .D(_13068_), + .Y(_13074_) + ); + sky130_fd_sc_hd__o21a_2 _26831_ ( + .A1(_13073_), + .A2(_13074_), + .B1(\soc.core.rs232phy_rs232phyrx_state ), + .X(_08657_) + ); + sky130_fd_sc_hd__o31ai_2 _26832_ ( + .A1(\soc.core.uart_phy_rx_phase[19] ), + .A2(\soc.core.uart_phy_rx_phase[20] ), + .A3(_13069_), + .B1(\soc.core.uart_phy_rx_phase[21] ), + .Y(_13075_) + ); + sky130_fd_sc_hd__nor3_2 _26833_ ( + .A(\soc.core.uart_phy_rx_phase[19] ), + .B(\soc.core.uart_phy_rx_phase[20] ), + .C(\soc.core.uart_phy_rx_phase[21] ), + .Y(_13076_) + ); + sky130_fd_sc_hd__nand4bb_2 _26834_ ( + .A_N(\soc.core.uart_phy_rx_phase[17] ), + .B_N(\soc.core.uart_phy_rx_phase[18] ), + .C(_13065_), + .D(_13076_), + .Y(_13077_) + ); + sky130_fd_sc_hd__a21boi_2 _26835_ ( + .A1(_13075_), + .A2(_13077_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_08658_) + ); + sky130_fd_sc_hd__o21ai_2 _26836_ ( + .A1(\soc.core.uart_phy_rx_phase[22] ), + .A2(_13077_), + .B1(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13078_) + ); + sky130_fd_sc_hd__a21oi_2 _26837_ ( + .A1(\soc.core.uart_phy_rx_phase[22] ), + .A2(_13077_), + .B1(_13078_), + .Y(_08659_) + ); + sky130_fd_sc_hd__a21o_2 _26838_ ( + .A1(_13077_), + .A2(\soc.core.uart_phy_rx_phase[22] ), + .B1(\soc.core.uart_phy_rx_phase[23] ), + .X(_13079_) + ); + sky130_fd_sc_hd__and3_2 _26839_ ( + .A(_13077_), + .B(\soc.core.uart_phy_rx_phase[23] ), + .C(\soc.core.uart_phy_rx_phase[22] ), + .X(_13080_) + ); + sky130_fd_sc_hd__and3b_2 _26840_ ( + .A_N(_13080_), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .C(_13079_), + .X(_08660_) + ); + sky130_fd_sc_hd__a21boi_2 _26841_ ( + .A1(\soc.core.uart_phy_rx_phase[24] ), + .A2(_13080_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13081_) + ); + sky130_fd_sc_hd__o21a_2 _26842_ ( + .A1(\soc.core.uart_phy_rx_phase[24] ), + .A2(_13080_), + .B1(_13081_), + .X(_08661_) + ); + sky130_fd_sc_hd__a41o_2 _26843_ ( + .A1(_13077_), + .A2(\soc.core.uart_phy_rx_phase[24] ), + .A3(\soc.core.uart_phy_rx_phase[23] ), + .A4(\soc.core.uart_phy_rx_phase[22] ), + .B1(\soc.core.uart_phy_rx_phase[25] ), + .X(_13082_) + ); + sky130_fd_sc_hd__and3_2 _26844_ ( + .A(\soc.core.uart_phy_rx_phase[24] ), + .B(\soc.core.uart_phy_rx_phase[25] ), + .C(_13080_), + .X(_13083_) + ); + sky130_fd_sc_hd__and3b_2 _26845_ ( + .A_N(_13083_), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .C(_13082_), + .X(_08662_) + ); + sky130_fd_sc_hd__and3_2 _26846_ ( + .A(\soc.core.uart_phy_rx_phase[24] ), + .B(\soc.core.uart_phy_rx_phase[25] ), + .C(\soc.core.uart_phy_rx_phase[26] ), + .X(_13084_) + ); + sky130_fd_sc_hd__nand2_2 _26847_ ( + .A(_13080_), + .B(_13084_), + .Y(_13085_) + ); + sky130_fd_sc_hd__o211a_2 _26848_ ( + .A1(\soc.core.uart_phy_rx_phase[26] ), + .A2(_13083_), + .B1(_13085_), + .C1(\soc.core.rs232phy_rs232phyrx_state ), + .X(_08663_) + ); + sky130_fd_sc_hd__a41o_2 _26849_ ( + .A1(_13077_), + .A2(_13084_), + .A3(\soc.core.uart_phy_rx_phase[22] ), + .A4(\soc.core.uart_phy_rx_phase[23] ), + .B1(\soc.core.uart_phy_rx_phase[27] ), + .X(_13086_) + ); + sky130_fd_sc_hd__and3_2 _26850_ ( + .A(\soc.core.uart_phy_rx_phase[27] ), + .B(_13080_), + .C(_13084_), + .X(_13087_) + ); + sky130_fd_sc_hd__and3b_2 _26851_ ( + .A_N(_13087_), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .C(_13086_), + .X(_08664_) + ); + sky130_fd_sc_hd__a21boi_2 _26852_ ( + .A1(\soc.core.uart_phy_rx_phase[28] ), + .A2(_13087_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13088_) + ); + sky130_fd_sc_hd__o21a_2 _26853_ ( + .A1(\soc.core.uart_phy_rx_phase[28] ), + .A2(_13087_), + .B1(_13088_), + .X(_08665_) + ); + sky130_fd_sc_hd__a41o_2 _26854_ ( + .A1(\soc.core.uart_phy_rx_phase[27] ), + .A2(\soc.core.uart_phy_rx_phase[28] ), + .A3(_13080_), + .A4(_13084_), + .B1(\soc.core.uart_phy_rx_phase[29] ), + .X(_13089_) + ); + sky130_fd_sc_hd__nand3_2 _26855_ ( + .A(\soc.core.uart_phy_rx_phase[28] ), + .B(\soc.core.uart_phy_rx_phase[29] ), + .C(_13087_), + .Y(_13090_) + ); + sky130_fd_sc_hd__and3_2 _26856_ ( + .A(_13090_), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .C(_13089_), + .X(_08666_) + ); + sky130_fd_sc_hd__a31o_2 _26857_ ( + .A1(\soc.core.uart_phy_rx_phase[28] ), + .A2(\soc.core.uart_phy_rx_phase[29] ), + .A3(_13087_), + .B1(\soc.core.uart_phy_rx_phase[30] ), + .X(_13091_) + ); + sky130_fd_sc_hd__and4_2 _26858_ ( + .A(\soc.core.uart_phy_rx_phase[28] ), + .B(\soc.core.uart_phy_rx_phase[29] ), + .C(\soc.core.uart_phy_rx_phase[30] ), + .D(_13087_), + .X(_13092_) + ); + sky130_fd_sc_hd__and3b_2 _26859_ ( + .A_N(_13092_), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .C(_13091_), + .X(_08667_) + ); + sky130_fd_sc_hd__a41o_2 _26860_ ( + .A1(\soc.core.uart_phy_rx_phase[28] ), + .A2(\soc.core.uart_phy_rx_phase[29] ), + .A3(\soc.core.uart_phy_rx_phase[30] ), + .A4(_13087_), + .B1(\soc.core.uart_phy_rx_phase[31] ), + .X(_13093_) + ); + sky130_fd_sc_hd__nand2_2 _26861_ ( + .A(\soc.core.uart_phy_rx_phase[31] ), + .B(_13092_), + .Y(_13094_) + ); + sky130_fd_sc_hd__a21bo_2 _26862_ ( + .A1(_13093_), + .A2(_13094_), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .X(_08668_) + ); + sky130_fd_sc_hd__nor4_2 _26863_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[3] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[2] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_storage[1] ), + .D(\soc.core.mgmtsoc_litespisdrphycore_storage[0] ), + .Y(_13095_) + ); + sky130_fd_sc_hd__nor4_2 _26864_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_storage[7] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[6] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_storage[5] ), + .D(\soc.core.mgmtsoc_litespisdrphycore_storage[4] ), + .Y(_13096_) + ); + sky130_fd_sc_hd__and4b_2 _26865_ ( + .A_N(\soc.core.litespiphy_state[0] ), + .B(_13095_), + .C(_13096_), + .D(\soc.core.litespiphy_state[1] ), + .X(_13097_) + ); + sky130_fd_sc_hd__o41a_2 _26866_ ( + .A1(_11116_), + .A2(_11118_), + .A3(_11119_), + .A4(_11591_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_posedge_reg2 ), + .X(_13098_) + ); + sky130_fd_sc_hd__o21ai_2 _26867_ ( + .A1(_11590_), + .A2(_13097_), + .B1(_13098_), + .Y(_13099_) + ); + sky130_fd_sc_hd__nor4_2 _26868_ ( + .A(_11116_), + .B(_11118_), + .C(_11119_), + .D(_13099_), + .Y(_13100_) + ); + sky130_fd_sc_hd__a22o_2 _26869_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[0] ), + .A2(_13099_), + .B1(_13100_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_dq_i[1] ), + .X(_08669_) + ); + sky130_fd_sc_hd__nor2_2 _26870_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06123_) + ); + sky130_fd_sc_hd__nand2b_2 _26871_ ( + .A_N(\soc.core.spimaster_state[0] ), + .B(\soc.core.spimaster_state[1] ), + .Y(_13101_) + ); + sky130_fd_sc_hd__a21boi_2 _26872_ ( + .A1(\soc.core.spi_master_clk_divider1[13] ), + .A2(_10995_), + .B1_N(_10993_), + .Y(_13102_) + ); + sky130_fd_sc_hd__nand4bb_2 _26873_ ( + .A_N(_10972_), + .B_N(_10978_), + .C(_10973_), + .D(_10965_), + .Y(_13103_) + ); + sky130_fd_sc_hd__a21oi_2 _26874_ ( + .A1(\soc.core.spi_master_clk_divider1[11] ), + .A2(_10982_), + .B1(_10960_), + .Y(_13104_) + ); + sky130_fd_sc_hd__o221a_2 _26875_ ( + .A1(\soc.core.spi_master_clk_divider1[7] ), + .A2(_10939_), + .B1(_10982_), + .B2(\soc.core.spi_master_clk_divider1[11] ), + .C1(_13104_), + .X(_13105_) + ); + sky130_fd_sc_hd__o211ai_2 _26876_ ( + .A1(\soc.core.spi_master_clk_divider1[13] ), + .A2(_10995_), + .B1(_13105_), + .C1(_10955_), + .Y(_13106_) + ); + sky130_fd_sc_hd__nand4_2 _26877_ ( + .A(_13102_), + .B(_10987_), + .C(_10986_), + .D(_11000_), + .Y(_13107_) + ); + sky130_fd_sc_hd__nor3_2 _26878_ ( + .A(_13103_), + .B(_13106_), + .C(_13107_), + .Y(_13108_) + ); + sky130_fd_sc_hd__and3b_2 _26879_ ( + .A_N(_13108_), + .B(\soc.core.spi_clk ), + .C(_11536_), + .X(_13109_) + ); + sky130_fd_sc_hd__nor4_2 _26880_ ( + .A(_13101_), + .B(_13103_), + .C(_13106_), + .D(_13107_), + .Y(_13110_) + ); + sky130_fd_sc_hd__o21a_2 _26881_ ( + .A1(_13109_), + .A2(_13110_), + .B1(_10904_), + .X(_08677_) + ); + sky130_fd_sc_hd__nor2_2 _26882_ ( + .A(\soc.core.spimaster_state[1] ), + .B(\soc.core.spimaster_state[0] ), + .Y(_13111_) + ); + sky130_fd_sc_hd__o21bai_2 _26883_ ( + .A1(_13111_), + .A2(_11536_), + .B1_N(\soc.core.spi_mosi ), + .Y(_13112_) + ); + sky130_fd_sc_hd__o311a_2 _26884_ ( + .A1(\soc.core.sync_array_muxed ), + .A2(_13111_), + .A3(_11536_), + .B1(_10904_), + .C1(_13112_), + .X(_08678_) + ); + sky130_fd_sc_hd__nor2_2 _26885_ ( + .A(_11438_), + .B(_11013_), + .Y(_13113_) + ); + sky130_fd_sc_hd__and4_2 _26886_ ( + .A(_11002_), + .B(_11028_), + .C(_11438_), + .D(_12392_), + .X(_13114_) + ); + sky130_fd_sc_hd__mux2_1 _26887_ ( + .A0(\soc.core.mgmtsoc_reset_storage[0] ), + .A1(_12439_), + .S(_13114_), + .X(_13115_) + ); + sky130_fd_sc_hd__and2_4 _26888_ ( + .A(_13115_), + .B(_10904_), + .X(_08679_) + ); + sky130_fd_sc_hd__o311a_2 _26889_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_10904_), + .C1(_12433_), + .X(_08746_) + ); + sky130_fd_sc_hd__o211ai_2 _26890_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12442_), + .C1(_12436_), + .Y(_13116_) + ); + sky130_fd_sc_hd__o211a_2 _26891_ ( + .A1(\soc.core.mgmtsoc_cpu_rst ), + .A2(_13114_), + .B1(_13116_), + .C1(_10904_), + .X(_08680_) + ); + sky130_fd_sc_hd__o21bai_2 _26892_ ( + .A1(_13111_), + .A2(_11536_), + .B1_N(\soc.core.spimaster_state[1] ), + .Y(_13117_) + ); + sky130_fd_sc_hd__o21a_2 _26893_ ( + .A1(\soc.core.spi_master_cs_mode ), + .A2(_13117_), + .B1(\soc.core.spi_master_cs ), + .X(_13118_) + ); + sky130_fd_sc_hd__nor2_2 _26894_ ( + .A(\soc.core.int_rst ), + .B(_13118_), + .Y(_08681_) + ); + sky130_fd_sc_hd__and3_2 _26895_ ( + .A(_11014_), + .B(_11023_), + .C(_12478_), + .X(_13119_) + ); + sky130_fd_sc_hd__o311a_2 _26896_ ( + .A1(_11004_), + .A2(_11438_), + .A3(_11011_), + .B1(_11014_), + .C1(_12759_), + .X(_13120_) + ); + sky130_fd_sc_hd__o41a_2 _26897_ ( + .A1(_11015_), + .A2(_12439_), + .A3(_13113_), + .A4(_12760_), + .B1(_10904_), + .X(_13121_) + ); + sky130_fd_sc_hd__o21a_2 _26898_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[0] ), + .A2(_13120_), + .B1(_13121_), + .X(_08682_) + ); + sky130_fd_sc_hd__o41a_2 _26899_ ( + .A1(_11015_), + .A2(_12441_), + .A3(_13113_), + .A4(_12760_), + .B1(_10904_), + .X(_13122_) + ); + sky130_fd_sc_hd__o21a_2 _26900_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[1] ), + .A2(_13120_), + .B1(_13122_), + .X(_08683_) + ); + sky130_fd_sc_hd__o41a_2 _26901_ ( + .A1(_11015_), + .A2(_12443_), + .A3(_13113_), + .A4(_12760_), + .B1(_10904_), + .X(_13123_) + ); + sky130_fd_sc_hd__o21a_2 _26902_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[2] ), + .A2(_13120_), + .B1(_13123_), + .X(_08684_) + ); + sky130_fd_sc_hd__o31a_2 _26903_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[3] ), + .X(_13124_) + ); + sky130_fd_sc_hd__a211o_2 _26904_ ( + .A1(_12445_), + .A2(_13120_), + .B1(_13124_), + .C1(\soc.core.int_rst ), + .X(_08685_) + ); + sky130_fd_sc_hd__o31a_2 _26905_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[4] ), + .X(_13125_) + ); + sky130_fd_sc_hd__a211o_2 _26906_ ( + .A1(_12447_), + .A2(_13120_), + .B1(_13125_), + .C1(\soc.core.int_rst ), + .X(_08686_) + ); + sky130_fd_sc_hd__o31a_2 _26907_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[5] ), + .X(_13126_) + ); + sky130_fd_sc_hd__a211o_2 _26908_ ( + .A1(_12449_), + .A2(_13120_), + .B1(_13126_), + .C1(\soc.core.int_rst ), + .X(_08687_) + ); + sky130_fd_sc_hd__o31a_2 _26909_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[6] ), + .X(_13127_) + ); + sky130_fd_sc_hd__a211o_2 _26910_ ( + .A1(_12451_), + .A2(_13120_), + .B1(_13127_), + .C1(\soc.core.int_rst ), + .X(_08688_) + ); + sky130_fd_sc_hd__o41a_2 _26911_ ( + .A1(_11015_), + .A2(_12453_), + .A3(_13113_), + .A4(_12760_), + .B1(_10904_), + .X(_13128_) + ); + sky130_fd_sc_hd__o21a_2 _26912_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[7] ), + .A2(_13120_), + .B1(_13128_), + .X(_08689_) + ); + sky130_fd_sc_hd__o211ai_2 _26913_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12498_), + .C1(_12762_), + .Y(_13129_) + ); + sky130_fd_sc_hd__o211a_2 _26914_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[8] ), + .A2(_13120_), + .B1(_13129_), + .C1(_10904_), + .X(_08690_) + ); + sky130_fd_sc_hd__o31a_2 _26915_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[9] ), + .X(_13130_) + ); + sky130_fd_sc_hd__a211o_2 _26916_ ( + .A1(_12500_), + .A2(_13120_), + .B1(_13130_), + .C1(\soc.core.int_rst ), + .X(_08691_) + ); + sky130_fd_sc_hd__o31a_2 _26917_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[10] ), + .X(_13131_) + ); + sky130_fd_sc_hd__a211o_2 _26918_ ( + .A1(_12503_), + .A2(_13120_), + .B1(_13131_), + .C1(\soc.core.int_rst ), + .X(_08692_) + ); + sky130_fd_sc_hd__o211ai_2 _26919_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12506_), + .C1(_12762_), + .Y(_13132_) + ); + sky130_fd_sc_hd__o211a_2 _26920_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[11] ), + .A2(_13120_), + .B1(_13132_), + .C1(_10904_), + .X(_08693_) + ); + sky130_fd_sc_hd__o31a_2 _26921_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[12] ), + .X(_13133_) + ); + sky130_fd_sc_hd__a211o_2 _26922_ ( + .A1(_12508_), + .A2(_13120_), + .B1(_13133_), + .C1(\soc.core.int_rst ), + .X(_08694_) + ); + sky130_fd_sc_hd__o211ai_2 _26923_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12511_), + .C1(_12762_), + .Y(_13134_) + ); + sky130_fd_sc_hd__o211a_2 _26924_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[13] ), + .A2(_13120_), + .B1(_13134_), + .C1(_10904_), + .X(_08695_) + ); + sky130_fd_sc_hd__o31a_2 _26925_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[14] ), + .X(_13135_) + ); + sky130_fd_sc_hd__a211o_2 _26926_ ( + .A1(_12513_), + .A2(_13120_), + .B1(_13135_), + .C1(\soc.core.int_rst ), + .X(_08696_) + ); + sky130_fd_sc_hd__o211ai_2 _26927_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12516_), + .C1(_12762_), + .Y(_13136_) + ); + sky130_fd_sc_hd__o211a_2 _26928_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[15] ), + .A2(_13120_), + .B1(_13136_), + .C1(_10904_), + .X(_08697_) + ); + sky130_fd_sc_hd__o211ai_2 _26929_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12518_), + .C1(_12762_), + .Y(_13137_) + ); + sky130_fd_sc_hd__o211a_2 _26930_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[16] ), + .A2(_13120_), + .B1(_13137_), + .C1(_10904_), + .X(_08698_) + ); + sky130_fd_sc_hd__o211ai_2 _26931_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12520_), + .C1(_12762_), + .Y(_13138_) + ); + sky130_fd_sc_hd__o211a_2 _26932_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[17] ), + .A2(_13120_), + .B1(_13138_), + .C1(_10904_), + .X(_08699_) + ); + sky130_fd_sc_hd__o31a_2 _26933_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[18] ), + .X(_13139_) + ); + sky130_fd_sc_hd__a211o_2 _26934_ ( + .A1(_12522_), + .A2(_13120_), + .B1(_13139_), + .C1(\soc.core.int_rst ), + .X(_08700_) + ); + sky130_fd_sc_hd__o211ai_2 _26935_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12525_), + .C1(_12762_), + .Y(_13140_) + ); + sky130_fd_sc_hd__o211a_2 _26936_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[19] ), + .A2(_13120_), + .B1(_13140_), + .C1(_10904_), + .X(_08701_) + ); + sky130_fd_sc_hd__o31a_2 _26937_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[20] ), + .X(_13141_) + ); + sky130_fd_sc_hd__a211o_2 _26938_ ( + .A1(_12527_), + .A2(_13120_), + .B1(_13141_), + .C1(\soc.core.int_rst ), + .X(_08702_) + ); + sky130_fd_sc_hd__o31a_2 _26939_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[21] ), + .X(_13142_) + ); + sky130_fd_sc_hd__a211o_2 _26940_ ( + .A1(_12530_), + .A2(_13120_), + .B1(_13142_), + .C1(\soc.core.int_rst ), + .X(_08703_) + ); + sky130_fd_sc_hd__o211ai_2 _26941_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12533_), + .C1(_12762_), + .Y(_13143_) + ); + sky130_fd_sc_hd__o211a_2 _26942_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[22] ), + .A2(_13120_), + .B1(_13143_), + .C1(_10904_), + .X(_08704_) + ); + sky130_fd_sc_hd__o211ai_2 _26943_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12535_), + .C1(_12762_), + .Y(_13144_) + ); + sky130_fd_sc_hd__o211a_2 _26944_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[23] ), + .A2(_13120_), + .B1(_13144_), + .C1(_10904_), + .X(_08705_) + ); + sky130_fd_sc_hd__o211ai_2 _26945_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12537_), + .C1(_12762_), + .Y(_13145_) + ); + sky130_fd_sc_hd__o211a_2 _26946_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[24] ), + .A2(_13120_), + .B1(_13145_), + .C1(_10904_), + .X(_08706_) + ); + sky130_fd_sc_hd__o31a_2 _26947_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[25] ), + .X(_13146_) + ); + sky130_fd_sc_hd__a211o_2 _26948_ ( + .A1(_12539_), + .A2(_13120_), + .B1(_13146_), + .C1(\soc.core.int_rst ), + .X(_08707_) + ); + sky130_fd_sc_hd__o211ai_2 _26949_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12542_), + .C1(_12762_), + .Y(_13147_) + ); + sky130_fd_sc_hd__o211a_2 _26950_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[26] ), + .A2(_13120_), + .B1(_13147_), + .C1(_10904_), + .X(_08708_) + ); + sky130_fd_sc_hd__o211ai_2 _26951_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12544_), + .C1(_12762_), + .Y(_13148_) + ); + sky130_fd_sc_hd__o211a_2 _26952_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[27] ), + .A2(_13120_), + .B1(_13148_), + .C1(_10904_), + .X(_08709_) + ); + sky130_fd_sc_hd__o31a_2 _26953_ ( + .A1(_11015_), + .A2(_13113_), + .A3(_12760_), + .B1(\soc.core.mgmtsoc_scratch_storage[28] ), + .X(_13149_) + ); + sky130_fd_sc_hd__a211o_2 _26954_ ( + .A1(_12546_), + .A2(_13120_), + .B1(_13149_), + .C1(\soc.core.int_rst ), + .X(_08710_) + ); + sky130_fd_sc_hd__o211ai_2 _26955_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12549_), + .C1(_12762_), + .Y(_13150_) + ); + sky130_fd_sc_hd__o211a_2 _26956_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[29] ), + .A2(_13120_), + .B1(_13150_), + .C1(_10904_), + .X(_08711_) + ); + sky130_fd_sc_hd__o211ai_2 _26957_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12551_), + .C1(_12762_), + .Y(_13151_) + ); + sky130_fd_sc_hd__o211a_2 _26958_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[30] ), + .A2(_13120_), + .B1(_13151_), + .C1(_10904_), + .X(_08712_) + ); + sky130_fd_sc_hd__o211ai_2 _26959_ ( + .A1(_11029_), + .A2(_11438_), + .B1(_12553_), + .C1(_12762_), + .Y(_13152_) + ); + sky130_fd_sc_hd__o211a_2 _26960_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[31] ), + .A2(_13120_), + .B1(_13152_), + .C1(_10904_), + .X(_08713_) + ); + sky130_fd_sc_hd__and4_2 _26961_ ( + .A(\soc.core.mgmtsoc_bus_errors[25] ), + .B(\soc.core.mgmtsoc_bus_errors[24] ), + .C(\soc.core.mgmtsoc_bus_errors[23] ), + .D(\soc.core.mgmtsoc_bus_errors[22] ), + .X(_13153_) + ); + sky130_fd_sc_hd__and4_2 _26962_ ( + .A(\soc.core.mgmtsoc_bus_errors[30] ), + .B(\soc.core.mgmtsoc_bus_errors[29] ), + .C(\soc.core.mgmtsoc_bus_errors[27] ), + .D(\soc.core.mgmtsoc_bus_errors[26] ), + .X(_13154_) + ); + sky130_fd_sc_hd__and3_2 _26963_ ( + .A(\soc.core.mgmtsoc_bus_errors[31] ), + .B(\soc.core.mgmtsoc_bus_errors[28] ), + .C(_13154_), + .X(_13155_) + ); + sky130_fd_sc_hd__nand2_2 _26964_ ( + .A(_13153_), + .B(_13155_), + .Y(_13156_) + ); + sky130_fd_sc_hd__and4_2 _26965_ ( + .A(\soc.core.mgmtsoc_bus_errors[19] ), + .B(\soc.core.mgmtsoc_bus_errors[18] ), + .C(\soc.core.mgmtsoc_bus_errors[17] ), + .D(\soc.core.mgmtsoc_bus_errors[16] ), + .X(_13157_) + ); + sky130_fd_sc_hd__and3_2 _26966_ ( + .A(\soc.core.mgmtsoc_bus_errors[21] ), + .B(\soc.core.mgmtsoc_bus_errors[20] ), + .C(_13157_), + .X(_13158_) + ); + sky130_fd_sc_hd__and4_2 _26967_ ( + .A(\soc.core.mgmtsoc_bus_errors[13] ), + .B(\soc.core.mgmtsoc_bus_errors[12] ), + .C(\soc.core.mgmtsoc_bus_errors[11] ), + .D(\soc.core.mgmtsoc_bus_errors[10] ), + .X(_13159_) + ); + sky130_fd_sc_hd__and3_2 _26968_ ( + .A(\soc.core.mgmtsoc_bus_errors[15] ), + .B(\soc.core.mgmtsoc_bus_errors[14] ), + .C(_13159_), + .X(_13160_) + ); + sky130_fd_sc_hd__nand2_2 _26969_ ( + .A(\soc.core.mgmtsoc_bus_errors[5] ), + .B(\soc.core.mgmtsoc_bus_errors[4] ), + .Y(_13161_) + ); + sky130_fd_sc_hd__and3_2 _26970_ ( + .A(\soc.core.mgmtsoc_bus_errors[5] ), + .B(\soc.core.mgmtsoc_bus_errors[4] ), + .C(\soc.core.mgmtsoc_bus_errors[3] ), + .X(_13162_) + ); + sky130_fd_sc_hd__and3_2 _26971_ ( + .A(\soc.core.mgmtsoc_bus_errors[2] ), + .B(\soc.core.mgmtsoc_bus_errors[1] ), + .C(\soc.core.mgmtsoc_bus_errors[0] ), + .X(_13163_) + ); + sky130_fd_sc_hd__nand4_2 _26972_ ( + .A(\soc.core.mgmtsoc_bus_errors[3] ), + .B(\soc.core.mgmtsoc_bus_errors[2] ), + .C(\soc.core.mgmtsoc_bus_errors[1] ), + .D(\soc.core.mgmtsoc_bus_errors[0] ), + .Y(_13164_) + ); + sky130_fd_sc_hd__nor2_2 _26973_ ( + .A(_13161_), + .B(_13164_), + .Y(_13165_) + ); + sky130_fd_sc_hd__and4_2 _26974_ ( + .A(\soc.core.mgmtsoc_bus_errors[9] ), + .B(\soc.core.mgmtsoc_bus_errors[8] ), + .C(\soc.core.mgmtsoc_bus_errors[7] ), + .D(\soc.core.mgmtsoc_bus_errors[6] ), + .X(_13166_) + ); + sky130_fd_sc_hd__nand4_2 _26975_ ( + .A(_13158_), + .B(_13160_), + .C(_13165_), + .D(_13166_), + .Y(_13167_) + ); + sky130_fd_sc_hd__and2_4 _26976_ ( + .A(\soc.core.mgmtsoc_bus_errors[8] ), + .B(\soc.core.mgmtsoc_bus_errors[7] ), + .X(_13168_) + ); + sky130_fd_sc_hd__nand4_2 _26977_ ( + .A(\soc.core.mgmtsoc_bus_errors[9] ), + .B(\soc.core.mgmtsoc_bus_errors[6] ), + .C(_13165_), + .D(_13168_), + .Y(_13169_) + ); + sky130_fd_sc_hd__nor3_2 _26978_ ( + .A(_10900_), + .B(_10901_), + .C(_13169_), + .Y(_13170_) + ); + sky130_fd_sc_hd__and3_2 _26979_ ( + .A(\soc.core.mgmtsoc_bus_errors[13] ), + .B(\soc.core.mgmtsoc_bus_errors[12] ), + .C(_13170_), + .X(_13171_) + ); + sky130_fd_sc_hd__nand4_2 _26980_ ( + .A(\soc.core.mgmtsoc_bus_errors[15] ), + .B(\soc.core.mgmtsoc_bus_errors[14] ), + .C(_13158_), + .D(_13171_), + .Y(_13172_) + ); + sky130_fd_sc_hd__o21a_2 _26981_ ( + .A1(_13156_), + .A2(_13167_), + .B1(_11179_), + .X(_13173_) + ); + sky130_fd_sc_hd__a21oi_2 _26982_ ( + .A1(\soc.core.mgmtsoc_bus_errors[0] ), + .A2(_13173_), + .B1(\soc.core.int_rst ), + .Y(_13174_) + ); + sky130_fd_sc_hd__o21a_2 _26983_ ( + .A1(\soc.core.mgmtsoc_bus_errors[0] ), + .A2(_13173_), + .B1(_13174_), + .X(_08714_) + ); + sky130_fd_sc_hd__a21oi_2 _26984_ ( + .A1(\soc.core.mgmtsoc_bus_errors[0] ), + .A2(_13173_), + .B1(\soc.core.mgmtsoc_bus_errors[1] ), + .Y(_13175_) + ); + sky130_fd_sc_hd__a31o_2 _26985_ ( + .A1(\soc.core.mgmtsoc_bus_errors[1] ), + .A2(\soc.core.mgmtsoc_bus_errors[0] ), + .A3(_13173_), + .B1(\soc.core.int_rst ), + .X(_13176_) + ); + sky130_fd_sc_hd__nor2_2 _26986_ ( + .A(_13175_), + .B(_13176_), + .Y(_08715_) + ); + sky130_fd_sc_hd__a31oi_2 _26987_ ( + .A1(\soc.core.mgmtsoc_bus_errors[1] ), + .A2(\soc.core.mgmtsoc_bus_errors[0] ), + .A3(_13173_), + .B1(\soc.core.mgmtsoc_bus_errors[2] ), + .Y(_13177_) + ); + sky130_fd_sc_hd__o211a_2 _26988_ ( + .A1(_13156_), + .A2(_13167_), + .B1(_13163_), + .C1(_11179_), + .X(_13178_) + ); + sky130_fd_sc_hd__nor3_2 _26989_ ( + .A(\soc.core.int_rst ), + .B(_13177_), + .C(_13178_), + .Y(_08716_) + ); + sky130_fd_sc_hd__a31o_2 _26990_ ( + .A1(\soc.core.mgmtsoc_bus_errors[3] ), + .A2(_13163_), + .A3(_13173_), + .B1(\soc.core.int_rst ), + .X(_13179_) + ); + sky130_fd_sc_hd__o21ba_2 _26991_ ( + .A1(\soc.core.mgmtsoc_bus_errors[3] ), + .A2(_13178_), + .B1_N(_13179_), + .X(_08717_) + ); + sky130_fd_sc_hd__and3_2 _26992_ ( + .A(\soc.core.mgmtsoc_bus_errors[4] ), + .B(\soc.core.mgmtsoc_bus_errors[3] ), + .C(_13178_), + .X(_13180_) + ); + sky130_fd_sc_hd__a21oi_2 _26993_ ( + .A1(\soc.core.mgmtsoc_bus_errors[3] ), + .A2(_13178_), + .B1(\soc.core.mgmtsoc_bus_errors[4] ), + .Y(_13181_) + ); + sky130_fd_sc_hd__nor3_2 _26994_ ( + .A(\soc.core.int_rst ), + .B(_13180_), + .C(_13181_), + .Y(_08718_) + ); + sky130_fd_sc_hd__nand2_2 _26995_ ( + .A(_13165_), + .B(_13173_), + .Y(_13182_) + ); + sky130_fd_sc_hd__o211a_2 _26996_ ( + .A1(\soc.core.mgmtsoc_bus_errors[5] ), + .A2(_13180_), + .B1(_13182_), + .C1(_10904_), + .X(_08719_) + ); + sky130_fd_sc_hd__a21oi_2 _26997_ ( + .A1(_13165_), + .A2(_13173_), + .B1(\soc.core.mgmtsoc_bus_errors[6] ), + .Y(_13183_) + ); + sky130_fd_sc_hd__and3_2 _26998_ ( + .A(\soc.core.mgmtsoc_bus_errors[6] ), + .B(_13165_), + .C(_13173_), + .X(_13184_) + ); + sky130_fd_sc_hd__nor3_2 _26999_ ( + .A(\soc.core.int_rst ), + .B(_13183_), + .C(_13184_), + .Y(_08720_) + ); + sky130_fd_sc_hd__a41o_2 _27000_ ( + .A1(\soc.core.mgmtsoc_bus_errors[7] ), + .A2(\soc.core.mgmtsoc_bus_errors[6] ), + .A3(_13165_), + .A4(_13173_), + .B1(\soc.core.int_rst ), + .X(_13185_) + ); + sky130_fd_sc_hd__o21ba_2 _27001_ ( + .A1(\soc.core.mgmtsoc_bus_errors[7] ), + .A2(_13184_), + .B1_N(_13185_), + .X(_08721_) + ); + sky130_fd_sc_hd__a41o_2 _27002_ ( + .A1(\soc.core.mgmtsoc_bus_errors[7] ), + .A2(\soc.core.mgmtsoc_bus_errors[6] ), + .A3(_13165_), + .A4(_13173_), + .B1(\soc.core.mgmtsoc_bus_errors[8] ), + .X(_13186_) + ); + sky130_fd_sc_hd__nand2_2 _27003_ ( + .A(_13168_), + .B(_13184_), + .Y(_13187_) + ); + sky130_fd_sc_hd__and3_2 _27004_ ( + .A(_10904_), + .B(_13186_), + .C(_13187_), + .X(_08722_) + ); + sky130_fd_sc_hd__a21oi_2 _27005_ ( + .A1(_13168_), + .A2(_13184_), + .B1(\soc.core.mgmtsoc_bus_errors[9] ), + .Y(_13188_) + ); + sky130_fd_sc_hd__and3_2 _27006_ ( + .A(_13162_), + .B(_13166_), + .C(_13178_), + .X(_13189_) + ); + sky130_fd_sc_hd__nor3_2 _27007_ ( + .A(\soc.core.int_rst ), + .B(_13188_), + .C(_13189_), + .Y(_08723_) + ); + sky130_fd_sc_hd__a21oi_2 _27008_ ( + .A1(\soc.core.mgmtsoc_bus_errors[10] ), + .A2(_13189_), + .B1(\soc.core.int_rst ), + .Y(_13190_) + ); + sky130_fd_sc_hd__o21a_2 _27009_ ( + .A1(\soc.core.mgmtsoc_bus_errors[10] ), + .A2(_13189_), + .B1(_13190_), + .X(_08724_) + ); + sky130_fd_sc_hd__a21oi_2 _27010_ ( + .A1(\soc.core.mgmtsoc_bus_errors[10] ), + .A2(_13189_), + .B1(\soc.core.mgmtsoc_bus_errors[11] ), + .Y(_13191_) + ); + sky130_fd_sc_hd__a211oi_2 _27011_ ( + .A1(_13170_), + .A2(_13173_), + .B1(_13191_), + .C1(\soc.core.int_rst ), + .Y(_08725_) + ); + sky130_fd_sc_hd__a21oi_2 _27012_ ( + .A1(_13170_), + .A2(_13173_), + .B1(\soc.core.mgmtsoc_bus_errors[12] ), + .Y(_13192_) + ); + sky130_fd_sc_hd__and3_2 _27013_ ( + .A(\soc.core.mgmtsoc_bus_errors[12] ), + .B(_13170_), + .C(_13173_), + .X(_13193_) + ); + sky130_fd_sc_hd__nor3_2 _27014_ ( + .A(\soc.core.int_rst ), + .B(_13192_), + .C(_13193_), + .Y(_08726_) + ); + sky130_fd_sc_hd__a41o_2 _27015_ ( + .A1(\soc.core.mgmtsoc_bus_errors[13] ), + .A2(\soc.core.mgmtsoc_bus_errors[12] ), + .A3(_13170_), + .A4(_13173_), + .B1(\soc.core.int_rst ), + .X(_13194_) + ); + sky130_fd_sc_hd__o21ba_2 _27016_ ( + .A1(\soc.core.mgmtsoc_bus_errors[13] ), + .A2(_13193_), + .B1_N(_13194_), + .X(_08727_) + ); + sky130_fd_sc_hd__a41o_2 _27017_ ( + .A1(\soc.core.mgmtsoc_bus_errors[13] ), + .A2(\soc.core.mgmtsoc_bus_errors[12] ), + .A3(_13170_), + .A4(_13173_), + .B1(\soc.core.mgmtsoc_bus_errors[14] ), + .X(_13195_) + ); + sky130_fd_sc_hd__nand3_2 _27018_ ( + .A(\soc.core.mgmtsoc_bus_errors[14] ), + .B(\soc.core.mgmtsoc_bus_errors[13] ), + .C(_13193_), + .Y(_13196_) + ); + sky130_fd_sc_hd__and3_2 _27019_ ( + .A(_10904_), + .B(_13195_), + .C(_13196_), + .X(_08728_) + ); + sky130_fd_sc_hd__a31oi_2 _27020_ ( + .A1(\soc.core.mgmtsoc_bus_errors[14] ), + .A2(_13171_), + .A3(_13173_), + .B1(\soc.core.mgmtsoc_bus_errors[15] ), + .Y(_13197_) + ); + sky130_fd_sc_hd__and4_2 _27021_ ( + .A(\soc.core.mgmtsoc_bus_errors[15] ), + .B(\soc.core.mgmtsoc_bus_errors[14] ), + .C(_13171_), + .D(_13173_), + .X(_13198_) + ); + sky130_fd_sc_hd__nor3_2 _27022_ ( + .A(\soc.core.int_rst ), + .B(_13197_), + .C(_13198_), + .Y(_08729_) + ); + sky130_fd_sc_hd__a41o_2 _27023_ ( + .A1(\soc.core.mgmtsoc_bus_errors[15] ), + .A2(\soc.core.mgmtsoc_bus_errors[14] ), + .A3(_13171_), + .A4(_13173_), + .B1(\soc.core.mgmtsoc_bus_errors[16] ), + .X(_13199_) + ); + sky130_fd_sc_hd__nand2_2 _27024_ ( + .A(\soc.core.mgmtsoc_bus_errors[16] ), + .B(_13198_), + .Y(_13200_) + ); + sky130_fd_sc_hd__and3_2 _27025_ ( + .A(_10904_), + .B(_13199_), + .C(_13200_), + .X(_08730_) + ); + sky130_fd_sc_hd__a21oi_2 _27026_ ( + .A1(\soc.core.mgmtsoc_bus_errors[16] ), + .A2(_13198_), + .B1(\soc.core.mgmtsoc_bus_errors[17] ), + .Y(_13201_) + ); + sky130_fd_sc_hd__a31o_2 _27027_ ( + .A1(\soc.core.mgmtsoc_bus_errors[17] ), + .A2(\soc.core.mgmtsoc_bus_errors[16] ), + .A3(_13198_), + .B1(\soc.core.int_rst ), + .X(_13202_) + ); + sky130_fd_sc_hd__nor2_2 _27028_ ( + .A(_13201_), + .B(_13202_), + .Y(_08731_) + ); + sky130_fd_sc_hd__a31o_2 _27029_ ( + .A1(\soc.core.mgmtsoc_bus_errors[17] ), + .A2(\soc.core.mgmtsoc_bus_errors[16] ), + .A3(_13198_), + .B1(\soc.core.mgmtsoc_bus_errors[18] ), + .X(_13203_) + ); + sky130_fd_sc_hd__nand4_2 _27030_ ( + .A(\soc.core.mgmtsoc_bus_errors[18] ), + .B(\soc.core.mgmtsoc_bus_errors[17] ), + .C(\soc.core.mgmtsoc_bus_errors[16] ), + .D(_13198_), + .Y(_13204_) + ); + sky130_fd_sc_hd__and3_2 _27031_ ( + .A(_10904_), + .B(_13203_), + .C(_13204_), + .X(_08732_) + ); + sky130_fd_sc_hd__a41oi_2 _27032_ ( + .A1(\soc.core.mgmtsoc_bus_errors[18] ), + .A2(\soc.core.mgmtsoc_bus_errors[17] ), + .A3(\soc.core.mgmtsoc_bus_errors[16] ), + .A4(_13198_), + .B1(\soc.core.mgmtsoc_bus_errors[19] ), + .Y(_13205_) + ); + sky130_fd_sc_hd__a211oi_2 _27033_ ( + .A1(_13157_), + .A2(_13198_), + .B1(_13205_), + .C1(\soc.core.int_rst ), + .Y(_08733_) + ); + sky130_fd_sc_hd__a21oi_2 _27034_ ( + .A1(_13157_), + .A2(_13198_), + .B1(\soc.core.mgmtsoc_bus_errors[20] ), + .Y(_13206_) + ); + sky130_fd_sc_hd__and3_2 _27035_ ( + .A(\soc.core.mgmtsoc_bus_errors[20] ), + .B(_13157_), + .C(_13198_), + .X(_13207_) + ); + sky130_fd_sc_hd__nor3_2 _27036_ ( + .A(\soc.core.int_rst ), + .B(_13206_), + .C(_13207_), + .Y(_08734_) + ); + sky130_fd_sc_hd__nor2_2 _27037_ ( + .A(\soc.core.mgmtsoc_bus_errors[21] ), + .B(_13207_), + .Y(_13208_) + ); + sky130_fd_sc_hd__nor2_2 _27038_ ( + .A(_11180_), + .B(_13167_), + .Y(_13209_) + ); + sky130_fd_sc_hd__a2111oi_2 _27039_ ( + .A1(_13153_), + .A2(_13155_), + .B1(\soc.core.count[19] ), + .C1(_13172_), + .D1(_11176_), + .Y(_13210_) + ); + sky130_fd_sc_hd__a211oi_2 _27040_ ( + .A1(_13209_), + .A2(_13156_), + .B1(\soc.core.int_rst ), + .C1(_13208_), + .Y(_08735_) + ); + sky130_fd_sc_hd__and2_4 _27041_ ( + .A(\soc.core.mgmtsoc_bus_errors[22] ), + .B(_13210_), + .X(_13211_) + ); + sky130_fd_sc_hd__a21oi_2 _27042_ ( + .A1(\soc.core.mgmtsoc_bus_errors[22] ), + .A2(_13210_), + .B1(\soc.core.int_rst ), + .Y(_13212_) + ); + sky130_fd_sc_hd__o21a_2 _27043_ ( + .A1(\soc.core.mgmtsoc_bus_errors[22] ), + .A2(_13210_), + .B1(_13212_), + .X(_08736_) + ); + sky130_fd_sc_hd__o21ai_2 _27044_ ( + .A1(\soc.core.mgmtsoc_bus_errors[23] ), + .A2(_13211_), + .B1(_10904_), + .Y(_13213_) + ); + sky130_fd_sc_hd__a21oi_2 _27045_ ( + .A1(\soc.core.mgmtsoc_bus_errors[23] ), + .A2(_13211_), + .B1(_13213_), + .Y(_08737_) + ); + sky130_fd_sc_hd__a21oi_2 _27046_ ( + .A1(\soc.core.mgmtsoc_bus_errors[23] ), + .A2(_13211_), + .B1(\soc.core.mgmtsoc_bus_errors[24] ), + .Y(_13214_) + ); + sky130_fd_sc_hd__and3_2 _27047_ ( + .A(\soc.core.mgmtsoc_bus_errors[24] ), + .B(\soc.core.mgmtsoc_bus_errors[23] ), + .C(_13211_), + .X(_13215_) + ); + sky130_fd_sc_hd__nor3_2 _27048_ ( + .A(\soc.core.int_rst ), + .B(_13214_), + .C(_13215_), + .Y(_08738_) + ); + sky130_fd_sc_hd__nor2_2 _27049_ ( + .A(\soc.core.mgmtsoc_bus_errors[25] ), + .B(_13215_), + .Y(_13216_) + ); + sky130_fd_sc_hd__and4bb_2 _27050_ ( + .A_N(_13155_), + .B_N(_13172_), + .C(_11179_), + .D(_13153_), + .X(_13217_) + ); + sky130_fd_sc_hd__nor3_2 _27051_ ( + .A(\soc.core.int_rst ), + .B(_13216_), + .C(_13217_), + .Y(_08739_) + ); + sky130_fd_sc_hd__a21oi_2 _27052_ ( + .A1(_13153_), + .A2(_13209_), + .B1(\soc.core.mgmtsoc_bus_errors[26] ), + .Y(_13218_) + ); + sky130_fd_sc_hd__a211oi_2 _27053_ ( + .A1(\soc.core.mgmtsoc_bus_errors[26] ), + .A2(_13217_), + .B1(_13218_), + .C1(\soc.core.int_rst ), + .Y(_08740_) + ); + sky130_fd_sc_hd__a21oi_2 _27054_ ( + .A1(\soc.core.mgmtsoc_bus_errors[26] ), + .A2(_13217_), + .B1(\soc.core.mgmtsoc_bus_errors[27] ), + .Y(_13219_) + ); + sky130_fd_sc_hd__and3_2 _27055_ ( + .A(\soc.core.mgmtsoc_bus_errors[27] ), + .B(\soc.core.mgmtsoc_bus_errors[26] ), + .C(_13217_), + .X(_13220_) + ); + sky130_fd_sc_hd__nor3_2 _27056_ ( + .A(\soc.core.int_rst ), + .B(_13219_), + .C(_13220_), + .Y(_08741_) + ); + sky130_fd_sc_hd__and4_2 _27057_ ( + .A(\soc.core.mgmtsoc_bus_errors[28] ), + .B(\soc.core.mgmtsoc_bus_errors[27] ), + .C(\soc.core.mgmtsoc_bus_errors[26] ), + .D(_13217_), + .X(_13221_) + ); + sky130_fd_sc_hd__nand4_2 _27058_ ( + .A(\soc.core.mgmtsoc_bus_errors[28] ), + .B(\soc.core.mgmtsoc_bus_errors[27] ), + .C(\soc.core.mgmtsoc_bus_errors[26] ), + .D(_13217_), + .Y(_13222_) + ); + sky130_fd_sc_hd__o211a_2 _27059_ ( + .A1(\soc.core.mgmtsoc_bus_errors[28] ), + .A2(_13220_), + .B1(_13222_), + .C1(_10904_), + .X(_08742_) + ); + sky130_fd_sc_hd__a21oi_2 _27060_ ( + .A1(\soc.core.mgmtsoc_bus_errors[29] ), + .A2(_13221_), + .B1(\soc.core.int_rst ), + .Y(_13223_) + ); + sky130_fd_sc_hd__o21a_2 _27061_ ( + .A1(\soc.core.mgmtsoc_bus_errors[29] ), + .A2(_13221_), + .B1(_13223_), + .X(_08743_) + ); + sky130_fd_sc_hd__a21oi_2 _27062_ ( + .A1(\soc.core.mgmtsoc_bus_errors[29] ), + .A2(_13221_), + .B1(\soc.core.mgmtsoc_bus_errors[30] ), + .Y(_13224_) + ); + sky130_fd_sc_hd__and3_2 _27063_ ( + .A(\soc.core.mgmtsoc_bus_errors[30] ), + .B(\soc.core.mgmtsoc_bus_errors[29] ), + .C(_13221_), + .X(_13225_) + ); + sky130_fd_sc_hd__nor3_2 _27064_ ( + .A(\soc.core.int_rst ), + .B(_13224_), + .C(_13225_), + .Y(_08744_) + ); + sky130_fd_sc_hd__o21a_2 _27065_ ( + .A1(\soc.core.mgmtsoc_bus_errors[31] ), + .A2(_13225_), + .B1(_10904_), + .X(_08745_) + ); + sky130_fd_sc_hd__nor3_2 _27066_ ( + .A(\soc.core.mgmtsoc_vexriscv_transfer_complete ), + .B(\soc.core.mgmtsoc_vexriscv_transfer_wait_for_ack ), + .C(\soc.core.mgmtsoc_vexriscv_transfer_in_progress ), + .Y(_13226_) + ); + sky130_fd_sc_hd__and4_2 _27067_ ( + .A(\mgmt_buffers.mprj_adr_o_core[19] ), + .B(\mgmt_buffers.mprj_adr_o_core[18] ), + .C(\mgmt_buffers.mprj_adr_o_core[17] ), + .D(\mgmt_buffers.mprj_adr_o_core[16] ), + .X(_13227_) + ); + sky130_fd_sc_hd__and3_2 _27068_ ( + .A(_11008_), + .B(_11010_), + .C(_11438_), + .X(_13228_) + ); + sky130_fd_sc_hd__nand4b_2 _27069_ ( + .A_N(_11005_), + .B(_11019_), + .C(_13227_), + .D(_13228_), + .Y(_13229_) + ); + sky130_fd_sc_hd__nor2_2 _27070_ ( + .A(_04963_), + .B(_13229_), + .Y(_13230_) + ); + sky130_fd_sc_hd__and3b_2 _27071_ ( + .A_N(_13229_), + .B(\mgmt_buffers.mprj_stb_o_core ), + .C(_13226_), + .X(_13231_) + ); + sky130_fd_sc_hd__nand2_2 _27072_ ( + .A(_13226_), + .B(_13230_), + .Y(_13232_) + ); + sky130_fd_sc_hd__nand4_2 _27073_ ( + .A(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[2] ), + .B(\soc.core.mgmtsoc_vexriscv_i_cmd_valid ), + .C(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_wr ), + .D(_11359_), + .Y(_13233_) + ); + sky130_fd_sc_hd__a21oi_2 _27074_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .A2(_11432_), + .B1(_13233_), + .Y(_13234_) + ); + sky130_fd_sc_hd__nand2_2 _27075_ ( + .A(\soc.core.mgmtsoc_vexriscv_transfer_in_progress ), + .B(_13234_), + .Y(_13235_) + ); + sky130_fd_sc_hd__o21ai_2 _27076_ ( + .A1(\soc.core.mgmtsoc_vexriscv_transfer_in_progress ), + .A2(_13231_), + .B1(_13235_), + .Y(_13236_) + ); + sky130_fd_sc_hd__a21oi_2 _27077_ ( + .A1(_13236_), + .A2(\soc.core.mgmtsoc_vexriscv_i_cmd_valid ), + .B1(_13231_), + .Y(_13237_) + ); + sky130_fd_sc_hd__nor2_2 _27078_ ( + .A(\soc.core.int_rst ), + .B(_13237_), + .Y(_08747_) + ); + sky130_fd_sc_hd__a32oi_2 _27079_ ( + .A1(\mgmt_buffers.mprj_we_o_core ), + .A2(_13231_), + .A3(_13235_), + .B1(_13236_), + .B2(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_wr ), + .Y(_13238_) + ); + sky130_fd_sc_hd__nor2_2 _27080_ ( + .A(\soc.core.int_rst ), + .B(_13238_), + .Y(_08748_) + ); + sky130_fd_sc_hd__o21a_2 _27081_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[0] ), + .A2(_13231_), + .B1(_10904_), + .X(_13239_) + ); + sky130_fd_sc_hd__o21a_2 _27082_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[0] ), + .A2(_13232_), + .B1(_13239_), + .X(_08749_) + ); + sky130_fd_sc_hd__o21a_2 _27083_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[1] ), + .A2(_13231_), + .B1(_10904_), + .X(_13240_) + ); + sky130_fd_sc_hd__o21a_2 _27084_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[1] ), + .A2(_13232_), + .B1(_13240_), + .X(_08750_) + ); + sky130_fd_sc_hd__o21a_2 _27085_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[2] ), + .A2(_13231_), + .B1(_10904_), + .X(_13241_) + ); + sky130_fd_sc_hd__o21a_2 _27086_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[2] ), + .A2(_13232_), + .B1(_13241_), + .X(_08751_) + ); + sky130_fd_sc_hd__o21a_2 _27087_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[3] ), + .A2(_13231_), + .B1(_10904_), + .X(_13242_) + ); + sky130_fd_sc_hd__o21a_2 _27088_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[3] ), + .A2(_13232_), + .B1(_13242_), + .X(_08752_) + ); + sky130_fd_sc_hd__o21a_2 _27089_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[4] ), + .A2(_13231_), + .B1(_10904_), + .X(_13243_) + ); + sky130_fd_sc_hd__o21a_2 _27090_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[4] ), + .A2(_13232_), + .B1(_13243_), + .X(_08753_) + ); + sky130_fd_sc_hd__o21a_2 _27091_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[5] ), + .A2(_13231_), + .B1(_10904_), + .X(_13244_) + ); + sky130_fd_sc_hd__o21a_2 _27092_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[5] ), + .A2(_13232_), + .B1(_13244_), + .X(_08754_) + ); + sky130_fd_sc_hd__o21a_2 _27093_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[6] ), + .A2(_13231_), + .B1(_10904_), + .X(_13245_) + ); + sky130_fd_sc_hd__o21a_2 _27094_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[6] ), + .A2(_13232_), + .B1(_13245_), + .X(_08755_) + ); + sky130_fd_sc_hd__o21a_2 _27095_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[7] ), + .A2(_13231_), + .B1(_10904_), + .X(_13246_) + ); + sky130_fd_sc_hd__o21a_2 _27096_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[7] ), + .A2(_13232_), + .B1(_13246_), + .X(_08756_) + ); + sky130_fd_sc_hd__o21a_2 _27097_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[8] ), + .A2(_13231_), + .B1(_10904_), + .X(_13247_) + ); + sky130_fd_sc_hd__o21a_2 _27098_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[8] ), + .A2(_13232_), + .B1(_13247_), + .X(_08757_) + ); + sky130_fd_sc_hd__o21a_2 _27099_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[9] ), + .A2(_13231_), + .B1(_10904_), + .X(_13248_) + ); + sky130_fd_sc_hd__o21a_2 _27100_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[9] ), + .A2(_13232_), + .B1(_13248_), + .X(_08758_) + ); + sky130_fd_sc_hd__o21a_2 _27101_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[10] ), + .A2(_13231_), + .B1(_10904_), + .X(_13249_) + ); + sky130_fd_sc_hd__o21a_2 _27102_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[10] ), + .A2(_13232_), + .B1(_13249_), + .X(_08759_) + ); + sky130_fd_sc_hd__o21a_2 _27103_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[11] ), + .A2(_13231_), + .B1(_10904_), + .X(_13250_) + ); + sky130_fd_sc_hd__o21a_2 _27104_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[11] ), + .A2(_13232_), + .B1(_13250_), + .X(_08760_) + ); + sky130_fd_sc_hd__o21a_2 _27105_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[12] ), + .A2(_13231_), + .B1(_10904_), + .X(_13251_) + ); + sky130_fd_sc_hd__o21a_2 _27106_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[12] ), + .A2(_13232_), + .B1(_13251_), + .X(_08761_) + ); + sky130_fd_sc_hd__o21a_2 _27107_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[13] ), + .A2(_13231_), + .B1(_10904_), + .X(_13252_) + ); + sky130_fd_sc_hd__o21a_2 _27108_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[13] ), + .A2(_13232_), + .B1(_13252_), + .X(_08762_) + ); + sky130_fd_sc_hd__o21a_2 _27109_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[14] ), + .A2(_13231_), + .B1(_10904_), + .X(_13253_) + ); + sky130_fd_sc_hd__o21a_2 _27110_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[14] ), + .A2(_13232_), + .B1(_13253_), + .X(_08763_) + ); + sky130_fd_sc_hd__o21a_2 _27111_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[15] ), + .A2(_13231_), + .B1(_10904_), + .X(_13254_) + ); + sky130_fd_sc_hd__o21a_2 _27112_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[15] ), + .A2(_13232_), + .B1(_13254_), + .X(_08764_) + ); + sky130_fd_sc_hd__o21a_2 _27113_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l260 ), + .A2(_13231_), + .B1(_10904_), + .X(_13255_) + ); + sky130_fd_sc_hd__o21a_2 _27114_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[16] ), + .A2(_13232_), + .B1(_13255_), + .X(_08765_) + ); + sky130_fd_sc_hd__o21a_2 _27115_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l261 ), + .A2(_13231_), + .B1(_10904_), + .X(_13256_) + ); + sky130_fd_sc_hd__o21a_2 _27116_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[17] ), + .A2(_13232_), + .B1(_13256_), + .X(_08766_) + ); + sky130_fd_sc_hd__o21a_2 _27117_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l264 ), + .A2(_13231_), + .B1(_10904_), + .X(_13257_) + ); + sky130_fd_sc_hd__o21a_2 _27118_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[18] ), + .A2(_13232_), + .B1(_13257_), + .X(_08767_) + ); + sky130_fd_sc_hd__o21a_2 _27119_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[19] ), + .A2(_13231_), + .B1(_10904_), + .X(_13258_) + ); + sky130_fd_sc_hd__o21a_2 _27120_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[19] ), + .A2(_13232_), + .B1(_13258_), + .X(_08768_) + ); + sky130_fd_sc_hd__o21a_2 _27121_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[20] ), + .A2(_13231_), + .B1(_10904_), + .X(_13259_) + ); + sky130_fd_sc_hd__o21a_2 _27122_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[20] ), + .A2(_13232_), + .B1(_13259_), + .X(_08769_) + ); + sky130_fd_sc_hd__o21a_2 _27123_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[21] ), + .A2(_13231_), + .B1(_10904_), + .X(_13260_) + ); + sky130_fd_sc_hd__o21a_2 _27124_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[21] ), + .A2(_13232_), + .B1(_13260_), + .X(_08770_) + ); + sky130_fd_sc_hd__o21a_2 _27125_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[22] ), + .A2(_13231_), + .B1(_10904_), + .X(_13261_) + ); + sky130_fd_sc_hd__o21a_2 _27126_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[22] ), + .A2(_13232_), + .B1(_13261_), + .X(_08771_) + ); + sky130_fd_sc_hd__o21a_2 _27127_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[23] ), + .A2(_13231_), + .B1(_10904_), + .X(_13262_) + ); + sky130_fd_sc_hd__o21a_2 _27128_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[23] ), + .A2(_13232_), + .B1(_13262_), + .X(_08772_) + ); + sky130_fd_sc_hd__o21a_2 _27129_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l260_1 ), + .A2(_13231_), + .B1(_10904_), + .X(_13263_) + ); + sky130_fd_sc_hd__o21a_2 _27130_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[24] ), + .A2(_13232_), + .B1(_13263_), + .X(_08773_) + ); + sky130_fd_sc_hd__o21a_2 _27131_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l261_1 ), + .A2(_13231_), + .B1(_10904_), + .X(_13264_) + ); + sky130_fd_sc_hd__o21a_2 _27132_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[25] ), + .A2(_13232_), + .B1(_13264_), + .X(_08774_) + ); + sky130_fd_sc_hd__o21a_2 _27133_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l264_1 ), + .A2(_13231_), + .B1(_10904_), + .X(_13265_) + ); + sky130_fd_sc_hd__o21a_2 _27134_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[26] ), + .A2(_13232_), + .B1(_13265_), + .X(_08775_) + ); + sky130_fd_sc_hd__o21a_2 _27135_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[27] ), + .A2(_13231_), + .B1(_10904_), + .X(_13266_) + ); + sky130_fd_sc_hd__o21a_2 _27136_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[27] ), + .A2(_13232_), + .B1(_13266_), + .X(_08776_) + ); + sky130_fd_sc_hd__o21a_2 _27137_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[28] ), + .A2(_13231_), + .B1(_10904_), + .X(_13267_) + ); + sky130_fd_sc_hd__o21a_2 _27138_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[28] ), + .A2(_13232_), + .B1(_13267_), + .X(_08777_) + ); + sky130_fd_sc_hd__o21a_2 _27139_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[29] ), + .A2(_13231_), + .B1(_10904_), + .X(_13268_) + ); + sky130_fd_sc_hd__o21a_2 _27140_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[29] ), + .A2(_13232_), + .B1(_13268_), + .X(_08778_) + ); + sky130_fd_sc_hd__o21a_2 _27141_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[30] ), + .A2(_13231_), + .B1(_10904_), + .X(_13269_) + ); + sky130_fd_sc_hd__o21a_2 _27142_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[30] ), + .A2(_13232_), + .B1(_13269_), + .X(_08779_) + ); + sky130_fd_sc_hd__o21a_2 _27143_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[31] ), + .A2(_13231_), + .B1(_10904_), + .X(_13270_) + ); + sky130_fd_sc_hd__o21a_2 _27144_ ( + .A1(\mgmt_buffers.mprj_dat_o_core[31] ), + .A2(_13232_), + .B1(_13270_), + .X(_08780_) + ); + sky130_fd_sc_hd__and2b_2 _27145_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.mgmtsoc_vexriscv_reset_debug_logic ), + .X(_08781_) + ); + sky130_fd_sc_hd__a211o_2 _27146_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .A2(_11432_), + .B1(\soc.core.mgmtsoc_vexriscv_transfer_complete ), + .C1(_13233_), + .X(_13271_) + ); + sky130_fd_sc_hd__and3_2 _27147_ ( + .A(_10904_), + .B(_13271_), + .C(\soc.core.mgmtsoc_vexriscv_transfer_in_progress ), + .X(_08782_) + ); + sky130_fd_sc_hd__and2b_2 _27148_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.VexRiscv.DebugPlugin_resetIt_regNext ), + .X(_08783_) + ); + sky130_fd_sc_hd__nand2b_2 _27149_ ( + .A_N(\soc.core.mgmtsoc_vexriscv_transfer_in_progress ), + .B(\soc.core.mgmtsoc_vexriscv_transfer_complete ), + .Y(_13272_) + ); + sky130_fd_sc_hd__nand2b_2 _27150_ ( + .A_N(\soc.core.mgmtsoc_vexriscv_transfer_in_progress ), + .B(\soc.core.mgmtsoc_vexriscv_transfer_wait_for_ack ), + .Y(_13273_) + ); + sky130_fd_sc_hd__o21ai_2 _27151_ ( + .A1(\soc.core.mgmtsoc_vexriscv_transfer_in_progress ), + .A2(_13230_), + .B1(\soc.core.mgmtsoc_vexriscv_transfer_wait_for_ack ), + .Y(_13274_) + ); + sky130_fd_sc_hd__a21oi_2 _27152_ ( + .A1(_13272_), + .A2(_13274_), + .B1(\soc.core.int_rst ), + .Y(_08784_) + ); + sky130_fd_sc_hd__o21ai_2 _27153_ ( + .A1(_13273_), + .A2(_13230_), + .B1(\soc.core.mgmtsoc_vexriscv_debug_bus_ack ), + .Y(_13275_) + ); + sky130_fd_sc_hd__a211oi_2 _27154_ ( + .A1(_13272_), + .A2(_13275_), + .B1(\soc.core.int_rst ), + .C1(_13231_), + .Y(_08785_) + ); + sky130_fd_sc_hd__and4_2 _27155_ ( + .A(_04957_), + .B(_11012_), + .C(_12390_), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_13276_) + ); + sky130_fd_sc_hd__and4_2 _27156_ ( + .A(_11028_), + .B(_12390_), + .C(_12436_), + .D(_12487_), + .X(_13277_) + ); + sky130_fd_sc_hd__a31o_2 _27157_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12440_), + .B1(\soc.core.int_rst ), + .X(_13278_) + ); + sky130_fd_sc_hd__o21ba_2 _27158_ ( + .A1(\soc.core.mgmtsoc_load_storage[0] ), + .A2(_13277_), + .B1_N(_13278_), + .X(_08786_) + ); + sky130_fd_sc_hd__a31o_2 _27159_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12442_), + .B1(\soc.core.int_rst ), + .X(_13279_) + ); + sky130_fd_sc_hd__o21ba_2 _27160_ ( + .A1(\soc.core.mgmtsoc_load_storage[1] ), + .A2(_13277_), + .B1_N(_13279_), + .X(_08787_) + ); + sky130_fd_sc_hd__a31o_2 _27161_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12444_), + .B1(\soc.core.int_rst ), + .X(_13280_) + ); + sky130_fd_sc_hd__o21ba_2 _27162_ ( + .A1(\soc.core.mgmtsoc_load_storage[2] ), + .A2(_13277_), + .B1_N(_13280_), + .X(_08788_) + ); + sky130_fd_sc_hd__a31o_2 _27163_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12446_), + .B1(\soc.core.int_rst ), + .X(_13281_) + ); + sky130_fd_sc_hd__o21ba_2 _27164_ ( + .A1(\soc.core.mgmtsoc_load_storage[3] ), + .A2(_13277_), + .B1_N(_13281_), + .X(_08789_) + ); + sky130_fd_sc_hd__a31o_2 _27165_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12448_), + .B1(\soc.core.int_rst ), + .X(_13282_) + ); + sky130_fd_sc_hd__o21ba_2 _27166_ ( + .A1(\soc.core.mgmtsoc_load_storage[4] ), + .A2(_13277_), + .B1_N(_13282_), + .X(_08790_) + ); + sky130_fd_sc_hd__a31o_2 _27167_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12450_), + .B1(\soc.core.int_rst ), + .X(_13283_) + ); + sky130_fd_sc_hd__o21ba_2 _27168_ ( + .A1(\soc.core.mgmtsoc_load_storage[5] ), + .A2(_13277_), + .B1_N(_13283_), + .X(_08791_) + ); + sky130_fd_sc_hd__a31o_2 _27169_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12452_), + .B1(\soc.core.int_rst ), + .X(_13284_) + ); + sky130_fd_sc_hd__o21ba_2 _27170_ ( + .A1(\soc.core.mgmtsoc_load_storage[6] ), + .A2(_13277_), + .B1_N(_13284_), + .X(_08792_) + ); + sky130_fd_sc_hd__a31o_2 _27171_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12454_), + .B1(\soc.core.int_rst ), + .X(_13285_) + ); + sky130_fd_sc_hd__o21ba_2 _27172_ ( + .A1(\soc.core.mgmtsoc_load_storage[7] ), + .A2(_13277_), + .B1_N(_13285_), + .X(_08793_) + ); + sky130_fd_sc_hd__a31o_2 _27173_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12498_), + .B1(\soc.core.int_rst ), + .X(_13286_) + ); + sky130_fd_sc_hd__o21ba_2 _27174_ ( + .A1(\soc.core.mgmtsoc_load_storage[8] ), + .A2(_13277_), + .B1_N(_13286_), + .X(_08794_) + ); + sky130_fd_sc_hd__a31o_2 _27175_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12501_), + .B1(\soc.core.int_rst ), + .X(_13287_) + ); + sky130_fd_sc_hd__o21ba_2 _27176_ ( + .A1(\soc.core.mgmtsoc_load_storage[9] ), + .A2(_13277_), + .B1_N(_13287_), + .X(_08795_) + ); + sky130_fd_sc_hd__a31o_2 _27177_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12504_), + .B1(\soc.core.int_rst ), + .X(_13288_) + ); + sky130_fd_sc_hd__o21ba_2 _27178_ ( + .A1(\soc.core.mgmtsoc_load_storage[10] ), + .A2(_13277_), + .B1_N(_13288_), + .X(_08796_) + ); + sky130_fd_sc_hd__a31o_2 _27179_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12506_), + .B1(\soc.core.int_rst ), + .X(_13289_) + ); + sky130_fd_sc_hd__o21ba_2 _27180_ ( + .A1(\soc.core.mgmtsoc_load_storage[11] ), + .A2(_13277_), + .B1_N(_13289_), + .X(_08797_) + ); + sky130_fd_sc_hd__a31o_2 _27181_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12509_), + .B1(\soc.core.int_rst ), + .X(_13290_) + ); + sky130_fd_sc_hd__o21ba_2 _27182_ ( + .A1(\soc.core.mgmtsoc_load_storage[12] ), + .A2(_13277_), + .B1_N(_13290_), + .X(_08798_) + ); + sky130_fd_sc_hd__a31o_2 _27183_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12511_), + .B1(\soc.core.int_rst ), + .X(_13291_) + ); + sky130_fd_sc_hd__o21ba_2 _27184_ ( + .A1(\soc.core.mgmtsoc_load_storage[13] ), + .A2(_13277_), + .B1_N(_13291_), + .X(_08799_) + ); + sky130_fd_sc_hd__a31o_2 _27185_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12514_), + .B1(\soc.core.int_rst ), + .X(_13292_) + ); + sky130_fd_sc_hd__o21ba_2 _27186_ ( + .A1(\soc.core.mgmtsoc_load_storage[14] ), + .A2(_13277_), + .B1_N(_13292_), + .X(_08800_) + ); + sky130_fd_sc_hd__a31o_2 _27187_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12516_), + .B1(\soc.core.int_rst ), + .X(_13293_) + ); + sky130_fd_sc_hd__o21ba_2 _27188_ ( + .A1(\soc.core.mgmtsoc_load_storage[15] ), + .A2(_13277_), + .B1_N(_13293_), + .X(_08801_) + ); + sky130_fd_sc_hd__a31o_2 _27189_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12518_), + .B1(\soc.core.int_rst ), + .X(_13294_) + ); + sky130_fd_sc_hd__o21ba_2 _27190_ ( + .A1(\soc.core.mgmtsoc_load_storage[16] ), + .A2(_13277_), + .B1_N(_13294_), + .X(_08802_) + ); + sky130_fd_sc_hd__a31o_2 _27191_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12520_), + .B1(\soc.core.int_rst ), + .X(_13295_) + ); + sky130_fd_sc_hd__o21ba_2 _27192_ ( + .A1(\soc.core.mgmtsoc_load_storage[17] ), + .A2(_13277_), + .B1_N(_13295_), + .X(_08803_) + ); + sky130_fd_sc_hd__a31o_2 _27193_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12523_), + .B1(\soc.core.int_rst ), + .X(_13296_) + ); + sky130_fd_sc_hd__o21ba_2 _27194_ ( + .A1(\soc.core.mgmtsoc_load_storage[18] ), + .A2(_13277_), + .B1_N(_13296_), + .X(_08804_) + ); + sky130_fd_sc_hd__a31o_2 _27195_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12525_), + .B1(\soc.core.int_rst ), + .X(_13297_) + ); + sky130_fd_sc_hd__o21ba_2 _27196_ ( + .A1(\soc.core.mgmtsoc_load_storage[19] ), + .A2(_13277_), + .B1_N(_13297_), + .X(_08805_) + ); + sky130_fd_sc_hd__a31o_2 _27197_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12528_), + .B1(\soc.core.int_rst ), + .X(_13298_) + ); + sky130_fd_sc_hd__o21ba_2 _27198_ ( + .A1(\soc.core.mgmtsoc_load_storage[20] ), + .A2(_13277_), + .B1_N(_13298_), + .X(_08806_) + ); + sky130_fd_sc_hd__a31o_2 _27199_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12531_), + .B1(\soc.core.int_rst ), + .X(_13299_) + ); + sky130_fd_sc_hd__o21ba_2 _27200_ ( + .A1(\soc.core.mgmtsoc_load_storage[21] ), + .A2(_13277_), + .B1_N(_13299_), + .X(_08807_) + ); + sky130_fd_sc_hd__a31o_2 _27201_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12533_), + .B1(\soc.core.int_rst ), + .X(_13300_) + ); + sky130_fd_sc_hd__o21ba_2 _27202_ ( + .A1(\soc.core.mgmtsoc_load_storage[22] ), + .A2(_13277_), + .B1_N(_13300_), + .X(_08808_) + ); + sky130_fd_sc_hd__a31o_2 _27203_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12535_), + .B1(\soc.core.int_rst ), + .X(_13301_) + ); + sky130_fd_sc_hd__o21ba_2 _27204_ ( + .A1(\soc.core.mgmtsoc_load_storage[23] ), + .A2(_13277_), + .B1_N(_13301_), + .X(_08809_) + ); + sky130_fd_sc_hd__a31o_2 _27205_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12537_), + .B1(\soc.core.int_rst ), + .X(_13302_) + ); + sky130_fd_sc_hd__o21ba_2 _27206_ ( + .A1(\soc.core.mgmtsoc_load_storage[24] ), + .A2(_13277_), + .B1_N(_13302_), + .X(_08810_) + ); + sky130_fd_sc_hd__a31o_2 _27207_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12540_), + .B1(\soc.core.int_rst ), + .X(_13303_) + ); + sky130_fd_sc_hd__o21ba_2 _27208_ ( + .A1(\soc.core.mgmtsoc_load_storage[25] ), + .A2(_13277_), + .B1_N(_13303_), + .X(_08811_) + ); + sky130_fd_sc_hd__a31o_2 _27209_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12542_), + .B1(\soc.core.int_rst ), + .X(_13304_) + ); + sky130_fd_sc_hd__o21ba_2 _27210_ ( + .A1(\soc.core.mgmtsoc_load_storage[26] ), + .A2(_13277_), + .B1_N(_13304_), + .X(_08812_) + ); + sky130_fd_sc_hd__a31o_2 _27211_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12544_), + .B1(\soc.core.int_rst ), + .X(_13305_) + ); + sky130_fd_sc_hd__o21ba_2 _27212_ ( + .A1(\soc.core.mgmtsoc_load_storage[27] ), + .A2(_13277_), + .B1_N(_13305_), + .X(_08813_) + ); + sky130_fd_sc_hd__a31o_2 _27213_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12547_), + .B1(\soc.core.int_rst ), + .X(_13306_) + ); + sky130_fd_sc_hd__o21ba_2 _27214_ ( + .A1(\soc.core.mgmtsoc_load_storage[28] ), + .A2(_13277_), + .B1_N(_13306_), + .X(_08814_) + ); + sky130_fd_sc_hd__a31o_2 _27215_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12549_), + .B1(\soc.core.int_rst ), + .X(_13307_) + ); + sky130_fd_sc_hd__o21ba_2 _27216_ ( + .A1(\soc.core.mgmtsoc_load_storage[29] ), + .A2(_13277_), + .B1_N(_13307_), + .X(_08815_) + ); + sky130_fd_sc_hd__a31o_2 _27217_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12551_), + .B1(\soc.core.int_rst ), + .X(_13308_) + ); + sky130_fd_sc_hd__o21ba_2 _27218_ ( + .A1(\soc.core.mgmtsoc_load_storage[30] ), + .A2(_13277_), + .B1_N(_13308_), + .X(_08816_) + ); + sky130_fd_sc_hd__a31o_2 _27219_ ( + .A1(_12433_), + .A2(_13276_), + .A3(_12553_), + .B1(\soc.core.int_rst ), + .X(_13309_) + ); + sky130_fd_sc_hd__o21ba_2 _27220_ ( + .A1(\soc.core.mgmtsoc_load_storage[31] ), + .A2(_13277_), + .B1_N(_13309_), + .X(_08817_) + ); + sky130_fd_sc_hd__and3_2 _27221_ ( + .A(_11002_), + .B(_11012_), + .C(_13276_), + .X(_13310_) + ); + sky130_fd_sc_hd__and3_2 _27222_ ( + .A(_11014_), + .B(_12759_), + .C(_13276_), + .X(_13311_) + ); + sky130_fd_sc_hd__nand2_2 _27223_ ( + .A(_13311_), + .B(_12440_), + .Y(_13312_) + ); + sky130_fd_sc_hd__o211a_2 _27224_ ( + .A1(\soc.core.mgmtsoc_reload_storage[0] ), + .A2(_13311_), + .B1(_13312_), + .C1(_10904_), + .X(_08818_) + ); + sky130_fd_sc_hd__nand2_2 _27225_ ( + .A(_13311_), + .B(_12442_), + .Y(_13313_) + ); + sky130_fd_sc_hd__o211a_2 _27226_ ( + .A1(\soc.core.mgmtsoc_reload_storage[1] ), + .A2(_13311_), + .B1(_13313_), + .C1(_10904_), + .X(_08819_) + ); + sky130_fd_sc_hd__nand2_2 _27227_ ( + .A(_13311_), + .B(_12444_), + .Y(_13314_) + ); + sky130_fd_sc_hd__o211a_2 _27228_ ( + .A1(\soc.core.mgmtsoc_reload_storage[2] ), + .A2(_13311_), + .B1(_13314_), + .C1(_10904_), + .X(_08820_) + ); + sky130_fd_sc_hd__nand2_2 _27229_ ( + .A(_13311_), + .B(_12446_), + .Y(_13315_) + ); + sky130_fd_sc_hd__o211a_2 _27230_ ( + .A1(\soc.core.mgmtsoc_reload_storage[3] ), + .A2(_13311_), + .B1(_13315_), + .C1(_10904_), + .X(_08821_) + ); + sky130_fd_sc_hd__nand2_2 _27231_ ( + .A(_13311_), + .B(_12448_), + .Y(_13316_) + ); + sky130_fd_sc_hd__o211a_2 _27232_ ( + .A1(\soc.core.mgmtsoc_reload_storage[4] ), + .A2(_13311_), + .B1(_13316_), + .C1(_10904_), + .X(_08822_) + ); + sky130_fd_sc_hd__nand2_2 _27233_ ( + .A(_13311_), + .B(_12450_), + .Y(_13317_) + ); + sky130_fd_sc_hd__o211a_2 _27234_ ( + .A1(\soc.core.mgmtsoc_reload_storage[5] ), + .A2(_13311_), + .B1(_13317_), + .C1(_10904_), + .X(_08823_) + ); + sky130_fd_sc_hd__nand2_2 _27235_ ( + .A(_13311_), + .B(_12452_), + .Y(_13318_) + ); + sky130_fd_sc_hd__o211a_2 _27236_ ( + .A1(\soc.core.mgmtsoc_reload_storage[6] ), + .A2(_13311_), + .B1(_13318_), + .C1(_10904_), + .X(_08824_) + ); + sky130_fd_sc_hd__nand2_2 _27237_ ( + .A(_13311_), + .B(_12454_), + .Y(_13319_) + ); + sky130_fd_sc_hd__o211a_2 _27238_ ( + .A1(\soc.core.mgmtsoc_reload_storage[7] ), + .A2(_13311_), + .B1(_13319_), + .C1(_10904_), + .X(_08825_) + ); + sky130_fd_sc_hd__nand2_2 _27239_ ( + .A(_13311_), + .B(_12498_), + .Y(_13320_) + ); + sky130_fd_sc_hd__o211a_2 _27240_ ( + .A1(\soc.core.mgmtsoc_reload_storage[8] ), + .A2(_13311_), + .B1(_13320_), + .C1(_10904_), + .X(_08826_) + ); + sky130_fd_sc_hd__nand2_2 _27241_ ( + .A(_13311_), + .B(_12501_), + .Y(_13321_) + ); + sky130_fd_sc_hd__o211a_2 _27242_ ( + .A1(\soc.core.mgmtsoc_reload_storage[9] ), + .A2(_13311_), + .B1(_13321_), + .C1(_10904_), + .X(_08827_) + ); + sky130_fd_sc_hd__nand2_2 _27243_ ( + .A(_13311_), + .B(_12504_), + .Y(_13322_) + ); + sky130_fd_sc_hd__o211a_2 _27244_ ( + .A1(\soc.core.mgmtsoc_reload_storage[10] ), + .A2(_13311_), + .B1(_13322_), + .C1(_10904_), + .X(_08828_) + ); + sky130_fd_sc_hd__nand2_2 _27245_ ( + .A(_13311_), + .B(_12506_), + .Y(_13323_) + ); + sky130_fd_sc_hd__o211a_2 _27246_ ( + .A1(\soc.core.mgmtsoc_reload_storage[11] ), + .A2(_13311_), + .B1(_13323_), + .C1(_10904_), + .X(_08829_) + ); + sky130_fd_sc_hd__nand2_2 _27247_ ( + .A(_13311_), + .B(_12509_), + .Y(_13324_) + ); + sky130_fd_sc_hd__o211a_2 _27248_ ( + .A1(\soc.core.mgmtsoc_reload_storage[12] ), + .A2(_13311_), + .B1(_13324_), + .C1(_10904_), + .X(_08830_) + ); + sky130_fd_sc_hd__nand2_2 _27249_ ( + .A(_13311_), + .B(_12511_), + .Y(_13325_) + ); + sky130_fd_sc_hd__o211a_2 _27250_ ( + .A1(\soc.core.mgmtsoc_reload_storage[13] ), + .A2(_13311_), + .B1(_13325_), + .C1(_10904_), + .X(_08831_) + ); + sky130_fd_sc_hd__nand2_2 _27251_ ( + .A(_13311_), + .B(_12514_), + .Y(_13326_) + ); + sky130_fd_sc_hd__o211a_2 _27252_ ( + .A1(\soc.core.mgmtsoc_reload_storage[14] ), + .A2(_13311_), + .B1(_13326_), + .C1(_10904_), + .X(_08832_) + ); + sky130_fd_sc_hd__nand2_2 _27253_ ( + .A(_13311_), + .B(_12516_), + .Y(_13327_) + ); + sky130_fd_sc_hd__o211a_2 _27254_ ( + .A1(\soc.core.mgmtsoc_reload_storage[15] ), + .A2(_13311_), + .B1(_13327_), + .C1(_10904_), + .X(_08833_) + ); + sky130_fd_sc_hd__nand2_2 _27255_ ( + .A(_13311_), + .B(_12518_), + .Y(_13328_) + ); + sky130_fd_sc_hd__o211a_2 _27256_ ( + .A1(\soc.core.mgmtsoc_reload_storage[16] ), + .A2(_13311_), + .B1(_13328_), + .C1(_10904_), + .X(_08834_) + ); + sky130_fd_sc_hd__nand2_2 _27257_ ( + .A(_13311_), + .B(_12520_), + .Y(_13329_) + ); + sky130_fd_sc_hd__o211a_2 _27258_ ( + .A1(\soc.core.mgmtsoc_reload_storage[17] ), + .A2(_13311_), + .B1(_13329_), + .C1(_10904_), + .X(_08835_) + ); + sky130_fd_sc_hd__nand2_2 _27259_ ( + .A(_13311_), + .B(_12523_), + .Y(_13330_) + ); + sky130_fd_sc_hd__o211a_2 _27260_ ( + .A1(\soc.core.mgmtsoc_reload_storage[18] ), + .A2(_13311_), + .B1(_13330_), + .C1(_10904_), + .X(_08836_) + ); + sky130_fd_sc_hd__nand2_2 _27261_ ( + .A(_13311_), + .B(_12525_), + .Y(_13331_) + ); + sky130_fd_sc_hd__o211a_2 _27262_ ( + .A1(\soc.core.mgmtsoc_reload_storage[19] ), + .A2(_13311_), + .B1(_13331_), + .C1(_10904_), + .X(_08837_) + ); + sky130_fd_sc_hd__nand2_2 _27263_ ( + .A(_13311_), + .B(_12528_), + .Y(_13332_) + ); + sky130_fd_sc_hd__o211a_2 _27264_ ( + .A1(\soc.core.mgmtsoc_reload_storage[20] ), + .A2(_13311_), + .B1(_13332_), + .C1(_10904_), + .X(_08838_) + ); + sky130_fd_sc_hd__nand2_2 _27265_ ( + .A(_13311_), + .B(_12531_), + .Y(_13333_) + ); + sky130_fd_sc_hd__o211a_2 _27266_ ( + .A1(\soc.core.mgmtsoc_reload_storage[21] ), + .A2(_13311_), + .B1(_13333_), + .C1(_10904_), + .X(_08839_) + ); + sky130_fd_sc_hd__nand2_2 _27267_ ( + .A(_13311_), + .B(_12533_), + .Y(_13334_) + ); + sky130_fd_sc_hd__o211a_2 _27268_ ( + .A1(\soc.core.mgmtsoc_reload_storage[22] ), + .A2(_13311_), + .B1(_13334_), + .C1(_10904_), + .X(_08840_) + ); + sky130_fd_sc_hd__nand2_2 _27269_ ( + .A(_13311_), + .B(_12535_), + .Y(_13335_) + ); + sky130_fd_sc_hd__o211a_2 _27270_ ( + .A1(\soc.core.mgmtsoc_reload_storage[23] ), + .A2(_13311_), + .B1(_13335_), + .C1(_10904_), + .X(_08841_) + ); + sky130_fd_sc_hd__nand2_2 _27271_ ( + .A(_13311_), + .B(_12537_), + .Y(_13336_) + ); + sky130_fd_sc_hd__o211a_2 _27272_ ( + .A1(\soc.core.mgmtsoc_reload_storage[24] ), + .A2(_13311_), + .B1(_13336_), + .C1(_10904_), + .X(_08842_) + ); + sky130_fd_sc_hd__nand2_2 _27273_ ( + .A(_13311_), + .B(_12540_), + .Y(_13337_) + ); + sky130_fd_sc_hd__o211a_2 _27274_ ( + .A1(\soc.core.mgmtsoc_reload_storage[25] ), + .A2(_13311_), + .B1(_13337_), + .C1(_10904_), + .X(_08843_) + ); + sky130_fd_sc_hd__nand2_2 _27275_ ( + .A(_13311_), + .B(_12542_), + .Y(_13338_) + ); + sky130_fd_sc_hd__o211a_2 _27276_ ( + .A1(\soc.core.mgmtsoc_reload_storage[26] ), + .A2(_13311_), + .B1(_13338_), + .C1(_10904_), + .X(_08844_) + ); + sky130_fd_sc_hd__nand2_2 _27277_ ( + .A(_13311_), + .B(_12544_), + .Y(_13339_) + ); + sky130_fd_sc_hd__o211a_2 _27278_ ( + .A1(\soc.core.mgmtsoc_reload_storage[27] ), + .A2(_13311_), + .B1(_13339_), + .C1(_10904_), + .X(_08845_) + ); + sky130_fd_sc_hd__nand2_2 _27279_ ( + .A(_13311_), + .B(_12547_), + .Y(_13340_) + ); + sky130_fd_sc_hd__o211a_2 _27280_ ( + .A1(\soc.core.mgmtsoc_reload_storage[28] ), + .A2(_13311_), + .B1(_13340_), + .C1(_10904_), + .X(_08846_) + ); + sky130_fd_sc_hd__nand2_2 _27281_ ( + .A(_13311_), + .B(_12549_), + .Y(_13341_) + ); + sky130_fd_sc_hd__o211a_2 _27282_ ( + .A1(\soc.core.mgmtsoc_reload_storage[29] ), + .A2(_13311_), + .B1(_13341_), + .C1(_10904_), + .X(_08847_) + ); + sky130_fd_sc_hd__nand2_2 _27283_ ( + .A(_13311_), + .B(_12551_), + .Y(_13342_) + ); + sky130_fd_sc_hd__o211a_2 _27284_ ( + .A1(\soc.core.mgmtsoc_reload_storage[30] ), + .A2(_13311_), + .B1(_13342_), + .C1(_10904_), + .X(_08848_) + ); + sky130_fd_sc_hd__nand2_2 _27285_ ( + .A(_13311_), + .B(_12553_), + .Y(_13343_) + ); + sky130_fd_sc_hd__o211a_2 _27286_ ( + .A1(\soc.core.mgmtsoc_reload_storage[31] ), + .A2(_13311_), + .B1(_13343_), + .C1(_10904_), + .X(_08849_) + ); + sky130_fd_sc_hd__a21oi_2 _27287_ ( + .A1(_12797_), + .A2(_13310_), + .B1(\soc.core.mgmtsoc_en_storage ), + .Y(_13344_) + ); + sky130_fd_sc_hd__a311oi_2 _27288_ ( + .A1(_12440_), + .A2(_12797_), + .A3(_13310_), + .B1(_13344_), + .C1(\soc.core.int_rst ), + .Y(_08850_) + ); + sky130_fd_sc_hd__nand2_2 _27289_ ( + .A(_11026_), + .B(_13310_), + .Y(_13345_) + ); + sky130_fd_sc_hd__a31o_2 _27290_ ( + .A1(_11014_), + .A2(_11026_), + .A3(_13276_), + .B1(\soc.core.mgmtsoc_update_value_storage ), + .X(_13346_) + ); + sky130_fd_sc_hd__o211a_2 _27291_ ( + .A1(_12439_), + .A2(_13345_), + .B1(_13346_), + .C1(_10904_), + .X(_08851_) + ); + sky130_fd_sc_hd__a21oi_2 _27292_ ( + .A1(_13232_), + .A2(_13235_), + .B1(\soc.core.int_rst ), + .Y(_08852_) + ); + sky130_fd_sc_hd__nor2_2 _27293_ ( + .A(\soc.core.mgmtsoc_update_value_re ), + .B(\soc.core.mgmtsoc_value_status[0] ), + .Y(_13347_) + ); + sky130_fd_sc_hd__a211oi_2 _27294_ ( + .A1(_02825_), + .A2(\soc.core.mgmtsoc_update_value_re ), + .B1(_13347_), + .C1(\soc.core.int_rst ), + .Y(_08853_) + ); + sky130_fd_sc_hd__nand2b_2 _27295_ ( + .A_N(\soc.core.mgmtsoc_value[1] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13348_) + ); + sky130_fd_sc_hd__o211a_2 _27296_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[1] ), + .B1(_10904_), + .C1(_13348_), + .X(_08854_) + ); + sky130_fd_sc_hd__nand2b_2 _27297_ ( + .A_N(\soc.core.mgmtsoc_value[2] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13349_) + ); + sky130_fd_sc_hd__o211a_2 _27298_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[2] ), + .B1(_10904_), + .C1(_13349_), + .X(_08855_) + ); + sky130_fd_sc_hd__nand2b_2 _27299_ ( + .A_N(\soc.core.mgmtsoc_value[3] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13350_) + ); + sky130_fd_sc_hd__o211a_2 _27300_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[3] ), + .B1(_10904_), + .C1(_13350_), + .X(_08856_) + ); + sky130_fd_sc_hd__nand2b_2 _27301_ ( + .A_N(\soc.core.mgmtsoc_value[4] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13351_) + ); + sky130_fd_sc_hd__o211a_2 _27302_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[4] ), + .B1(_10904_), + .C1(_13351_), + .X(_08857_) + ); + sky130_fd_sc_hd__nand2b_2 _27303_ ( + .A_N(\soc.core.mgmtsoc_value[5] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13352_) + ); + sky130_fd_sc_hd__o211a_2 _27304_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[5] ), + .B1(_10904_), + .C1(_13352_), + .X(_08858_) + ); + sky130_fd_sc_hd__nand2b_2 _27305_ ( + .A_N(\soc.core.mgmtsoc_value[6] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13353_) + ); + sky130_fd_sc_hd__o211a_2 _27306_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[6] ), + .B1(_10904_), + .C1(_13353_), + .X(_08859_) + ); + sky130_fd_sc_hd__nand2b_2 _27307_ ( + .A_N(\soc.core.mgmtsoc_value[7] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13354_) + ); + sky130_fd_sc_hd__o211a_2 _27308_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[7] ), + .B1(_10904_), + .C1(_13354_), + .X(_08860_) + ); + sky130_fd_sc_hd__nand2b_2 _27309_ ( + .A_N(\soc.core.mgmtsoc_value[8] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13355_) + ); + sky130_fd_sc_hd__o211a_2 _27310_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[8] ), + .B1(_10904_), + .C1(_13355_), + .X(_08861_) + ); + sky130_fd_sc_hd__nand2b_2 _27311_ ( + .A_N(\soc.core.mgmtsoc_value[9] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13356_) + ); + sky130_fd_sc_hd__o211a_2 _27312_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[9] ), + .B1(_10904_), + .C1(_13356_), + .X(_08862_) + ); + sky130_fd_sc_hd__nand2b_2 _27313_ ( + .A_N(\soc.core.mgmtsoc_value[10] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13357_) + ); + sky130_fd_sc_hd__o211a_2 _27314_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[10] ), + .B1(_10904_), + .C1(_13357_), + .X(_08863_) + ); + sky130_fd_sc_hd__nand2b_2 _27315_ ( + .A_N(\soc.core.mgmtsoc_value[11] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13358_) + ); + sky130_fd_sc_hd__o211a_2 _27316_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[11] ), + .B1(_10904_), + .C1(_13358_), + .X(_08864_) + ); + sky130_fd_sc_hd__nand2b_2 _27317_ ( + .A_N(\soc.core.mgmtsoc_value[12] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13359_) + ); + sky130_fd_sc_hd__o211a_2 _27318_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[12] ), + .B1(_10904_), + .C1(_13359_), + .X(_08865_) + ); + sky130_fd_sc_hd__nand2b_2 _27319_ ( + .A_N(\soc.core.mgmtsoc_value[13] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13360_) + ); + sky130_fd_sc_hd__o211a_2 _27320_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[13] ), + .B1(_10904_), + .C1(_13360_), + .X(_08866_) + ); + sky130_fd_sc_hd__nand2b_2 _27321_ ( + .A_N(\soc.core.mgmtsoc_value[14] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13361_) + ); + sky130_fd_sc_hd__o211a_2 _27322_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[14] ), + .B1(_10904_), + .C1(_13361_), + .X(_08867_) + ); + sky130_fd_sc_hd__nand2b_2 _27323_ ( + .A_N(\soc.core.mgmtsoc_value[15] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13362_) + ); + sky130_fd_sc_hd__o211a_2 _27324_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[15] ), + .B1(_10904_), + .C1(_13362_), + .X(_08868_) + ); + sky130_fd_sc_hd__nand2b_2 _27325_ ( + .A_N(\soc.core.mgmtsoc_value[16] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13363_) + ); + sky130_fd_sc_hd__o211a_2 _27326_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[16] ), + .B1(_10904_), + .C1(_13363_), + .X(_08869_) + ); + sky130_fd_sc_hd__nand2b_2 _27327_ ( + .A_N(\soc.core.mgmtsoc_value[17] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13364_) + ); + sky130_fd_sc_hd__o211a_2 _27328_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[17] ), + .B1(_10904_), + .C1(_13364_), + .X(_08870_) + ); + sky130_fd_sc_hd__nand2b_2 _27329_ ( + .A_N(\soc.core.mgmtsoc_value[18] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13365_) + ); + sky130_fd_sc_hd__o211a_2 _27330_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[18] ), + .B1(_10904_), + .C1(_13365_), + .X(_08871_) + ); + sky130_fd_sc_hd__nand2b_2 _27331_ ( + .A_N(\soc.core.mgmtsoc_value[19] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13366_) + ); + sky130_fd_sc_hd__o211a_2 _27332_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[19] ), + .B1(_10904_), + .C1(_13366_), + .X(_08872_) + ); + sky130_fd_sc_hd__nand2b_2 _27333_ ( + .A_N(\soc.core.mgmtsoc_value[20] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13367_) + ); + sky130_fd_sc_hd__o211a_2 _27334_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[20] ), + .B1(_10904_), + .C1(_13367_), + .X(_08873_) + ); + sky130_fd_sc_hd__nand2b_2 _27335_ ( + .A_N(\soc.core.mgmtsoc_value[21] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13368_) + ); + sky130_fd_sc_hd__o211a_2 _27336_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[21] ), + .B1(_10904_), + .C1(_13368_), + .X(_08874_) + ); + sky130_fd_sc_hd__nand2b_2 _27337_ ( + .A_N(\soc.core.mgmtsoc_value[22] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13369_) + ); + sky130_fd_sc_hd__o211a_2 _27338_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[22] ), + .B1(_10904_), + .C1(_13369_), + .X(_08875_) + ); + sky130_fd_sc_hd__nand2b_2 _27339_ ( + .A_N(\soc.core.mgmtsoc_value[23] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13370_) + ); + sky130_fd_sc_hd__o211a_2 _27340_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[23] ), + .B1(_10904_), + .C1(_13370_), + .X(_08876_) + ); + sky130_fd_sc_hd__nand2b_2 _27341_ ( + .A_N(\soc.core.mgmtsoc_value[24] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13371_) + ); + sky130_fd_sc_hd__o211a_2 _27342_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[24] ), + .B1(_10904_), + .C1(_13371_), + .X(_08877_) + ); + sky130_fd_sc_hd__nand2b_2 _27343_ ( + .A_N(\soc.core.mgmtsoc_value[25] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13372_) + ); + sky130_fd_sc_hd__o211a_2 _27344_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[25] ), + .B1(_10904_), + .C1(_13372_), + .X(_08878_) + ); + sky130_fd_sc_hd__nand2b_2 _27345_ ( + .A_N(\soc.core.mgmtsoc_value[26] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13373_) + ); + sky130_fd_sc_hd__o211a_2 _27346_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[26] ), + .B1(_10904_), + .C1(_13373_), + .X(_08879_) + ); + sky130_fd_sc_hd__nand2b_2 _27347_ ( + .A_N(\soc.core.mgmtsoc_value[27] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13374_) + ); + sky130_fd_sc_hd__o211a_2 _27348_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[27] ), + .B1(_10904_), + .C1(_13374_), + .X(_08880_) + ); + sky130_fd_sc_hd__nand2b_2 _27349_ ( + .A_N(\soc.core.mgmtsoc_value[28] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13375_) + ); + sky130_fd_sc_hd__o211a_2 _27350_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[28] ), + .B1(_10904_), + .C1(_13375_), + .X(_08881_) + ); + sky130_fd_sc_hd__nand2b_2 _27351_ ( + .A_N(\soc.core.mgmtsoc_value[29] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13376_) + ); + sky130_fd_sc_hd__o211a_2 _27352_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[29] ), + .B1(_10904_), + .C1(_13376_), + .X(_08882_) + ); + sky130_fd_sc_hd__nand2b_2 _27353_ ( + .A_N(\soc.core.mgmtsoc_value[30] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13377_) + ); + sky130_fd_sc_hd__o211a_2 _27354_ ( + .A1(\soc.core.mgmtsoc_update_value_re ), + .A2(\soc.core.mgmtsoc_value_status[30] ), + .B1(_10904_), + .C1(_13377_), + .X(_08883_) + ); + sky130_fd_sc_hd__nand2b_2 _27355_ ( + .A_N(\soc.core.mgmtsoc_value[31] ), + .B(\soc.core.mgmtsoc_update_value_re ), + .Y(_13378_) + ); + sky130_fd_sc_hd__o211a_2 _27356_ ( + .A1(\soc.core.mgmtsoc_value_status[31] ), + .A2(\soc.core.mgmtsoc_update_value_re ), + .B1(_10904_), + .C1(_13378_), + .X(_08884_) + ); + sky130_fd_sc_hd__nand2_2 _27357_ ( + .A(\soc.core.mgmtsoc_pending_r ), + .B(\soc.core.mgmtsoc_pending_re ), + .Y(_13379_) + ); + sky130_fd_sc_hd__and2_4 _27358_ ( + .A(\soc.core.csrbank10_ev_status_w ), + .B(_10904_), + .X(_08887_) + ); + sky130_fd_sc_hd__nor3b_2 _27359_ ( + .A(\soc.core.int_rst ), + .B(\soc.core.mgmtsoc_zero_trigger_d ), + .C_N(\soc.core.csrbank10_ev_status_w ), + .Y(_13380_) + ); + sky130_fd_sc_hd__a31o_2 _27360_ ( + .A1(\soc.core.mgmtsoc_zero_pending ), + .A2(_10904_), + .A3(_13379_), + .B1(_13380_), + .X(_08885_) + ); + sky130_fd_sc_hd__and3_2 _27361_ ( + .A(_13310_), + .B(_10904_), + .C(_11026_), + .X(_08886_) + ); + sky130_fd_sc_hd__nand2_2 _27362_ ( + .A(_12688_), + .B(_13310_), + .Y(_13381_) + ); + sky130_fd_sc_hd__and3_2 _27363_ ( + .A(_13310_), + .B(_10904_), + .C(_12688_), + .X(_08890_) + ); + sky130_fd_sc_hd__and4_2 _27364_ ( + .A(_12439_), + .B(_12688_), + .C(_13310_), + .D(_10904_), + .X(_13382_) + ); + sky130_fd_sc_hd__a31o_2 _27365_ ( + .A1(\soc.core.mgmtsoc_pending_r ), + .A2(_10904_), + .A3(_13381_), + .B1(_13382_), + .X(_08888_) + ); + sky130_fd_sc_hd__a21oi_2 _27366_ ( + .A1(_12724_), + .A2(_13310_), + .B1(\soc.core.mgmtsoc_enable_storage ), + .Y(_13383_) + ); + sky130_fd_sc_hd__a311oi_2 _27367_ ( + .A1(_12440_), + .A2(_12724_), + .A3(_13310_), + .B1(_13383_), + .C1(\soc.core.int_rst ), + .Y(_08889_) + ); + sky130_fd_sc_hd__and2b_2 _27368_ ( + .A_N(\soc.core.int_rst ), + .B(_00172_), + .X(_08891_) + ); + sky130_fd_sc_hd__and2b_2 _27369_ ( + .A_N(\soc.core.int_rst ), + .B(_00183_), + .X(_08892_) + ); + sky130_fd_sc_hd__and2b_2 _27370_ ( + .A_N(\soc.core.int_rst ), + .B(_00194_), + .X(_08893_) + ); + sky130_fd_sc_hd__and2b_2 _27371_ ( + .A_N(\soc.core.int_rst ), + .B(_00197_), + .X(_08894_) + ); + sky130_fd_sc_hd__and2b_2 _27372_ ( + .A_N(\soc.core.int_rst ), + .B(_00198_), + .X(_08895_) + ); + sky130_fd_sc_hd__and2b_2 _27373_ ( + .A_N(\soc.core.int_rst ), + .B(_00199_), + .X(_08896_) + ); + sky130_fd_sc_hd__and2b_2 _27374_ ( + .A_N(\soc.core.int_rst ), + .B(_00200_), + .X(_08897_) + ); + sky130_fd_sc_hd__and2b_2 _27375_ ( + .A_N(\soc.core.int_rst ), + .B(_00201_), + .X(_08898_) + ); + sky130_fd_sc_hd__and2b_2 _27376_ ( + .A_N(\soc.core.int_rst ), + .B(_00202_), + .X(_08899_) + ); + sky130_fd_sc_hd__and2b_2 _27377_ ( + .A_N(\soc.core.int_rst ), + .B(_00203_), + .X(_08900_) + ); + sky130_fd_sc_hd__and2b_2 _27378_ ( + .A_N(\soc.core.int_rst ), + .B(_00173_), + .X(_08901_) + ); + sky130_fd_sc_hd__and2b_2 _27379_ ( + .A_N(\soc.core.int_rst ), + .B(_00174_), + .X(_08902_) + ); + sky130_fd_sc_hd__and2b_2 _27380_ ( + .A_N(\soc.core.int_rst ), + .B(_00175_), + .X(_08903_) + ); + sky130_fd_sc_hd__and2b_2 _27381_ ( + .A_N(\soc.core.int_rst ), + .B(_00176_), + .X(_08904_) + ); + sky130_fd_sc_hd__and2b_2 _27382_ ( + .A_N(\soc.core.int_rst ), + .B(_00177_), + .X(_08905_) + ); + sky130_fd_sc_hd__and2b_2 _27383_ ( + .A_N(\soc.core.int_rst ), + .B(_00178_), + .X(_08906_) + ); + sky130_fd_sc_hd__and2b_2 _27384_ ( + .A_N(\soc.core.int_rst ), + .B(_00179_), + .X(_08907_) + ); + sky130_fd_sc_hd__and2b_2 _27385_ ( + .A_N(\soc.core.int_rst ), + .B(_00180_), + .X(_08908_) + ); + sky130_fd_sc_hd__and2b_2 _27386_ ( + .A_N(\soc.core.int_rst ), + .B(_00181_), + .X(_08909_) + ); + sky130_fd_sc_hd__and2b_2 _27387_ ( + .A_N(\soc.core.int_rst ), + .B(_00182_), + .X(_08910_) + ); + sky130_fd_sc_hd__and2b_2 _27388_ ( + .A_N(\soc.core.int_rst ), + .B(_00184_), + .X(_08911_) + ); + sky130_fd_sc_hd__and2b_2 _27389_ ( + .A_N(\soc.core.int_rst ), + .B(_00185_), + .X(_08912_) + ); + sky130_fd_sc_hd__and2b_2 _27390_ ( + .A_N(\soc.core.int_rst ), + .B(_00186_), + .X(_08913_) + ); + sky130_fd_sc_hd__and2b_2 _27391_ ( + .A_N(\soc.core.int_rst ), + .B(_00187_), + .X(_08914_) + ); + sky130_fd_sc_hd__and2b_2 _27392_ ( + .A_N(\soc.core.int_rst ), + .B(_00188_), + .X(_08915_) + ); + sky130_fd_sc_hd__and2b_2 _27393_ ( + .A_N(\soc.core.int_rst ), + .B(_00189_), + .X(_08916_) + ); + sky130_fd_sc_hd__and2b_2 _27394_ ( + .A_N(\soc.core.int_rst ), + .B(_00190_), + .X(_08917_) + ); + sky130_fd_sc_hd__and2b_2 _27395_ ( + .A_N(\soc.core.int_rst ), + .B(_00191_), + .X(_08918_) + ); + sky130_fd_sc_hd__and2b_2 _27396_ ( + .A_N(\soc.core.int_rst ), + .B(_00192_), + .X(_08919_) + ); + sky130_fd_sc_hd__and2b_2 _27397_ ( + .A_N(\soc.core.int_rst ), + .B(_00193_), + .X(_08920_) + ); + sky130_fd_sc_hd__and2b_2 _27398_ ( + .A_N(\soc.core.int_rst ), + .B(_00195_), + .X(_08921_) + ); + sky130_fd_sc_hd__and2b_2 _27399_ ( + .A_N(\soc.core.int_rst ), + .B(_00196_), + .X(_08922_) + ); + sky130_fd_sc_hd__nor2_2 _27400_ ( + .A(_04963_), + .B(\soc.core.int_rst ), + .Y(_13384_) + ); + sky130_fd_sc_hd__and3b_2 _27401_ ( + .A_N(\soc.core.dff_bus_ack ), + .B(_11442_), + .C(_13384_), + .X(_08923_) + ); + sky130_fd_sc_hd__and4_2 _27402_ ( + .A(_12394_), + .B(_12433_), + .C(_11012_), + .D(_12391_), + .X(_13385_) + ); + sky130_fd_sc_hd__and2b_2 _27403_ ( + .A_N(_13385_), + .B(\soc.core.mgmtsoc_litespisdrphycore_storage[0] ), + .X(_13386_) + ); + sky130_fd_sc_hd__a211o_2 _27404_ ( + .A1(_12439_), + .A2(_13385_), + .B1(_13386_), + .C1(\soc.core.int_rst ), + .X(_08924_) + ); + sky130_fd_sc_hd__nand2_2 _27405_ ( + .A(_13385_), + .B(_12442_), + .Y(_13387_) + ); + sky130_fd_sc_hd__o211a_2 _27406_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_storage[1] ), + .A2(_13385_), + .B1(_13387_), + .C1(_10904_), + .X(_08925_) + ); + sky130_fd_sc_hd__nand2_2 _27407_ ( + .A(_13385_), + .B(_12444_), + .Y(_13388_) + ); + sky130_fd_sc_hd__o211a_2 _27408_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_storage[2] ), + .A2(_13385_), + .B1(_13388_), + .C1(_10904_), + .X(_08926_) + ); + sky130_fd_sc_hd__nand2_2 _27409_ ( + .A(_13385_), + .B(_12446_), + .Y(_13389_) + ); + sky130_fd_sc_hd__o211a_2 _27410_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_storage[3] ), + .A2(_13385_), + .B1(_13389_), + .C1(_10904_), + .X(_08927_) + ); + sky130_fd_sc_hd__nand2_2 _27411_ ( + .A(_13385_), + .B(_12448_), + .Y(_13390_) + ); + sky130_fd_sc_hd__o211a_2 _27412_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_storage[4] ), + .A2(_13385_), + .B1(_13390_), + .C1(_10904_), + .X(_08928_) + ); + sky130_fd_sc_hd__nand2_2 _27413_ ( + .A(_13385_), + .B(_12450_), + .Y(_13391_) + ); + sky130_fd_sc_hd__o211a_2 _27414_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_storage[5] ), + .A2(_13385_), + .B1(_13391_), + .C1(_10904_), + .X(_08929_) + ); + sky130_fd_sc_hd__nand2_2 _27415_ ( + .A(_13385_), + .B(_12452_), + .Y(_13392_) + ); + sky130_fd_sc_hd__o211a_2 _27416_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_storage[6] ), + .A2(_13385_), + .B1(_13392_), + .C1(_10904_), + .X(_08930_) + ); + sky130_fd_sc_hd__nand2_2 _27417_ ( + .A(_13385_), + .B(_12454_), + .Y(_13393_) + ); + sky130_fd_sc_hd__o211a_2 _27418_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_storage[7] ), + .A2(_13385_), + .B1(_13393_), + .C1(_10904_), + .X(_08931_) + ); + sky130_fd_sc_hd__and3b_2 _27419_ ( + .A_N(\soc.core.dff2_bus_ack ), + .B(_12342_), + .C(_13384_), + .X(_08932_) + ); + sky130_fd_sc_hd__a211oi_2 _27420_ ( + .A1(_11566_), + .A2(_11567_), + .B1(_11574_), + .C1(_11575_), + .Y(_13394_) + ); + sky130_fd_sc_hd__o311a_2 _27421_ ( + .A1(_11571_), + .A2(_11572_), + .A3(_13394_), + .B1(_11569_), + .C1(_11568_), + .X(_13395_) + ); + sky130_fd_sc_hd__o221a_2 _27422_ ( + .A1(_11579_), + .A2(_11585_), + .B1(_11582_), + .B2(_13395_), + .C1(_11587_), + .X(_13396_) + ); + sky130_fd_sc_hd__nand2b_2 _27423_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .B(_13396_), + .Y(_13397_) + ); + sky130_fd_sc_hd__and3_2 _27424_ ( + .A(_13396_), + .B(_10904_), + .C(_11590_), + .X(_13398_) + ); + sky130_fd_sc_hd__nand2b_2 _27425_ ( + .A_N(_13396_), + .B(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .Y(_13399_) + ); + sky130_fd_sc_hd__and4_2 _27426_ ( + .A(_10904_), + .B(_13399_), + .C(_11590_), + .D(_13397_), + .X(_08933_) + ); + sky130_fd_sc_hd__and4b_2 _27427_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .B(_13396_), + .C(_10904_), + .D(_11590_), + .X(_08934_) + ); + sky130_fd_sc_hd__a21boi_2 _27428_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ), + .B1_N(_13398_), + .Y(_13400_) + ); + sky130_fd_sc_hd__o21a_2 _27429_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ), + .B1(_13400_), + .X(_08935_) + ); + sky130_fd_sc_hd__a21o_2 _27430_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ), + .B1(\soc.core.mgmtsoc_litespisdrphycore_cnt[2] ), + .X(_13401_) + ); + sky130_fd_sc_hd__and3_2 _27431_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_cnt[2] ), + .X(_13402_) + ); + sky130_fd_sc_hd__and3b_2 _27432_ ( + .A_N(_13402_), + .B(_13398_), + .C(_13401_), + .X(_08936_) + ); + sky130_fd_sc_hd__and4_2 _27433_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_cnt[3] ), + .D(\soc.core.mgmtsoc_litespisdrphycore_cnt[2] ), + .X(_13403_) + ); + sky130_fd_sc_hd__a31o_2 _27434_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ), + .A3(\soc.core.mgmtsoc_litespisdrphycore_cnt[2] ), + .B1(\soc.core.mgmtsoc_litespisdrphycore_cnt[3] ), + .X(_13404_) + ); + sky130_fd_sc_hd__and3b_2 _27435_ ( + .A_N(_13403_), + .B(_13404_), + .C(_13398_), + .X(_08937_) + ); + sky130_fd_sc_hd__a21boi_2 _27436_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ), + .A2(_13403_), + .B1_N(_13398_), + .Y(_13405_) + ); + sky130_fd_sc_hd__o21a_2 _27437_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ), + .A2(_13403_), + .B1(_13405_), + .X(_08938_) + ); + sky130_fd_sc_hd__nand4_2 _27438_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_cnt[3] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[5] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ), + .D(_13402_), + .Y(_13406_) + ); + sky130_fd_sc_hd__a31o_2 _27439_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[3] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ), + .A3(_13402_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_cnt[5] ), + .X(_13407_) + ); + sky130_fd_sc_hd__and3_2 _27440_ ( + .A(_13398_), + .B(_13406_), + .C(_13407_), + .X(_08939_) + ); + sky130_fd_sc_hd__a31o_2 _27441_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[5] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ), + .A3(_13403_), + .B1(\soc.core.mgmtsoc_litespisdrphycore_cnt[6] ), + .X(_13408_) + ); + sky130_fd_sc_hd__and4_2 _27442_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_cnt[6] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_cnt[5] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ), + .D(_13403_), + .X(_13409_) + ); + sky130_fd_sc_hd__and3b_2 _27443_ ( + .A_N(_13409_), + .B(_13398_), + .C(_13408_), + .X(_08940_) + ); + sky130_fd_sc_hd__o2111a_2 _27444_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_cnt[7] ), + .A2(_13409_), + .B1(_11590_), + .C1(_10904_), + .D1(_13396_), + .X(_08941_) + ); + sky130_fd_sc_hd__and4b_2 _27445_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .B(_11589_), + .C(_11590_), + .D(_10904_), + .X(_08942_) + ); + sky130_fd_sc_hd__nor2_2 _27446_ ( + .A(\soc.core.int_rst ), + .B(_05131_), + .Y(_13410_) + ); + sky130_fd_sc_hd__o21ai_2 _27447_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_count[0] ), + .A2(_11036_), + .B1(_13410_), + .Y(_08943_) + ); + sky130_fd_sc_hd__nor3_2 _27448_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_count[1] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_count[0] ), + .C(_11036_), + .Y(_13411_) + ); + sky130_fd_sc_hd__a2111o_2 _27449_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_count[1] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_count[0] ), + .B1(\soc.core.int_rst ), + .C1(_05131_), + .D1(_13411_), + .X(_08944_) + ); + sky130_fd_sc_hd__nand2_2 _27450_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_count[3] ), + .B(_11035_), + .Y(_13412_) + ); + sky130_fd_sc_hd__o21ai_2 _27451_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_count[1] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_count[0] ), + .B1(\soc.core.mgmtsoc_litespisdrphycore_count[2] ), + .Y(_13413_) + ); + sky130_fd_sc_hd__a211oi_2 _27452_ ( + .A1(_13412_), + .A2(_13413_), + .B1(\soc.core.int_rst ), + .C1(_05131_), + .Y(_08945_) + ); + sky130_fd_sc_hd__o31ai_2 _27453_ ( + .A1(\soc.core.mgmtsoc_litespisdrphycore_count[2] ), + .A2(\soc.core.mgmtsoc_litespisdrphycore_count[1] ), + .A3(\soc.core.mgmtsoc_litespisdrphycore_count[0] ), + .B1(\soc.core.mgmtsoc_litespisdrphycore_count[3] ), + .Y(_13414_) + ); + sky130_fd_sc_hd__nand2_2 _27454_ ( + .A(_13414_), + .B(_13410_), + .Y(_08946_) + ); + sky130_fd_sc_hd__and2b_2 _27455_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.mgmtsoc_litespisdrphycore_posedge_reg ), + .X(_08947_) + ); + sky130_fd_sc_hd__mux2_1 _27456_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[0] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[0] ), + .S(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ), + .X(_08948_) + ); + sky130_fd_sc_hd__mux2_1 _27457_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[1] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[1] ), + .S(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ), + .X(_08949_) + ); + sky130_fd_sc_hd__mux2_1 _27458_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[2] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[2] ), + .S(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ), + .X(_08950_) + ); + sky130_fd_sc_hd__mux2_1 _27459_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[3] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[3] ), + .S(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ), + .X(_08951_) + ); + sky130_fd_sc_hd__mux2_1 _27460_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[4] ), + .S(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ), + .X(_08952_) + ); + sky130_fd_sc_hd__mux2_1 _27461_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[5] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[5] ), + .S(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ), + .X(_08953_) + ); + sky130_fd_sc_hd__mux2_1 _27462_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[6] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[6] ), + .S(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ), + .X(_08954_) + ); + sky130_fd_sc_hd__mux2_1 _27463_ ( + .A0(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[7] ), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value[7] ), + .S(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt_litespiphy_next_value_ce ), + .X(_08955_) + ); + sky130_fd_sc_hd__nand2b_2 _27464_ ( + .A_N(\soc.core.mgmtsoc_litespimmap_burst_cs_litespi_next_value0 ), + .B(\soc.core.mgmtsoc_litespimmap_burst_cs_litespi_next_value_ce0 ), + .Y(_13415_) + ); + sky130_fd_sc_hd__o211a_2 _27465_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_cs ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_cs_litespi_next_value_ce0 ), + .B1(_10904_), + .C1(_13415_), + .X(_08956_) + ); + sky130_fd_sc_hd__o21bai_2 _27466_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[8] ), + .A2(_12261_), + .B1_N(\soc.core.mgmtsoc_litespimmap_count[0] ), + .Y(_13416_) + ); + sky130_fd_sc_hd__nor4_2 _27467_ ( + .A(\soc.core.int_rst ), + .B(_11183_), + .C(_11406_), + .D(_13416_), + .Y(_08957_) + ); + sky130_fd_sc_hd__nor2_2 _27468_ ( + .A(\soc.core.mgmtsoc_litespimmap_count[1] ), + .B(_13416_), + .Y(_13417_) + ); + sky130_fd_sc_hd__a21o_2 _27469_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[1] ), + .A2(\soc.core.mgmtsoc_litespimmap_count[0] ), + .B1(_13417_), + .X(_13418_) + ); + sky130_fd_sc_hd__and3_2 _27470_ ( + .A(_10904_), + .B(_13418_), + .C(_04822_), + .X(_08958_) + ); + sky130_fd_sc_hd__nor3_2 _27471_ ( + .A(\soc.core.mgmtsoc_litespimmap_count[2] ), + .B(\soc.core.mgmtsoc_litespimmap_count[1] ), + .C(_13416_), + .Y(_13419_) + ); + sky130_fd_sc_hd__o21a_2 _27472_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[1] ), + .A2(_13416_), + .B1(\soc.core.mgmtsoc_litespimmap_count[2] ), + .X(_13420_) + ); + sky130_fd_sc_hd__o211a_2 _27473_ ( + .A1(_13419_), + .A2(_13420_), + .B1(_10904_), + .C1(_04822_), + .X(_08959_) + ); + sky130_fd_sc_hd__o31ai_2 _27474_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[2] ), + .A2(\soc.core.mgmtsoc_litespimmap_count[1] ), + .A3(_13416_), + .B1(\soc.core.mgmtsoc_litespimmap_count[3] ), + .Y(_13421_) + ); + sky130_fd_sc_hd__nand2b_2 _27475_ ( + .A_N(\soc.core.mgmtsoc_litespimmap_count[3] ), + .B(_13419_), + .Y(_13422_) + ); + sky130_fd_sc_hd__a2111oi_2 _27476_ ( + .A1(_13421_), + .A2(_13422_), + .B1(\soc.core.int_rst ), + .C1(_11183_), + .D1(_11406_), + .Y(_08960_) + ); + sky130_fd_sc_hd__nor2_2 _27477_ ( + .A(\soc.core.mgmtsoc_litespimmap_count[4] ), + .B(_13422_), + .Y(_13423_) + ); + sky130_fd_sc_hd__o41a_2 _27478_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[3] ), + .A2(\soc.core.mgmtsoc_litespimmap_count[2] ), + .A3(\soc.core.mgmtsoc_litespimmap_count[1] ), + .A4(_13416_), + .B1(\soc.core.mgmtsoc_litespimmap_count[4] ), + .X(_13424_) + ); + sky130_fd_sc_hd__o211a_2 _27479_ ( + .A1(_13423_), + .A2(_13424_), + .B1(_10904_), + .C1(_04822_), + .X(_08961_) + ); + sky130_fd_sc_hd__o21a_2 _27480_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[4] ), + .A2(_13422_), + .B1(\soc.core.mgmtsoc_litespimmap_count[5] ), + .X(_13425_) + ); + sky130_fd_sc_hd__nor3_2 _27481_ ( + .A(\soc.core.mgmtsoc_litespimmap_count[5] ), + .B(\soc.core.mgmtsoc_litespimmap_count[4] ), + .C(_13422_), + .Y(_13426_) + ); + sky130_fd_sc_hd__o211a_2 _27482_ ( + .A1(_13425_), + .A2(_13426_), + .B1(_10904_), + .C1(_04822_), + .X(_08962_) + ); + sky130_fd_sc_hd__o31a_2 _27483_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[5] ), + .A2(\soc.core.mgmtsoc_litespimmap_count[4] ), + .A3(_13422_), + .B1(\soc.core.mgmtsoc_litespimmap_count[6] ), + .X(_13427_) + ); + sky130_fd_sc_hd__o21a_2 _27484_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[8] ), + .A2(\soc.core.mgmtsoc_litespimmap_count[7] ), + .B1(_12260_), + .X(_13428_) + ); + sky130_fd_sc_hd__o211a_2 _27485_ ( + .A1(_13427_), + .A2(_13428_), + .B1(_10904_), + .C1(_04822_), + .X(_08963_) + ); + sky130_fd_sc_hd__nand2_2 _27486_ ( + .A(\soc.core.mgmtsoc_litespimmap_count[7] ), + .B(_12260_), + .Y(_13429_) + ); + sky130_fd_sc_hd__o2111a_2 _27487_ ( + .A1(\soc.core.mgmtsoc_litespimmap_count[7] ), + .A2(_13428_), + .B1(_13429_), + .C1(_10904_), + .D1(_04822_), + .X(_08964_) + ); + sky130_fd_sc_hd__a211o_2 _27488_ ( + .A1(_12261_), + .A2(\soc.core.mgmtsoc_litespimmap_count[8] ), + .B1(\soc.core.int_rst ), + .C1(_04779_), + .X(_08965_) + ); + sky130_fd_sc_hd__and3_2 _27489_ ( + .A(_11016_), + .B(_11017_), + .C(_12433_), + .X(_13430_) + ); + sky130_fd_sc_hd__nand2_2 _27490_ ( + .A(_13430_), + .B(_12440_), + .Y(_13431_) + ); + sky130_fd_sc_hd__o211a_2 _27491_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[0] ), + .A2(_13430_), + .B1(_13431_), + .C1(_10904_), + .X(_08966_) + ); + sky130_fd_sc_hd__nand2_2 _27492_ ( + .A(_13430_), + .B(_12442_), + .Y(_13432_) + ); + sky130_fd_sc_hd__o211a_2 _27493_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[1] ), + .A2(_13430_), + .B1(_13432_), + .C1(_10904_), + .X(_08967_) + ); + sky130_fd_sc_hd__nand2_2 _27494_ ( + .A(_13430_), + .B(_12444_), + .Y(_13433_) + ); + sky130_fd_sc_hd__o211a_2 _27495_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[2] ), + .A2(_13430_), + .B1(_13433_), + .C1(_10904_), + .X(_08968_) + ); + sky130_fd_sc_hd__nand2_2 _27496_ ( + .A(_13430_), + .B(_12446_), + .Y(_13434_) + ); + sky130_fd_sc_hd__o211a_2 _27497_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[3] ), + .A2(_13430_), + .B1(_13434_), + .C1(_10904_), + .X(_08969_) + ); + sky130_fd_sc_hd__nand2_2 _27498_ ( + .A(_13430_), + .B(_12448_), + .Y(_13435_) + ); + sky130_fd_sc_hd__o211a_2 _27499_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[4] ), + .A2(_13430_), + .B1(_13435_), + .C1(_10904_), + .X(_08970_) + ); + sky130_fd_sc_hd__nand2_2 _27500_ ( + .A(_13430_), + .B(_12450_), + .Y(_13436_) + ); + sky130_fd_sc_hd__o211a_2 _27501_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[5] ), + .A2(_13430_), + .B1(_13436_), + .C1(_10904_), + .X(_08971_) + ); + sky130_fd_sc_hd__nand2_2 _27502_ ( + .A(_13430_), + .B(_12452_), + .Y(_13437_) + ); + sky130_fd_sc_hd__o211a_2 _27503_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[6] ), + .A2(_13430_), + .B1(_13437_), + .C1(_10904_), + .X(_08972_) + ); + sky130_fd_sc_hd__nand2_2 _27504_ ( + .A(_13430_), + .B(_12454_), + .Y(_13438_) + ); + sky130_fd_sc_hd__o211a_2 _27505_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[7] ), + .A2(_13430_), + .B1(_13438_), + .C1(_10904_), + .X(_08973_) + ); + sky130_fd_sc_hd__a21oi_2 _27506_ ( + .A1(_11018_), + .A2(_13119_), + .B1(\soc.core.mgmtsoc_master_cs_storage ), + .Y(_13439_) + ); + sky130_fd_sc_hd__a311oi_2 _27507_ ( + .A1(_11018_), + .A2(_13119_), + .A3(_12440_), + .B1(\soc.core.int_rst ), + .C1(_13439_), + .Y(_08974_) + ); + sky130_fd_sc_hd__and3_2 _27508_ ( + .A(_11014_), + .B(_11018_), + .C(_12797_), + .X(_13440_) + ); + sky130_fd_sc_hd__nand2_2 _27509_ ( + .A(_13440_), + .B(_12440_), + .Y(_13441_) + ); + sky130_fd_sc_hd__o211a_2 _27510_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[0] ), + .A2(_13440_), + .B1(_13441_), + .C1(_10904_), + .X(_08975_) + ); + sky130_fd_sc_hd__nand2_2 _27511_ ( + .A(_13440_), + .B(_12442_), + .Y(_13442_) + ); + sky130_fd_sc_hd__o211a_2 _27512_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[1] ), + .A2(_13440_), + .B1(_13442_), + .C1(_10904_), + .X(_08976_) + ); + sky130_fd_sc_hd__nand2_2 _27513_ ( + .A(_13440_), + .B(_12444_), + .Y(_13443_) + ); + sky130_fd_sc_hd__o211a_2 _27514_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[2] ), + .A2(_13440_), + .B1(_13443_), + .C1(_10904_), + .X(_08977_) + ); + sky130_fd_sc_hd__nand2_2 _27515_ ( + .A(_13440_), + .B(_12446_), + .Y(_13444_) + ); + sky130_fd_sc_hd__o211a_2 _27516_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[3] ), + .A2(_13440_), + .B1(_13444_), + .C1(_10904_), + .X(_08978_) + ); + sky130_fd_sc_hd__nand2_2 _27517_ ( + .A(_13440_), + .B(_12448_), + .Y(_13445_) + ); + sky130_fd_sc_hd__o211a_2 _27518_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[4] ), + .A2(_13440_), + .B1(_13445_), + .C1(_10904_), + .X(_08979_) + ); + sky130_fd_sc_hd__nand2_2 _27519_ ( + .A(_13440_), + .B(_12450_), + .Y(_13446_) + ); + sky130_fd_sc_hd__o211a_2 _27520_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[5] ), + .A2(_13440_), + .B1(_13446_), + .C1(_10904_), + .X(_08980_) + ); + sky130_fd_sc_hd__nand2_2 _27521_ ( + .A(_13440_), + .B(_12452_), + .Y(_13447_) + ); + sky130_fd_sc_hd__o211a_2 _27522_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[6] ), + .A2(_13440_), + .B1(_13447_), + .C1(_10904_), + .X(_08981_) + ); + sky130_fd_sc_hd__nand2_2 _27523_ ( + .A(_13440_), + .B(_12454_), + .Y(_13448_) + ); + sky130_fd_sc_hd__o211a_2 _27524_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[7] ), + .A2(_13440_), + .B1(_13448_), + .C1(_10904_), + .X(_08982_) + ); + sky130_fd_sc_hd__nand2_2 _27525_ ( + .A(_13440_), + .B(_12498_), + .Y(_13449_) + ); + sky130_fd_sc_hd__o211a_2 _27526_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[8] ), + .A2(_13440_), + .B1(_13449_), + .C1(_10904_), + .X(_08983_) + ); + sky130_fd_sc_hd__nand2_2 _27527_ ( + .A(_13440_), + .B(_12501_), + .Y(_13450_) + ); + sky130_fd_sc_hd__o211a_2 _27528_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[9] ), + .A2(_13440_), + .B1(_13450_), + .C1(_10904_), + .X(_08984_) + ); + sky130_fd_sc_hd__nand2_2 _27529_ ( + .A(_13440_), + .B(_12504_), + .Y(_13451_) + ); + sky130_fd_sc_hd__o211a_2 _27530_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[10] ), + .A2(_13440_), + .B1(_13451_), + .C1(_10904_), + .X(_08985_) + ); + sky130_fd_sc_hd__nand2_2 _27531_ ( + .A(_13440_), + .B(_12506_), + .Y(_13452_) + ); + sky130_fd_sc_hd__o211a_2 _27532_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[11] ), + .A2(_13440_), + .B1(_13452_), + .C1(_10904_), + .X(_08986_) + ); + sky130_fd_sc_hd__nand2_2 _27533_ ( + .A(_13440_), + .B(_12509_), + .Y(_13453_) + ); + sky130_fd_sc_hd__o211a_2 _27534_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[12] ), + .A2(_13440_), + .B1(_13453_), + .C1(_10904_), + .X(_08987_) + ); + sky130_fd_sc_hd__nand2_2 _27535_ ( + .A(_13440_), + .B(_12511_), + .Y(_13454_) + ); + sky130_fd_sc_hd__o211a_2 _27536_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[13] ), + .A2(_13440_), + .B1(_13454_), + .C1(_10904_), + .X(_08988_) + ); + sky130_fd_sc_hd__nand2_2 _27537_ ( + .A(_13440_), + .B(_12514_), + .Y(_13455_) + ); + sky130_fd_sc_hd__o211a_2 _27538_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[14] ), + .A2(_13440_), + .B1(_13455_), + .C1(_10904_), + .X(_08989_) + ); + sky130_fd_sc_hd__nand2_2 _27539_ ( + .A(_13440_), + .B(_12516_), + .Y(_13456_) + ); + sky130_fd_sc_hd__o211a_2 _27540_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[15] ), + .A2(_13440_), + .B1(_13456_), + .C1(_10904_), + .X(_08990_) + ); + sky130_fd_sc_hd__nand2_2 _27541_ ( + .A(_13440_), + .B(_12518_), + .Y(_13457_) + ); + sky130_fd_sc_hd__o211a_2 _27542_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[16] ), + .A2(_13440_), + .B1(_13457_), + .C1(_10904_), + .X(_08991_) + ); + sky130_fd_sc_hd__nand2_2 _27543_ ( + .A(_13440_), + .B(_12520_), + .Y(_13458_) + ); + sky130_fd_sc_hd__o211a_2 _27544_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[17] ), + .A2(_13440_), + .B1(_13458_), + .C1(_10904_), + .X(_08992_) + ); + sky130_fd_sc_hd__nand2_2 _27545_ ( + .A(_13440_), + .B(_12523_), + .Y(_13459_) + ); + sky130_fd_sc_hd__o211a_2 _27546_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[18] ), + .A2(_13440_), + .B1(_13459_), + .C1(_10904_), + .X(_08993_) + ); + sky130_fd_sc_hd__nand2_2 _27547_ ( + .A(_13440_), + .B(_12525_), + .Y(_13460_) + ); + sky130_fd_sc_hd__o211a_2 _27548_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[19] ), + .A2(_13440_), + .B1(_13460_), + .C1(_10904_), + .X(_08994_) + ); + sky130_fd_sc_hd__nand2_2 _27549_ ( + .A(_13440_), + .B(_12528_), + .Y(_13461_) + ); + sky130_fd_sc_hd__o211a_2 _27550_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[20] ), + .A2(_13440_), + .B1(_13461_), + .C1(_10904_), + .X(_08995_) + ); + sky130_fd_sc_hd__nand2_2 _27551_ ( + .A(_13440_), + .B(_12531_), + .Y(_13462_) + ); + sky130_fd_sc_hd__o211a_2 _27552_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[21] ), + .A2(_13440_), + .B1(_13462_), + .C1(_10904_), + .X(_08996_) + ); + sky130_fd_sc_hd__nand2_2 _27553_ ( + .A(_13440_), + .B(_12533_), + .Y(_13463_) + ); + sky130_fd_sc_hd__o211a_2 _27554_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[22] ), + .A2(_13440_), + .B1(_13463_), + .C1(_10904_), + .X(_08997_) + ); + sky130_fd_sc_hd__nand2_2 _27555_ ( + .A(_13440_), + .B(_12535_), + .Y(_13464_) + ); + sky130_fd_sc_hd__o211a_2 _27556_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[23] ), + .A2(_13440_), + .B1(_13464_), + .C1(_10904_), + .X(_08998_) + ); + sky130_fd_sc_hd__nand3b_2 _27557_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_posedge_reg2 ), + .B(_13095_), + .C(_13096_), + .Y(_13465_) + ); + sky130_fd_sc_hd__and3b_2 _27558_ ( + .A_N(\soc.core.litespiphy_state[0] ), + .B(_13465_), + .C(\soc.core.litespiphy_state[1] ), + .X(_13466_) + ); + sky130_fd_sc_hd__a21boi_2 _27559_ ( + .A1(\soc.core.litespi_grant ), + .A2(_13466_), + .B1_N(\soc.core.mgmtsoc_master_tx_fifo_source_valid ), + .Y(_13467_) + ); + sky130_fd_sc_hd__a21bo_2 _27560_ ( + .A1(\soc.core.litespi_grant ), + .A2(_13466_), + .B1_N(\soc.core.mgmtsoc_master_tx_fifo_source_valid ), + .X(_13468_) + ); + sky130_fd_sc_hd__and3_2 _27561_ ( + .A(_11014_), + .B(_11018_), + .C(_11026_), + .X(_13469_) + ); + sky130_fd_sc_hd__o21a_2 _27562_ ( + .A1(_13467_), + .A2(_13469_), + .B1(_10904_), + .X(_08999_) + ); + sky130_fd_sc_hd__nand2_2 _27563_ ( + .A(_12440_), + .B(_13468_), + .Y(_13470_) + ); + sky130_fd_sc_hd__o211a_2 _27564_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[0] ), + .B1(_10904_), + .C1(_13470_), + .X(_09000_) + ); + sky130_fd_sc_hd__nand2_2 _27565_ ( + .A(_12442_), + .B(_13468_), + .Y(_13471_) + ); + sky130_fd_sc_hd__o211a_2 _27566_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[1] ), + .B1(_10904_), + .C1(_13471_), + .X(_09001_) + ); + sky130_fd_sc_hd__nand2_2 _27567_ ( + .A(_12444_), + .B(_13468_), + .Y(_13472_) + ); + sky130_fd_sc_hd__o211a_2 _27568_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[2] ), + .B1(_10904_), + .C1(_13472_), + .X(_09002_) + ); + sky130_fd_sc_hd__nand2_2 _27569_ ( + .A(_12446_), + .B(_13468_), + .Y(_13473_) + ); + sky130_fd_sc_hd__o211a_2 _27570_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[3] ), + .B1(_10904_), + .C1(_13473_), + .X(_09003_) + ); + sky130_fd_sc_hd__nand2_2 _27571_ ( + .A(_12448_), + .B(_13468_), + .Y(_13474_) + ); + sky130_fd_sc_hd__o211a_2 _27572_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[4] ), + .B1(_10904_), + .C1(_13474_), + .X(_09004_) + ); + sky130_fd_sc_hd__nand2_2 _27573_ ( + .A(_12450_), + .B(_13468_), + .Y(_13475_) + ); + sky130_fd_sc_hd__o211a_2 _27574_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[5] ), + .B1(_10904_), + .C1(_13475_), + .X(_09005_) + ); + sky130_fd_sc_hd__nand2_2 _27575_ ( + .A(_12452_), + .B(_13468_), + .Y(_13476_) + ); + sky130_fd_sc_hd__o211a_2 _27576_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[6] ), + .B1(_10904_), + .C1(_13476_), + .X(_09006_) + ); + sky130_fd_sc_hd__nand2_2 _27577_ ( + .A(_12454_), + .B(_13468_), + .Y(_13477_) + ); + sky130_fd_sc_hd__o211a_2 _27578_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[7] ), + .B1(_10904_), + .C1(_13477_), + .X(_09007_) + ); + sky130_fd_sc_hd__nand2_2 _27579_ ( + .A(_12498_), + .B(_13468_), + .Y(_13478_) + ); + sky130_fd_sc_hd__o211a_2 _27580_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[8] ), + .B1(_10904_), + .C1(_13478_), + .X(_09008_) + ); + sky130_fd_sc_hd__nand2_2 _27581_ ( + .A(_12501_), + .B(_13468_), + .Y(_13479_) + ); + sky130_fd_sc_hd__o211a_2 _27582_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[9] ), + .B1(_10904_), + .C1(_13479_), + .X(_09009_) + ); + sky130_fd_sc_hd__nand2_2 _27583_ ( + .A(_12504_), + .B(_13468_), + .Y(_13480_) + ); + sky130_fd_sc_hd__o211a_2 _27584_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[10] ), + .B1(_10904_), + .C1(_13480_), + .X(_09010_) + ); + sky130_fd_sc_hd__nand2_2 _27585_ ( + .A(_12506_), + .B(_13468_), + .Y(_13481_) + ); + sky130_fd_sc_hd__o211a_2 _27586_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[11] ), + .B1(_10904_), + .C1(_13481_), + .X(_09011_) + ); + sky130_fd_sc_hd__nand2_2 _27587_ ( + .A(_12509_), + .B(_13468_), + .Y(_13482_) + ); + sky130_fd_sc_hd__o211a_2 _27588_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[12] ), + .B1(_10904_), + .C1(_13482_), + .X(_09012_) + ); + sky130_fd_sc_hd__nand2_2 _27589_ ( + .A(_12511_), + .B(_13468_), + .Y(_13483_) + ); + sky130_fd_sc_hd__o211a_2 _27590_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[13] ), + .B1(_10904_), + .C1(_13483_), + .X(_09013_) + ); + sky130_fd_sc_hd__nand2_2 _27591_ ( + .A(_12514_), + .B(_13468_), + .Y(_13484_) + ); + sky130_fd_sc_hd__o211a_2 _27592_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[14] ), + .B1(_10904_), + .C1(_13484_), + .X(_09014_) + ); + sky130_fd_sc_hd__nand2_2 _27593_ ( + .A(_12516_), + .B(_13468_), + .Y(_13485_) + ); + sky130_fd_sc_hd__o211a_2 _27594_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[15] ), + .B1(_10904_), + .C1(_13485_), + .X(_09015_) + ); + sky130_fd_sc_hd__nand2_2 _27595_ ( + .A(_12518_), + .B(_13468_), + .Y(_13486_) + ); + sky130_fd_sc_hd__o211a_2 _27596_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[16] ), + .B1(_10904_), + .C1(_13486_), + .X(_09016_) + ); + sky130_fd_sc_hd__nand2_2 _27597_ ( + .A(_12520_), + .B(_13468_), + .Y(_13487_) + ); + sky130_fd_sc_hd__o211a_2 _27598_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[17] ), + .B1(_10904_), + .C1(_13487_), + .X(_09017_) + ); + sky130_fd_sc_hd__nand2_2 _27599_ ( + .A(_12523_), + .B(_13468_), + .Y(_13488_) + ); + sky130_fd_sc_hd__o211a_2 _27600_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[18] ), + .B1(_10904_), + .C1(_13488_), + .X(_09018_) + ); + sky130_fd_sc_hd__nand2_2 _27601_ ( + .A(_12525_), + .B(_13468_), + .Y(_13489_) + ); + sky130_fd_sc_hd__o211a_2 _27602_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[19] ), + .B1(_10904_), + .C1(_13489_), + .X(_09019_) + ); + sky130_fd_sc_hd__nand2_2 _27603_ ( + .A(_12528_), + .B(_13468_), + .Y(_13490_) + ); + sky130_fd_sc_hd__o211a_2 _27604_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[20] ), + .B1(_10904_), + .C1(_13490_), + .X(_09020_) + ); + sky130_fd_sc_hd__nand2_2 _27605_ ( + .A(_12531_), + .B(_13468_), + .Y(_13491_) + ); + sky130_fd_sc_hd__o211a_2 _27606_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[21] ), + .B1(_10904_), + .C1(_13491_), + .X(_09021_) + ); + sky130_fd_sc_hd__nand2_2 _27607_ ( + .A(_12533_), + .B(_13468_), + .Y(_13492_) + ); + sky130_fd_sc_hd__o211a_2 _27608_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[22] ), + .B1(_10904_), + .C1(_13492_), + .X(_09022_) + ); + sky130_fd_sc_hd__nand2_2 _27609_ ( + .A(_12535_), + .B(_13468_), + .Y(_13493_) + ); + sky130_fd_sc_hd__o211a_2 _27610_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[23] ), + .B1(_10904_), + .C1(_13493_), + .X(_09023_) + ); + sky130_fd_sc_hd__nand2_2 _27611_ ( + .A(_12537_), + .B(_13468_), + .Y(_13494_) + ); + sky130_fd_sc_hd__o211a_2 _27612_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[24] ), + .B1(_10904_), + .C1(_13494_), + .X(_09024_) + ); + sky130_fd_sc_hd__nand2_2 _27613_ ( + .A(_12540_), + .B(_13468_), + .Y(_13495_) + ); + sky130_fd_sc_hd__o211a_2 _27614_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[25] ), + .B1(_10904_), + .C1(_13495_), + .X(_09025_) + ); + sky130_fd_sc_hd__nand2_2 _27615_ ( + .A(_12542_), + .B(_13468_), + .Y(_13496_) + ); + sky130_fd_sc_hd__o211a_2 _27616_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[26] ), + .B1(_10904_), + .C1(_13496_), + .X(_09026_) + ); + sky130_fd_sc_hd__nand2_2 _27617_ ( + .A(_12544_), + .B(_13468_), + .Y(_13497_) + ); + sky130_fd_sc_hd__o211a_2 _27618_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[27] ), + .B1(_10904_), + .C1(_13497_), + .X(_09027_) + ); + sky130_fd_sc_hd__nand2_2 _27619_ ( + .A(_12547_), + .B(_13468_), + .Y(_13498_) + ); + sky130_fd_sc_hd__o211a_2 _27620_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[28] ), + .B1(_10904_), + .C1(_13498_), + .X(_09028_) + ); + sky130_fd_sc_hd__nand2_2 _27621_ ( + .A(_12549_), + .B(_13468_), + .Y(_13499_) + ); + sky130_fd_sc_hd__o211a_2 _27622_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[29] ), + .B1(_10904_), + .C1(_13499_), + .X(_09029_) + ); + sky130_fd_sc_hd__nand2_2 _27623_ ( + .A(_12551_), + .B(_13468_), + .Y(_13500_) + ); + sky130_fd_sc_hd__o211a_2 _27624_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[30] ), + .B1(_10904_), + .C1(_13500_), + .X(_09030_) + ); + sky130_fd_sc_hd__nand2_2 _27625_ ( + .A(_12553_), + .B(_13468_), + .Y(_13501_) + ); + sky130_fd_sc_hd__o211a_2 _27626_ ( + .A1(_13468_), + .A2(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[31] ), + .B1(_10904_), + .C1(_13501_), + .X(_09031_) + ); + sky130_fd_sc_hd__o21a_2 _27627_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[0] ), + .A2(_13467_), + .B1(_10904_), + .X(_13502_) + ); + sky130_fd_sc_hd__o21a_2 _27628_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[0] ), + .A2(_13468_), + .B1(_13502_), + .X(_09032_) + ); + sky130_fd_sc_hd__o21a_2 _27629_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[1] ), + .A2(_13467_), + .B1(_10904_), + .X(_13503_) + ); + sky130_fd_sc_hd__o21a_2 _27630_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[1] ), + .A2(_13468_), + .B1(_13503_), + .X(_09033_) + ); + sky130_fd_sc_hd__o21a_2 _27631_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[2] ), + .A2(_13467_), + .B1(_10904_), + .X(_13504_) + ); + sky130_fd_sc_hd__o21a_2 _27632_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[2] ), + .A2(_13468_), + .B1(_13504_), + .X(_09034_) + ); + sky130_fd_sc_hd__o21a_2 _27633_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[3] ), + .A2(_13467_), + .B1(_10904_), + .X(_13505_) + ); + sky130_fd_sc_hd__o21a_2 _27634_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[3] ), + .A2(_13468_), + .B1(_13505_), + .X(_09035_) + ); + sky130_fd_sc_hd__o21a_2 _27635_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[4] ), + .A2(_13467_), + .B1(_10904_), + .X(_13506_) + ); + sky130_fd_sc_hd__o21a_2 _27636_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[4] ), + .A2(_13468_), + .B1(_13506_), + .X(_09036_) + ); + sky130_fd_sc_hd__o21a_2 _27637_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[5] ), + .A2(_13467_), + .B1(_10904_), + .X(_13507_) + ); + sky130_fd_sc_hd__o21a_2 _27638_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[5] ), + .A2(_13468_), + .B1(_13507_), + .X(_09037_) + ); + sky130_fd_sc_hd__o21a_2 _27639_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[8] ), + .A2(_13467_), + .B1(_10904_), + .X(_13508_) + ); + sky130_fd_sc_hd__o21a_2 _27640_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[0] ), + .A2(_13468_), + .B1(_13508_), + .X(_09038_) + ); + sky130_fd_sc_hd__o21a_2 _27641_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[9] ), + .A2(_13467_), + .B1(_10904_), + .X(_13509_) + ); + sky130_fd_sc_hd__o21a_2 _27642_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[1] ), + .A2(_13468_), + .B1(_13509_), + .X(_09039_) + ); + sky130_fd_sc_hd__o21a_2 _27643_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[10] ), + .A2(_13467_), + .B1(_10904_), + .X(_13510_) + ); + sky130_fd_sc_hd__o21a_2 _27644_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ), + .A2(_13468_), + .B1(_13510_), + .X(_09040_) + ); + sky130_fd_sc_hd__o21a_2 _27645_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[11] ), + .A2(_13467_), + .B1(_10904_), + .X(_13511_) + ); + sky130_fd_sc_hd__o21a_2 _27646_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ), + .A2(_13468_), + .B1(_13511_), + .X(_09041_) + ); + sky130_fd_sc_hd__o21a_2 _27647_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[16] ), + .A2(_13467_), + .B1(_10904_), + .X(_13512_) + ); + sky130_fd_sc_hd__o21a_2 _27648_ ( + .A1(\soc.core.mgmtsoc_master_tx_fifo_source_payload_mask[0] ), + .A2(_13468_), + .B1(_13512_), + .X(_09042_) + ); + sky130_fd_sc_hd__and3_2 _27649_ ( + .A(\soc.core.litespiphy_state[1] ), + .B(\soc.core.litespiphy_state[0] ), + .C(\soc.core.litespi_grant ), + .X(_13513_) + ); + sky130_fd_sc_hd__o21a_2 _27650_ ( + .A1(_11034_), + .A2(_13513_), + .B1(_10904_), + .X(_09043_) + ); + sky130_fd_sc_hd__and2b_2 _27651_ ( + .A_N(_11034_), + .B(\soc.core.litespi_grant ), + .X(_13514_) + ); + sky130_fd_sc_hd__a22o_2 _27652_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[0] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[0] ), + .X(_13515_) + ); + sky130_fd_sc_hd__and2_4 _27653_ ( + .A(_10904_), + .B(_13515_), + .X(_09044_) + ); + sky130_fd_sc_hd__a22o_2 _27654_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[1] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[1] ), + .X(_13516_) + ); + sky130_fd_sc_hd__and2_4 _27655_ ( + .A(_10904_), + .B(_13516_), + .X(_09045_) + ); + sky130_fd_sc_hd__a22o_2 _27656_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[2] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[2] ), + .X(_13517_) + ); + sky130_fd_sc_hd__and2_4 _27657_ ( + .A(_10904_), + .B(_13517_), + .X(_09046_) + ); + sky130_fd_sc_hd__a22o_2 _27658_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[3] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[3] ), + .X(_13518_) + ); + sky130_fd_sc_hd__and2_4 _27659_ ( + .A(_10904_), + .B(_13518_), + .X(_09047_) + ); + sky130_fd_sc_hd__a22o_2 _27660_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[4] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[4] ), + .X(_13519_) + ); + sky130_fd_sc_hd__and2_4 _27661_ ( + .A(_10904_), + .B(_13519_), + .X(_09048_) + ); + sky130_fd_sc_hd__a22o_2 _27662_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[5] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[5] ), + .X(_13520_) + ); + sky130_fd_sc_hd__and2_4 _27663_ ( + .A(_10904_), + .B(_13520_), + .X(_09049_) + ); + sky130_fd_sc_hd__a22o_2 _27664_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[6] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[6] ), + .X(_13521_) + ); + sky130_fd_sc_hd__and2_4 _27665_ ( + .A(_10904_), + .B(_13521_), + .X(_09050_) + ); + sky130_fd_sc_hd__a22o_2 _27666_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[7] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[7] ), + .X(_13522_) + ); + sky130_fd_sc_hd__and2_4 _27667_ ( + .A(_10904_), + .B(_13522_), + .X(_09051_) + ); + sky130_fd_sc_hd__a22o_2 _27668_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[8] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[8] ), + .X(_13523_) + ); + sky130_fd_sc_hd__and2_4 _27669_ ( + .A(_10904_), + .B(_13523_), + .X(_09052_) + ); + sky130_fd_sc_hd__a22o_2 _27670_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[9] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[9] ), + .X(_13524_) + ); + sky130_fd_sc_hd__and2_4 _27671_ ( + .A(_10904_), + .B(_13524_), + .X(_09053_) + ); + sky130_fd_sc_hd__a22o_2 _27672_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[10] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[10] ), + .X(_13525_) + ); + sky130_fd_sc_hd__and2_4 _27673_ ( + .A(_10904_), + .B(_13525_), + .X(_09054_) + ); + sky130_fd_sc_hd__a22o_2 _27674_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[11] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[11] ), + .X(_13526_) + ); + sky130_fd_sc_hd__and2_4 _27675_ ( + .A(_10904_), + .B(_13526_), + .X(_09055_) + ); + sky130_fd_sc_hd__a22o_2 _27676_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[12] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[12] ), + .X(_13527_) + ); + sky130_fd_sc_hd__and2_4 _27677_ ( + .A(_10904_), + .B(_13527_), + .X(_09056_) + ); + sky130_fd_sc_hd__a22o_2 _27678_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[13] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[13] ), + .X(_13528_) + ); + sky130_fd_sc_hd__and2_4 _27679_ ( + .A(_10904_), + .B(_13528_), + .X(_09057_) + ); + sky130_fd_sc_hd__a22o_2 _27680_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[14] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[14] ), + .X(_13529_) + ); + sky130_fd_sc_hd__and2_4 _27681_ ( + .A(_10904_), + .B(_13529_), + .X(_09058_) + ); + sky130_fd_sc_hd__a22o_2 _27682_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[15] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[15] ), + .X(_13530_) + ); + sky130_fd_sc_hd__and2_4 _27683_ ( + .A(_10904_), + .B(_13530_), + .X(_09059_) + ); + sky130_fd_sc_hd__a22o_2 _27684_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[16] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[16] ), + .X(_13531_) + ); + sky130_fd_sc_hd__and2_4 _27685_ ( + .A(_10904_), + .B(_13531_), + .X(_09060_) + ); + sky130_fd_sc_hd__a22o_2 _27686_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[17] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[17] ), + .X(_13532_) + ); + sky130_fd_sc_hd__and2_4 _27687_ ( + .A(_10904_), + .B(_13532_), + .X(_09061_) + ); + sky130_fd_sc_hd__a22o_2 _27688_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[18] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[18] ), + .X(_13533_) + ); + sky130_fd_sc_hd__and2_4 _27689_ ( + .A(_10904_), + .B(_13533_), + .X(_09062_) + ); + sky130_fd_sc_hd__a22o_2 _27690_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[19] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[19] ), + .X(_13534_) + ); + sky130_fd_sc_hd__and2_4 _27691_ ( + .A(_10904_), + .B(_13534_), + .X(_09063_) + ); + sky130_fd_sc_hd__a22o_2 _27692_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[20] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[20] ), + .X(_13535_) + ); + sky130_fd_sc_hd__and2_4 _27693_ ( + .A(_10904_), + .B(_13535_), + .X(_09064_) + ); + sky130_fd_sc_hd__a22o_2 _27694_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[21] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[21] ), + .X(_13536_) + ); + sky130_fd_sc_hd__and2_4 _27695_ ( + .A(_10904_), + .B(_13536_), + .X(_09065_) + ); + sky130_fd_sc_hd__a22o_2 _27696_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[22] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[22] ), + .X(_13537_) + ); + sky130_fd_sc_hd__and2_4 _27697_ ( + .A(_10904_), + .B(_13537_), + .X(_09066_) + ); + sky130_fd_sc_hd__a22o_2 _27698_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[23] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[23] ), + .X(_13538_) + ); + sky130_fd_sc_hd__and2_4 _27699_ ( + .A(_10904_), + .B(_13538_), + .X(_09067_) + ); + sky130_fd_sc_hd__a22o_2 _27700_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[24] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[24] ), + .X(_13539_) + ); + sky130_fd_sc_hd__and2_4 _27701_ ( + .A(_10904_), + .B(_13539_), + .X(_09068_) + ); + sky130_fd_sc_hd__a22o_2 _27702_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[25] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[25] ), + .X(_13540_) + ); + sky130_fd_sc_hd__and2_4 _27703_ ( + .A(_10904_), + .B(_13540_), + .X(_09069_) + ); + sky130_fd_sc_hd__a22o_2 _27704_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[26] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[26] ), + .X(_13541_) + ); + sky130_fd_sc_hd__and2_4 _27705_ ( + .A(_10904_), + .B(_13541_), + .X(_09070_) + ); + sky130_fd_sc_hd__a22o_2 _27706_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[27] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[27] ), + .X(_13542_) + ); + sky130_fd_sc_hd__and2_4 _27707_ ( + .A(_10904_), + .B(_13542_), + .X(_09071_) + ); + sky130_fd_sc_hd__a22o_2 _27708_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[28] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[28] ), + .X(_13543_) + ); + sky130_fd_sc_hd__and2_4 _27709_ ( + .A(_10904_), + .B(_13543_), + .X(_09072_) + ); + sky130_fd_sc_hd__a22o_2 _27710_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[29] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[29] ), + .X(_13544_) + ); + sky130_fd_sc_hd__and2_4 _27711_ ( + .A(_10904_), + .B(_13544_), + .X(_09073_) + ); + sky130_fd_sc_hd__a22o_2 _27712_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[30] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[30] ), + .X(_13545_) + ); + sky130_fd_sc_hd__and2_4 _27713_ ( + .A(_10904_), + .B(_13545_), + .X(_09074_) + ); + sky130_fd_sc_hd__a22o_2 _27714_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[31] ), + .A2(_11034_), + .B1(_13514_), + .B2(\soc.core.mgmtsoc_litespisdrphycore_sr_in[31] ), + .X(_13546_) + ); + sky130_fd_sc_hd__and2_4 _27715_ ( + .A(_10904_), + .B(_13546_), + .X(_09075_) + ); + sky130_fd_sc_hd__and2_4 _27716_ ( + .A(\soc.core.spimaster_state[1] ), + .B(\soc.core.spimaster_state[0] ), + .X(_13547_) + ); + sky130_fd_sc_hd__nand2_2 _27717_ ( + .A(_13108_), + .B(_13547_), + .Y(_13548_) + ); + sky130_fd_sc_hd__a31o_2 _27718_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(\soc.core.spimaster_state[0] ), + .A3(_13108_), + .B1(\soc.core.spi_master_miso[0] ), + .X(_13549_) + ); + sky130_fd_sc_hd__o211a_2 _27719_ ( + .A1(_13548_), + .A2(\soc.core.spi_master_miso_data[0] ), + .B1(_10904_), + .C1(_13549_), + .X(_09076_) + ); + sky130_fd_sc_hd__a31o_2 _27720_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(\soc.core.spimaster_state[0] ), + .A3(_13108_), + .B1(\soc.core.spi_master_miso[1] ), + .X(_13550_) + ); + sky130_fd_sc_hd__o211a_2 _27721_ ( + .A1(_13548_), + .A2(\soc.core.spi_master_miso_data[1] ), + .B1(_10904_), + .C1(_13550_), + .X(_09077_) + ); + sky130_fd_sc_hd__a31o_2 _27722_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(\soc.core.spimaster_state[0] ), + .A3(_13108_), + .B1(\soc.core.spi_master_miso[2] ), + .X(_13551_) + ); + sky130_fd_sc_hd__o211a_2 _27723_ ( + .A1(_13548_), + .A2(\soc.core.spi_master_miso_data[2] ), + .B1(_10904_), + .C1(_13551_), + .X(_09078_) + ); + sky130_fd_sc_hd__a31o_2 _27724_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(\soc.core.spimaster_state[0] ), + .A3(_13108_), + .B1(\soc.core.spi_master_miso[3] ), + .X(_13552_) + ); + sky130_fd_sc_hd__o211a_2 _27725_ ( + .A1(_13548_), + .A2(\soc.core.spi_master_miso_data[3] ), + .B1(_10904_), + .C1(_13552_), + .X(_09079_) + ); + sky130_fd_sc_hd__a31o_2 _27726_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(\soc.core.spimaster_state[0] ), + .A3(_13108_), + .B1(\soc.core.spi_master_miso[4] ), + .X(_13553_) + ); + sky130_fd_sc_hd__o211a_2 _27727_ ( + .A1(_13548_), + .A2(\soc.core.spi_master_miso_data[4] ), + .B1(_10904_), + .C1(_13553_), + .X(_09080_) + ); + sky130_fd_sc_hd__a31o_2 _27728_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(\soc.core.spimaster_state[0] ), + .A3(_13108_), + .B1(\soc.core.spi_master_miso[5] ), + .X(_13554_) + ); + sky130_fd_sc_hd__o211a_2 _27729_ ( + .A1(_13548_), + .A2(\soc.core.spi_master_miso_data[5] ), + .B1(_10904_), + .C1(_13554_), + .X(_09081_) + ); + sky130_fd_sc_hd__a31o_2 _27730_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(\soc.core.spimaster_state[0] ), + .A3(_13108_), + .B1(\soc.core.spi_master_miso[6] ), + .X(_13555_) + ); + sky130_fd_sc_hd__o211a_2 _27731_ ( + .A1(_13548_), + .A2(\soc.core.spi_master_miso_data[6] ), + .B1(_10904_), + .C1(_13555_), + .X(_09082_) + ); + sky130_fd_sc_hd__a31o_2 _27732_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(\soc.core.spimaster_state[0] ), + .A3(_13108_), + .B1(\soc.core.spi_master_miso[7] ), + .X(_13556_) + ); + sky130_fd_sc_hd__o211a_2 _27733_ ( + .A1(_13548_), + .A2(\soc.core.spi_master_miso_data[7] ), + .B1(_10904_), + .C1(_13556_), + .X(_09083_) + ); + sky130_fd_sc_hd__and4_2 _27734_ ( + .A(_11012_), + .B(\mgmt_buffers.mprj_adr_o_core[11] ), + .C(_04984_), + .D(_12390_), + .X(_13557_) + ); + sky130_fd_sc_hd__nand2_2 _27735_ ( + .A(_12390_), + .B(_12396_), + .Y(_13558_) + ); + sky130_fd_sc_hd__and3_2 _27736_ ( + .A(_11014_), + .B(_12386_), + .C(_13557_), + .X(_13559_) + ); + sky130_fd_sc_hd__and4_2 _27737_ ( + .A(_11028_), + .B(\mgmt_buffers.mprj_adr_o_core[11] ), + .C(_04984_), + .D(_12390_), + .X(_13560_) + ); + sky130_fd_sc_hd__a41o_2 _27738_ ( + .A1(_12440_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13561_) + ); + sky130_fd_sc_hd__o21ba_2 _27739_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(_13559_), + .B1_N(_13561_), + .X(_09084_) + ); + sky130_fd_sc_hd__a41o_2 _27740_ ( + .A1(_12442_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13562_) + ); + sky130_fd_sc_hd__o21ba_2 _27741_ ( + .A1(\soc.core.spi_master_control_storage[1] ), + .A2(_13559_), + .B1_N(_13562_), + .X(_09085_) + ); + sky130_fd_sc_hd__nor2_2 _27742_ ( + .A(\soc.core.spi_master_control_storage[2] ), + .B(_13559_), + .Y(_13563_) + ); + sky130_fd_sc_hd__a211oi_2 _27743_ ( + .A1(_13559_), + .A2(_12444_), + .B1(\soc.core.int_rst ), + .C1(_13563_), + .Y(_09086_) + ); + sky130_fd_sc_hd__nor2_2 _27744_ ( + .A(\soc.core.spi_master_control_storage[3] ), + .B(_13559_), + .Y(_13564_) + ); + sky130_fd_sc_hd__a211oi_2 _27745_ ( + .A1(_13559_), + .A2(_12446_), + .B1(\soc.core.int_rst ), + .C1(_13564_), + .Y(_09087_) + ); + sky130_fd_sc_hd__a41o_2 _27746_ ( + .A1(_12448_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13565_) + ); + sky130_fd_sc_hd__o21ba_2 _27747_ ( + .A1(\soc.core.spi_master_control_storage[4] ), + .A2(_13559_), + .B1_N(_13565_), + .X(_09088_) + ); + sky130_fd_sc_hd__a41o_2 _27748_ ( + .A1(_12450_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13566_) + ); + sky130_fd_sc_hd__o21ba_2 _27749_ ( + .A1(\soc.core.spi_master_control_storage[5] ), + .A2(_13559_), + .B1_N(_13566_), + .X(_09089_) + ); + sky130_fd_sc_hd__nor2_2 _27750_ ( + .A(\soc.core.spi_master_control_storage[6] ), + .B(_13559_), + .Y(_13567_) + ); + sky130_fd_sc_hd__a211oi_2 _27751_ ( + .A1(_13559_), + .A2(_12452_), + .B1(\soc.core.int_rst ), + .C1(_13567_), + .Y(_09090_) + ); + sky130_fd_sc_hd__nor2_2 _27752_ ( + .A(\soc.core.spi_master_control_storage[7] ), + .B(_13559_), + .Y(_13568_) + ); + sky130_fd_sc_hd__a211oi_2 _27753_ ( + .A1(_13559_), + .A2(_12454_), + .B1(\soc.core.int_rst ), + .C1(_13568_), + .Y(_09091_) + ); + sky130_fd_sc_hd__a41o_2 _27754_ ( + .A1(_12498_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13569_) + ); + sky130_fd_sc_hd__o21ba_2 _27755_ ( + .A1(\soc.core.spi_master_control_storage[8] ), + .A2(_13559_), + .B1_N(_13569_), + .X(_09092_) + ); + sky130_fd_sc_hd__a41o_2 _27756_ ( + .A1(_12501_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13570_) + ); + sky130_fd_sc_hd__o21ba_2 _27757_ ( + .A1(\soc.core.spi_master_control_storage[9] ), + .A2(_13559_), + .B1_N(_13570_), + .X(_09093_) + ); + sky130_fd_sc_hd__a41o_2 _27758_ ( + .A1(_12504_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13571_) + ); + sky130_fd_sc_hd__o21ba_2 _27759_ ( + .A1(\soc.core.spi_master_control_storage[10] ), + .A2(_13559_), + .B1_N(_13571_), + .X(_09094_) + ); + sky130_fd_sc_hd__a41o_2 _27760_ ( + .A1(_12506_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13572_) + ); + sky130_fd_sc_hd__o21ba_2 _27761_ ( + .A1(\soc.core.spi_master_control_storage[11] ), + .A2(_13559_), + .B1_N(_13572_), + .X(_09095_) + ); + sky130_fd_sc_hd__a41o_2 _27762_ ( + .A1(_12509_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13573_) + ); + sky130_fd_sc_hd__o21ba_2 _27763_ ( + .A1(\soc.core.spi_master_control_storage[12] ), + .A2(_13559_), + .B1_N(_13573_), + .X(_09096_) + ); + sky130_fd_sc_hd__a41o_2 _27764_ ( + .A1(_12511_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13574_) + ); + sky130_fd_sc_hd__o21ba_2 _27765_ ( + .A1(\soc.core.spi_master_control_storage[13] ), + .A2(_13559_), + .B1_N(_13574_), + .X(_09097_) + ); + sky130_fd_sc_hd__a41o_2 _27766_ ( + .A1(_12514_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13575_) + ); + sky130_fd_sc_hd__o21ba_2 _27767_ ( + .A1(\soc.core.spi_master_control_storage[14] ), + .A2(_13559_), + .B1_N(_13575_), + .X(_09098_) + ); + sky130_fd_sc_hd__a41o_2 _27768_ ( + .A1(_12516_), + .A2(_13557_), + .A3(_11014_), + .A4(_12386_), + .B1(\soc.core.int_rst ), + .X(_13576_) + ); + sky130_fd_sc_hd__o21ba_2 _27769_ ( + .A1(\soc.core.spi_master_control_storage[15] ), + .A2(_13559_), + .B1_N(_13576_), + .X(_09099_) + ); + sky130_fd_sc_hd__o211a_2 _27770_ ( + .A1(\soc.core.litespi_state[2] ), + .A2(_11365_), + .B1(_03037_), + .C1(_11187_), + .X(_13577_) + ); + sky130_fd_sc_hd__and4b_2 _27771_ ( + .A_N(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .B(_05112_), + .C(_11185_), + .D(\soc.core.litespi_state[3] ), + .X(_13578_) + ); + sky130_fd_sc_hd__a221o_2 _27772_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[2] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .C1(_13578_), + .X(_09100_) + ); + sky130_fd_sc_hd__o21a_2 _27773_ ( + .A1(_13577_), + .A2(_13578_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .X(_13579_) + ); + sky130_fd_sc_hd__and4b_2 _27774_ ( + .A_N(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .B(_11183_), + .C(_11185_), + .D(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .X(_13580_) + ); + sky130_fd_sc_hd__a211o_2 _27775_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[3] ), + .A2(_11560_), + .B1(_13579_), + .C1(_13580_), + .X(_09101_) + ); + sky130_fd_sc_hd__and3_2 _27776_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ), + .X(_13581_) + ); + sky130_fd_sc_hd__nand3_2 _27777_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ), + .Y(_13582_) + ); + sky130_fd_sc_hd__a21o_2 _27778_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ), + .X(_13583_) + ); + sky130_fd_sc_hd__a22o_2 _27779_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[4] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ), + .X(_13584_) + ); + sky130_fd_sc_hd__a31o_2 _27780_ ( + .A1(_11186_), + .A2(_13582_), + .A3(_13583_), + .B1(_13584_), + .X(_09102_) + ); + sky130_fd_sc_hd__and4_2 _27781_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ), + .D(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ), + .X(_13585_) + ); + sky130_fd_sc_hd__o2bb2a_2 _27782_ ( + .A1_N(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ), + .A2_N(_13577_), + .B1(_11559_), + .B2(_05006_), + .X(_13586_) + ); + sky130_fd_sc_hd__o21ai_2 _27783_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ), + .A2(_13581_), + .B1(_11186_), + .Y(_13587_) + ); + sky130_fd_sc_hd__o21ai_2 _27784_ ( + .A1(_13585_), + .A2(_13587_), + .B1(_13586_), + .Y(_09103_) + ); + sky130_fd_sc_hd__a41o_2 _27785_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ), + .A3(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ), + .A4(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .X(_13588_) + ); + sky130_fd_sc_hd__nand2_2 _27786_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .Y(_13589_) + ); + sky130_fd_sc_hd__nand2_2 _27787_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .B(_13585_), + .Y(_13590_) + ); + sky130_fd_sc_hd__a22o_2 _27788_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[6] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .X(_13591_) + ); + sky130_fd_sc_hd__a31o_2 _27789_ ( + .A1(_11186_), + .A2(_13588_), + .A3(_13590_), + .B1(_13591_), + .X(_09104_) + ); + sky130_fd_sc_hd__nor3_2 _27790_ ( + .A(_10905_), + .B(_13582_), + .C(_13589_), + .Y(_13592_) + ); + sky130_fd_sc_hd__a31o_2 _27791_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .A3(_13581_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ), + .X(_13593_) + ); + sky130_fd_sc_hd__and4b_2 _27792_ ( + .A_N(_13592_), + .B(_13593_), + .C(_11183_), + .D(_11185_), + .X(_13594_) + ); + sky130_fd_sc_hd__a221o_2 _27793_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[7] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ), + .C1(_13594_), + .X(_09105_) + ); + sky130_fd_sc_hd__and4_2 _27794_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ), + .D(_13585_), + .X(_13595_) + ); + sky130_fd_sc_hd__a31o_2 _27795_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ), + .A3(_13585_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ), + .X(_13596_) + ); + sky130_fd_sc_hd__and4b_2 _27796_ ( + .A_N(_13595_), + .B(_13596_), + .C(_11183_), + .D(_11185_), + .X(_13597_) + ); + sky130_fd_sc_hd__a221o_2 _27797_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[8] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ), + .C1(_13597_), + .X(_09106_) + ); + sky130_fd_sc_hd__a22o_2 _27798_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[9] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .X(_13598_) + ); + sky130_fd_sc_hd__nand2_2 _27799_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .B(_13595_), + .Y(_13599_) + ); + sky130_fd_sc_hd__a41o_2 _27800_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ), + .A3(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ), + .A4(_13585_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .X(_13600_) + ); + sky130_fd_sc_hd__a31o_2 _27801_ ( + .A1(_11186_), + .A2(_13599_), + .A3(_13600_), + .B1(_13598_), + .X(_09107_) + ); + sky130_fd_sc_hd__a22o_2 _27802_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[10] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ), + .X(_13601_) + ); + sky130_fd_sc_hd__nand4_2 _27803_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ), + .D(_13592_), + .Y(_13602_) + ); + sky130_fd_sc_hd__a31o_2 _27804_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .A3(_13592_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ), + .X(_13603_) + ); + sky130_fd_sc_hd__a31o_2 _27805_ ( + .A1(_11186_), + .A2(_13602_), + .A3(_13603_), + .B1(_13601_), + .X(_09108_) + ); + sky130_fd_sc_hd__and4_2 _27806_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[9] ), + .D(_13595_), + .X(_13604_) + ); + sky130_fd_sc_hd__a31o_2 _27807_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ), + .A3(_13595_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[9] ), + .X(_13605_) + ); + sky130_fd_sc_hd__and4b_2 _27808_ ( + .A_N(_13604_), + .B(_13605_), + .C(_11183_), + .D(_11185_), + .X(_13606_) + ); + sky130_fd_sc_hd__a221o_2 _27809_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[11] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[9] ), + .C1(_13606_), + .X(_09109_) + ); + sky130_fd_sc_hd__a22o_2 _27810_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[12] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ), + .X(_13607_) + ); + sky130_fd_sc_hd__nand2_2 _27811_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ), + .B(_13604_), + .Y(_13608_) + ); + sky130_fd_sc_hd__a41o_2 _27812_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ), + .A3(\soc.core.mgmtsoc_litespimmap_burst_adr[9] ), + .A4(_13595_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ), + .X(_13609_) + ); + sky130_fd_sc_hd__a31o_2 _27813_ ( + .A1(_13608_), + .A2(_13609_), + .A3(_11186_), + .B1(_13607_), + .X(_09110_) + ); + sky130_fd_sc_hd__o2bb2a_2 _27814_ ( + .A1_N(\soc.core.mgmtsoc_litespimmap_burst_adr[11] ), + .A2_N(_13577_), + .B1(_11559_), + .B2(_04987_), + .X(_13610_) + ); + sky130_fd_sc_hd__nor4_2 _27815_ ( + .A(_10906_), + .B(_10907_), + .C(_10908_), + .D(_13602_), + .Y(_13611_) + ); + sky130_fd_sc_hd__o31a_2 _27816_ ( + .A1(_10906_), + .A2(_10907_), + .A3(_13602_), + .B1(_10908_), + .X(_13612_) + ); + sky130_fd_sc_hd__o31ai_2 _27817_ ( + .A1(_11187_), + .A2(_13611_), + .A3(_13612_), + .B1(_13610_), + .Y(_09111_) + ); + sky130_fd_sc_hd__and4_2 _27818_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[11] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .D(_13604_), + .X(_13613_) + ); + sky130_fd_sc_hd__a31o_2 _27819_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[11] ), + .A3(_13604_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .X(_13614_) + ); + sky130_fd_sc_hd__and4b_2 _27820_ ( + .A_N(_13613_), + .B(_13614_), + .C(_11183_), + .D(_11185_), + .X(_13615_) + ); + sky130_fd_sc_hd__a221o_2 _27821_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[14] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .C1(_13615_), + .X(_09112_) + ); + sky130_fd_sc_hd__o2bb2a_2 _27822_ ( + .A1_N(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .A2_N(_13577_), + .B1(_11559_), + .B2(_04993_), + .X(_13616_) + ); + sky130_fd_sc_hd__a21oi_2 _27823_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .A2(_13611_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .Y(_13617_) + ); + sky130_fd_sc_hd__and3_2 _27824_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .C(_13611_), + .X(_13618_) + ); + sky130_fd_sc_hd__o31ai_2 _27825_ ( + .A1(_13618_), + .A2(_11187_), + .A3(_13617_), + .B1(_13616_), + .Y(_09113_) + ); + sky130_fd_sc_hd__nand4_2 _27826_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ), + .D(_13611_), + .Y(_13619_) + ); + sky130_fd_sc_hd__o211a_2 _27827_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ), + .A2(_13618_), + .B1(_13619_), + .C1(_11186_), + .X(_13620_) + ); + sky130_fd_sc_hd__a221o_2 _27828_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[16] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ), + .C1(_13620_), + .X(_09114_) + ); + sky130_fd_sc_hd__a22o_2 _27829_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[17] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[15] ), + .X(_13621_) + ); + sky130_fd_sc_hd__a31o_2 _27830_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ), + .A3(_13613_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[15] ), + .X(_13622_) + ); + sky130_fd_sc_hd__and2_4 _27831_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[15] ), + .X(_13623_) + ); + sky130_fd_sc_hd__and3_2 _27832_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .B(_13613_), + .C(_13623_), + .X(_13624_) + ); + sky130_fd_sc_hd__nand4_2 _27833_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ), + .C(_13611_), + .D(_13623_), + .Y(_13625_) + ); + sky130_fd_sc_hd__a31o_2 _27834_ ( + .A1(_13622_), + .A2(_13625_), + .A3(_11186_), + .B1(_13621_), + .X(_09115_) + ); + sky130_fd_sc_hd__nor2_2 _27835_ ( + .A(_10909_), + .B(_13625_), + .Y(_13626_) + ); + sky130_fd_sc_hd__a31o_2 _27836_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[15] ), + .A3(_13618_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .X(_13627_) + ); + sky130_fd_sc_hd__and4b_2 _27837_ ( + .A_N(_13626_), + .B(_13627_), + .C(_11183_), + .D(_11185_), + .X(_13628_) + ); + sky130_fd_sc_hd__a221o_2 _27838_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[18] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .C1(_13628_), + .X(_09116_) + ); + sky130_fd_sc_hd__a22o_2 _27839_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[19] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .X(_13629_) + ); + sky130_fd_sc_hd__nand2_2 _27840_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .B(_13626_), + .Y(_13630_) + ); + sky130_fd_sc_hd__a31o_2 _27841_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .A2(_13618_), + .A3(_13623_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .X(_13631_) + ); + sky130_fd_sc_hd__a31o_2 _27842_ ( + .A1(_13631_), + .A2(_11186_), + .A3(_13630_), + .B1(_13629_), + .X(_09117_) + ); + sky130_fd_sc_hd__a22o_2 _27843_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[20] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .X(_13632_) + ); + sky130_fd_sc_hd__a31o_2 _27844_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .A3(_13624_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .X(_13633_) + ); + sky130_fd_sc_hd__nand4_2 _27845_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .D(_13624_), + .Y(_13634_) + ); + sky130_fd_sc_hd__a31o_2 _27846_ ( + .A1(_13633_), + .A2(_13634_), + .A3(_11186_), + .B1(_13632_), + .X(_09118_) + ); + sky130_fd_sc_hd__a22o_2 _27847_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[21] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[19] ), + .X(_13635_) + ); + sky130_fd_sc_hd__a31o_2 _27848_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .A3(_13626_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[19] ), + .X(_13636_) + ); + sky130_fd_sc_hd__nand4_2 _27849_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[19] ), + .D(_13626_), + .Y(_13637_) + ); + sky130_fd_sc_hd__a31o_2 _27850_ ( + .A1(_13636_), + .A2(_13637_), + .A3(_11186_), + .B1(_13635_), + .X(_09119_) + ); + sky130_fd_sc_hd__a22o_2 _27851_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[22] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[20] ), + .X(_13638_) + ); + sky130_fd_sc_hd__a41o_2 _27852_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .A3(\soc.core.mgmtsoc_litespimmap_burst_adr[19] ), + .A4(_13626_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[20] ), + .X(_13639_) + ); + sky130_fd_sc_hd__and4_2 _27853_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[19] ), + .D(\soc.core.mgmtsoc_litespimmap_burst_adr[20] ), + .X(_13640_) + ); + sky130_fd_sc_hd__nand2_2 _27854_ ( + .A(_13626_), + .B(_13640_), + .Y(_13641_) + ); + sky130_fd_sc_hd__a31o_2 _27855_ ( + .A1(_13639_), + .A2(_13641_), + .A3(_11186_), + .B1(_13638_), + .X(_09120_) + ); + sky130_fd_sc_hd__and3_2 _27856_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[21] ), + .B(_13626_), + .C(_13640_), + .X(_13642_) + ); + sky130_fd_sc_hd__a31o_2 _27857_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ), + .A2(_13624_), + .A3(_13640_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[21] ), + .X(_13643_) + ); + sky130_fd_sc_hd__and4b_2 _27858_ ( + .A_N(_13642_), + .B(_13643_), + .C(_11183_), + .D(_11185_), + .X(_13644_) + ); + sky130_fd_sc_hd__a221o_2 _27859_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[23] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[21] ), + .C1(_13644_), + .X(_09121_) + ); + sky130_fd_sc_hd__a22o_2 _27860_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[24] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .X(_13645_) + ); + sky130_fd_sc_hd__nand2_2 _27861_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .B(_13642_), + .Y(_13646_) + ); + sky130_fd_sc_hd__a31o_2 _27862_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[21] ), + .A2(_13626_), + .A3(_13640_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .X(_13647_) + ); + sky130_fd_sc_hd__a31o_2 _27863_ ( + .A1(_13646_), + .A2(_13647_), + .A3(_11186_), + .B1(_13645_), + .X(_09122_) + ); + sky130_fd_sc_hd__o2bb2a_2 _27864_ ( + .A1_N(\soc.core.mgmtsoc_litespimmap_burst_adr[23] ), + .A2_N(_13577_), + .B1(_11559_), + .B2(_04939_), + .X(_13648_) + ); + sky130_fd_sc_hd__a21oi_2 _27865_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .A2(_13642_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[23] ), + .Y(_13649_) + ); + sky130_fd_sc_hd__and2_4 _27866_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[23] ), + .X(_13650_) + ); + sky130_fd_sc_hd__and3_2 _27867_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[23] ), + .C(_13642_), + .X(_13651_) + ); + sky130_fd_sc_hd__nand4_2 _27868_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[21] ), + .B(_13626_), + .C(_13640_), + .D(_13650_), + .Y(_13652_) + ); + sky130_fd_sc_hd__o31ai_2 _27869_ ( + .A1(_11187_), + .A2(_13649_), + .A3(_13651_), + .B1(_13648_), + .Y(_09123_) + ); + sky130_fd_sc_hd__a22o_2 _27870_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[26] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ), + .X(_13653_) + ); + sky130_fd_sc_hd__a31o_2 _27871_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[23] ), + .A3(_13642_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ), + .X(_13654_) + ); + sky130_fd_sc_hd__nand2_2 _27872_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ), + .B(_13651_), + .Y(_13655_) + ); + sky130_fd_sc_hd__a31o_2 _27873_ ( + .A1(_13655_), + .A2(_11186_), + .A3(_13654_), + .B1(_13653_), + .X(_09124_) + ); + sky130_fd_sc_hd__o2bb2a_2 _27874_ ( + .A1_N(\soc.core.mgmtsoc_litespimmap_burst_adr[25] ), + .A2_N(_13577_), + .B1(_11559_), + .B2(_04981_), + .X(_13656_) + ); + sky130_fd_sc_hd__a21oi_2 _27875_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ), + .A2(_13651_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[25] ), + .Y(_13657_) + ); + sky130_fd_sc_hd__nand2_2 _27876_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[25] ), + .Y(_13658_) + ); + sky130_fd_sc_hd__nor2_2 _27877_ ( + .A(_13652_), + .B(_13658_), + .Y(_13659_) + ); + sky130_fd_sc_hd__o31ai_2 _27878_ ( + .A1(_13659_), + .A2(_11187_), + .A3(_13657_), + .B1(_13656_), + .Y(_09125_) + ); + sky130_fd_sc_hd__a22o_2 _27879_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[28] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .X(_13660_) + ); + sky130_fd_sc_hd__a31o_2 _27880_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[25] ), + .A3(_13651_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .X(_13661_) + ); + sky130_fd_sc_hd__nand2_2 _27881_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .B(_13659_), + .Y(_13662_) + ); + sky130_fd_sc_hd__a31o_2 _27882_ ( + .A1(_13661_), + .A2(_13662_), + .A3(_11186_), + .B1(_13660_), + .X(_09126_) + ); + sky130_fd_sc_hd__nand3_2 _27883_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .C(_13659_), + .Y(_13663_) + ); + sky130_fd_sc_hd__a21oi_2 _27884_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .A2(_13659_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .Y(_13664_) + ); + sky130_fd_sc_hd__and4b_2 _27885_ ( + .A_N(_13664_), + .B(_11185_), + .C(_11183_), + .D(_13663_), + .X(_13665_) + ); + sky130_fd_sc_hd__a221o_2 _27886_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[29] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .C1(_13665_), + .X(_09127_) + ); + sky130_fd_sc_hd__a22o_2 _27887_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[30] ), + .A2(_11560_), + .B1(_13577_), + .B2(\soc.core.mgmtsoc_litespimmap_burst_adr[28] ), + .X(_13666_) + ); + sky130_fd_sc_hd__a31o_2 _27888_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .A3(_13659_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[28] ), + .X(_13667_) + ); + sky130_fd_sc_hd__and4_2 _27889_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[28] ), + .D(_13659_), + .X(_13668_) + ); + sky130_fd_sc_hd__nand4_2 _27890_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .B(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .C(\soc.core.mgmtsoc_litespimmap_burst_adr[28] ), + .D(_13659_), + .Y(_13669_) + ); + sky130_fd_sc_hd__a31o_2 _27891_ ( + .A1(_13667_), + .A2(_13669_), + .A3(_11186_), + .B1(_13666_), + .X(_09128_) + ); + sky130_fd_sc_hd__a21o_2 _27892_ ( + .A1(\mgmt_buffers.mprj_adr_o_core[31] ), + .A2(_11560_), + .B1(_13577_), + .X(_13670_) + ); + sky130_fd_sc_hd__a41o_2 _27893_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ), + .A2(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ), + .A3(\soc.core.mgmtsoc_litespimmap_burst_adr[28] ), + .A4(_13659_), + .B1(\soc.core.mgmtsoc_litespimmap_burst_adr[29] ), + .X(_13671_) + ); + sky130_fd_sc_hd__nand2_2 _27894_ ( + .A(\soc.core.mgmtsoc_litespimmap_burst_adr[29] ), + .B(_13668_), + .Y(_13672_) + ); + sky130_fd_sc_hd__a31o_2 _27895_ ( + .A1(_13672_), + .A2(_11186_), + .A3(_13671_), + .B1(_13670_), + .X(_13673_) + ); + sky130_fd_sc_hd__o31a_2 _27896_ ( + .A1(\soc.core.mgmtsoc_litespimmap_burst_adr[29] ), + .A2(_11186_), + .A3(_11560_), + .B1(_13673_), + .X(_09129_) + ); + sky130_fd_sc_hd__and3_2 _27897_ ( + .A(_12433_), + .B(_13557_), + .C(_10904_), + .X(_09130_) + ); + sky130_fd_sc_hd__a31o_2 _27898_ ( + .A1(_04996_), + .A2(_04999_), + .A3(_11022_), + .B1(_11013_), + .X(_13674_) + ); + sky130_fd_sc_hd__o311a_2 _27899_ ( + .A1(_11004_), + .A2(_11022_), + .A3(_11011_), + .B1(_12652_), + .C1(_12384_), + .X(_13675_) + ); + sky130_fd_sc_hd__and3_2 _27900_ ( + .A(_11014_), + .B(_13557_), + .C(_13675_), + .X(_13676_) + ); + sky130_fd_sc_hd__a31o_2 _27901_ ( + .A1(_04996_), + .A2(_04999_), + .A3(_11022_), + .B1(_11029_), + .X(_13677_) + ); + sky130_fd_sc_hd__and3_2 _27902_ ( + .A(_05006_), + .B(_12485_), + .C(_13677_), + .X(_13678_) + ); + sky130_fd_sc_hd__and2b_2 _27903_ ( + .A_N(_13676_), + .B(\soc.core.spi_master_cs ), + .X(_13679_) + ); + sky130_fd_sc_hd__a211o_2 _27904_ ( + .A1(_12439_), + .A2(_13676_), + .B1(_13679_), + .C1(\soc.core.int_rst ), + .X(_09131_) + ); + sky130_fd_sc_hd__a41o_2 _27905_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12442_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13680_) + ); + sky130_fd_sc_hd__o21ba_2 _27906_ ( + .A1(\soc.core.spi_master_cs_storage[1] ), + .A2(_13676_), + .B1_N(_13680_), + .X(_09132_) + ); + sky130_fd_sc_hd__a41o_2 _27907_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12444_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13681_) + ); + sky130_fd_sc_hd__o21ba_2 _27908_ ( + .A1(\soc.core.spi_master_cs_storage[2] ), + .A2(_13676_), + .B1_N(_13681_), + .X(_09133_) + ); + sky130_fd_sc_hd__a41o_2 _27909_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12446_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13682_) + ); + sky130_fd_sc_hd__o21ba_2 _27910_ ( + .A1(\soc.core.spi_master_cs_storage[3] ), + .A2(_13676_), + .B1_N(_13682_), + .X(_09134_) + ); + sky130_fd_sc_hd__a41o_2 _27911_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12448_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13683_) + ); + sky130_fd_sc_hd__o21ba_2 _27912_ ( + .A1(\soc.core.spi_master_cs_storage[4] ), + .A2(_13676_), + .B1_N(_13683_), + .X(_09135_) + ); + sky130_fd_sc_hd__a41o_2 _27913_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12450_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13684_) + ); + sky130_fd_sc_hd__o21ba_2 _27914_ ( + .A1(\soc.core.spi_master_cs_storage[5] ), + .A2(_13676_), + .B1_N(_13684_), + .X(_09136_) + ); + sky130_fd_sc_hd__a41o_2 _27915_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12452_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13685_) + ); + sky130_fd_sc_hd__o21ba_2 _27916_ ( + .A1(\soc.core.spi_master_cs_storage[6] ), + .A2(_13676_), + .B1_N(_13685_), + .X(_09137_) + ); + sky130_fd_sc_hd__a41o_2 _27917_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12454_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13686_) + ); + sky130_fd_sc_hd__o21ba_2 _27918_ ( + .A1(\soc.core.spi_master_cs_storage[7] ), + .A2(_13676_), + .B1_N(_13686_), + .X(_09138_) + ); + sky130_fd_sc_hd__a41o_2 _27919_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12498_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13687_) + ); + sky130_fd_sc_hd__o21ba_2 _27920_ ( + .A1(\soc.core.spi_master_cs_storage[8] ), + .A2(_13676_), + .B1_N(_13687_), + .X(_09139_) + ); + sky130_fd_sc_hd__a41o_2 _27921_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12501_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13688_) + ); + sky130_fd_sc_hd__o21ba_2 _27922_ ( + .A1(\soc.core.spi_master_cs_storage[9] ), + .A2(_13676_), + .B1_N(_13688_), + .X(_09140_) + ); + sky130_fd_sc_hd__a41o_2 _27923_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12504_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13689_) + ); + sky130_fd_sc_hd__o21ba_2 _27924_ ( + .A1(\soc.core.spi_master_cs_storage[10] ), + .A2(_13676_), + .B1_N(_13689_), + .X(_09141_) + ); + sky130_fd_sc_hd__a41o_2 _27925_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12506_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13690_) + ); + sky130_fd_sc_hd__o21ba_2 _27926_ ( + .A1(\soc.core.spi_master_cs_storage[11] ), + .A2(_13676_), + .B1_N(_13690_), + .X(_09142_) + ); + sky130_fd_sc_hd__a41o_2 _27927_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12509_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13691_) + ); + sky130_fd_sc_hd__o21ba_2 _27928_ ( + .A1(\soc.core.spi_master_cs_storage[12] ), + .A2(_13676_), + .B1_N(_13691_), + .X(_09143_) + ); + sky130_fd_sc_hd__a41o_2 _27929_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12511_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13692_) + ); + sky130_fd_sc_hd__o21ba_2 _27930_ ( + .A1(\soc.core.spi_master_cs_storage[13] ), + .A2(_13676_), + .B1_N(_13692_), + .X(_09144_) + ); + sky130_fd_sc_hd__a41o_2 _27931_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12514_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13693_) + ); + sky130_fd_sc_hd__o21ba_2 _27932_ ( + .A1(\soc.core.spi_master_cs_storage[14] ), + .A2(_13676_), + .B1_N(_13693_), + .X(_09145_) + ); + sky130_fd_sc_hd__a41o_2 _27933_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12516_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13694_) + ); + sky130_fd_sc_hd__o21ba_2 _27934_ ( + .A1(\soc.core.spi_master_cs_storage[15] ), + .A2(_13676_), + .B1_N(_13694_), + .X(_09146_) + ); + sky130_fd_sc_hd__a41o_2 _27935_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_12518_), + .A4(_13557_), + .B1(\soc.core.int_rst ), + .X(_13695_) + ); + sky130_fd_sc_hd__o21ba_2 _27936_ ( + .A1(\soc.core.spi_master_cs_mode ), + .A2(_13676_), + .B1_N(_13695_), + .X(_09147_) + ); + sky130_fd_sc_hd__a21oi_2 _27937_ ( + .A1(_12654_), + .A2(_13557_), + .B1(\soc.core.spi_master_loopback_storage ), + .Y(_13696_) + ); + sky130_fd_sc_hd__a311oi_2 _27938_ ( + .A1(_12440_), + .A2(_12654_), + .A3(_13557_), + .B1(_13696_), + .C1(\soc.core.int_rst ), + .Y(_09148_) + ); + sky130_fd_sc_hd__o21ba_2 _27939_ ( + .A1(_11536_), + .A2(_13101_), + .B1_N(\soc.core.spi_master_count[0] ), + .X(_13697_) + ); + sky130_fd_sc_hd__nor3b_2 _27940_ ( + .A(_13111_), + .B(_13547_), + .C_N(\soc.core.spi_master_count[0] ), + .Y(_13698_) + ); + sky130_fd_sc_hd__a21boi_2 _27941_ ( + .A1(_11536_), + .A2(\soc.core.spimaster_state[1] ), + .B1_N(_13698_), + .Y(_13699_) + ); + sky130_fd_sc_hd__nor3_2 _27942_ ( + .A(\soc.core.int_rst ), + .B(_13697_), + .C(_13699_), + .Y(_09149_) + ); + sky130_fd_sc_hd__and2b_2 _27943_ ( + .A_N(\soc.core.spimaster_state[1] ), + .B(\soc.core.spimaster_state[0] ), + .X(_13700_) + ); + sky130_fd_sc_hd__nand2b_2 _27944_ ( + .A_N(\soc.core.spimaster_state[1] ), + .B(\soc.core.spimaster_state[0] ), + .Y(_13701_) + ); + sky130_fd_sc_hd__a211oi_2 _27945_ ( + .A1(\soc.core.spi_master_count[1] ), + .A2(_13699_), + .B1(_13700_), + .C1(\soc.core.int_rst ), + .Y(_13702_) + ); + sky130_fd_sc_hd__o21a_2 _27946_ ( + .A1(\soc.core.spi_master_count[1] ), + .A2(_13699_), + .B1(_13702_), + .X(_09150_) + ); + sky130_fd_sc_hd__a21oi_2 _27947_ ( + .A1(\soc.core.spi_master_count[1] ), + .A2(_13699_), + .B1(\soc.core.spi_master_count[2] ), + .Y(_13703_) + ); + sky130_fd_sc_hd__and3_2 _27948_ ( + .A(\soc.core.spi_master_count[2] ), + .B(\soc.core.spi_master_count[1] ), + .C(_13699_), + .X(_13704_) + ); + sky130_fd_sc_hd__nor4_2 _27949_ ( + .A(\soc.core.int_rst ), + .B(_13700_), + .C(_13703_), + .D(_13704_), + .Y(_09151_) + ); + sky130_fd_sc_hd__nand2_2 _27950_ ( + .A(_12799_), + .B(_13557_), + .Y(_13705_) + ); + sky130_fd_sc_hd__mux2_1 _27951_ ( + .A0(_12439_), + .A1(\soc.core.spi_master_mosi_storage[0] ), + .S(_13705_), + .X(_09152_) + ); + sky130_fd_sc_hd__mux2_1 _27952_ ( + .A0(_12441_), + .A1(\soc.core.spi_master_mosi_storage[1] ), + .S(_13705_), + .X(_09153_) + ); + sky130_fd_sc_hd__mux2_1 _27953_ ( + .A0(_12443_), + .A1(\soc.core.spi_master_mosi_storage[2] ), + .S(_13705_), + .X(_09154_) + ); + sky130_fd_sc_hd__mux2_1 _27954_ ( + .A0(_12445_), + .A1(\soc.core.spi_master_mosi_storage[3] ), + .S(_13705_), + .X(_09155_) + ); + sky130_fd_sc_hd__mux2_1 _27955_ ( + .A0(_12447_), + .A1(\soc.core.spi_master_mosi_storage[4] ), + .S(_13705_), + .X(_09156_) + ); + sky130_fd_sc_hd__mux2_1 _27956_ ( + .A0(_12449_), + .A1(\soc.core.spi_master_mosi_storage[5] ), + .S(_13705_), + .X(_09157_) + ); + sky130_fd_sc_hd__mux2_1 _27957_ ( + .A0(_12451_), + .A1(\soc.core.spi_master_mosi_storage[6] ), + .S(_13705_), + .X(_09158_) + ); + sky130_fd_sc_hd__mux2_1 _27958_ ( + .A0(_12453_), + .A1(\soc.core.spi_master_mosi_storage[7] ), + .S(_13705_), + .X(_09159_) + ); + sky130_fd_sc_hd__and3_2 _27959_ ( + .A(\soc.core.spi_master_control_storage[0] ), + .B(\soc.core.spi_master_control_re ), + .C(_13111_), + .X(_13706_) + ); + sky130_fd_sc_hd__nand3_2 _27960_ ( + .A(\soc.core.spi_master_control_storage[0] ), + .B(\soc.core.spi_master_control_re ), + .C(_13111_), + .Y(_13707_) + ); + sky130_fd_sc_hd__a31o_2 _27961_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .A3(_13111_), + .B1(\soc.core.spi_master_mosi_data[0] ), + .X(_13708_) + ); + sky130_fd_sc_hd__o211a_2 _27962_ ( + .A1(_13707_), + .A2(\soc.core.spi_master_mosi_storage[0] ), + .B1(_10904_), + .C1(_13708_), + .X(_09160_) + ); + sky130_fd_sc_hd__a31o_2 _27963_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .A3(_13111_), + .B1(\soc.core.spi_master_mosi_data[1] ), + .X(_13709_) + ); + sky130_fd_sc_hd__o211a_2 _27964_ ( + .A1(_13707_), + .A2(\soc.core.spi_master_mosi_storage[1] ), + .B1(_10904_), + .C1(_13709_), + .X(_09161_) + ); + sky130_fd_sc_hd__a31o_2 _27965_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .A3(_13111_), + .B1(\soc.core.spi_master_mosi_data[2] ), + .X(_13710_) + ); + sky130_fd_sc_hd__o211a_2 _27966_ ( + .A1(_13707_), + .A2(\soc.core.spi_master_mosi_storage[2] ), + .B1(_10904_), + .C1(_13710_), + .X(_09162_) + ); + sky130_fd_sc_hd__a31o_2 _27967_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .A3(_13111_), + .B1(\soc.core.spi_master_mosi_data[3] ), + .X(_13711_) + ); + sky130_fd_sc_hd__o211a_2 _27968_ ( + .A1(_13707_), + .A2(\soc.core.spi_master_mosi_storage[3] ), + .B1(_10904_), + .C1(_13711_), + .X(_09163_) + ); + sky130_fd_sc_hd__a31o_2 _27969_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .A3(_13111_), + .B1(\soc.core.spi_master_mosi_data[4] ), + .X(_13712_) + ); + sky130_fd_sc_hd__o211a_2 _27970_ ( + .A1(_13707_), + .A2(\soc.core.spi_master_mosi_storage[4] ), + .B1(_10904_), + .C1(_13712_), + .X(_09164_) + ); + sky130_fd_sc_hd__a31o_2 _27971_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .A3(_13111_), + .B1(\soc.core.spi_master_mosi_data[5] ), + .X(_13713_) + ); + sky130_fd_sc_hd__o211a_2 _27972_ ( + .A1(_13707_), + .A2(\soc.core.spi_master_mosi_storage[5] ), + .B1(_10904_), + .C1(_13713_), + .X(_09165_) + ); + sky130_fd_sc_hd__a31o_2 _27973_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .A3(_13111_), + .B1(\soc.core.spi_master_mosi_data[6] ), + .X(_13714_) + ); + sky130_fd_sc_hd__o211a_2 _27974_ ( + .A1(_13707_), + .A2(\soc.core.spi_master_mosi_storage[6] ), + .B1(_10904_), + .C1(_13714_), + .X(_09166_) + ); + sky130_fd_sc_hd__a31o_2 _27975_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .A3(_13111_), + .B1(\soc.core.spi_master_mosi_data[7] ), + .X(_13715_) + ); + sky130_fd_sc_hd__o211a_2 _27976_ ( + .A1(_13707_), + .A2(\soc.core.spi_master_mosi_storage[7] ), + .B1(_10904_), + .C1(_13715_), + .X(_09167_) + ); + sky130_fd_sc_hd__nand2_2 _27977_ ( + .A(_11536_), + .B(\soc.core.spi_master_mosi_sel[0] ), + .Y(_13716_) + ); + sky130_fd_sc_hd__o211a_2 _27978_ ( + .A1(\soc.core.spi_master_mosi_sel[0] ), + .A2(_11536_), + .B1(_13707_), + .C1(_13716_), + .X(_13717_) + ); + sky130_fd_sc_hd__nor2_2 _27979_ ( + .A(\soc.core.int_rst ), + .B(_13717_), + .Y(_09168_) + ); + sky130_fd_sc_hd__o21ba_2 _27980_ ( + .A1(_03033_), + .A2(_03034_), + .B1_N(_11536_), + .X(_13718_) + ); + sky130_fd_sc_hd__and3_2 _27981_ ( + .A(_11536_), + .B(_13707_), + .C(\soc.core.spi_master_mosi_sel[1] ), + .X(_13719_) + ); + sky130_fd_sc_hd__o31a_2 _27982_ ( + .A1(_13706_), + .A2(_13718_), + .A3(_13719_), + .B1(_10904_), + .X(_09169_) + ); + sky130_fd_sc_hd__o31ai_2 _27983_ ( + .A1(\soc.core.spi_master_mosi_sel[1] ), + .A2(\soc.core.spi_master_mosi_sel[0] ), + .A3(_11536_), + .B1(\soc.core.spi_master_mosi_sel[2] ), + .Y(_13720_) + ); + sky130_fd_sc_hd__nand2b_2 _27984_ ( + .A_N(_11536_), + .B(_12331_), + .Y(_13721_) + ); + sky130_fd_sc_hd__a31oi_2 _27985_ ( + .A1(_13707_), + .A2(_13720_), + .A3(_13721_), + .B1(\soc.core.int_rst ), + .Y(_09170_) + ); + sky130_fd_sc_hd__mux2_1 _27986_ ( + .A0(\soc.core.spi_master_miso_data[0] ), + .A1(_00220_), + .S(_13108_), + .X(_13722_) + ); + sky130_fd_sc_hd__and2_4 _27987_ ( + .A(_13722_), + .B(_10904_), + .X(_09171_) + ); + sky130_fd_sc_hd__mux2_1 _27988_ ( + .A0(\soc.core.spi_master_miso_data[1] ), + .A1(\soc.core.spi_master_miso_data[0] ), + .S(_13108_), + .X(_13723_) + ); + sky130_fd_sc_hd__and2_4 _27989_ ( + .A(_13723_), + .B(_10904_), + .X(_09172_) + ); + sky130_fd_sc_hd__mux2_1 _27990_ ( + .A0(\soc.core.spi_master_miso_data[2] ), + .A1(\soc.core.spi_master_miso_data[1] ), + .S(_13108_), + .X(_13724_) + ); + sky130_fd_sc_hd__and2_4 _27991_ ( + .A(_13724_), + .B(_10904_), + .X(_09173_) + ); + sky130_fd_sc_hd__mux2_1 _27992_ ( + .A0(\soc.core.spi_master_miso_data[3] ), + .A1(\soc.core.spi_master_miso_data[2] ), + .S(_13108_), + .X(_13725_) + ); + sky130_fd_sc_hd__and2_4 _27993_ ( + .A(_13725_), + .B(_10904_), + .X(_09174_) + ); + sky130_fd_sc_hd__mux2_1 _27994_ ( + .A0(\soc.core.spi_master_miso_data[4] ), + .A1(\soc.core.spi_master_miso_data[3] ), + .S(_13108_), + .X(_13726_) + ); + sky130_fd_sc_hd__and2_4 _27995_ ( + .A(_13726_), + .B(_10904_), + .X(_09175_) + ); + sky130_fd_sc_hd__mux2_1 _27996_ ( + .A0(\soc.core.spi_master_miso_data[5] ), + .A1(\soc.core.spi_master_miso_data[4] ), + .S(_13108_), + .X(_13727_) + ); + sky130_fd_sc_hd__and2_4 _27997_ ( + .A(_13727_), + .B(_10904_), + .X(_09176_) + ); + sky130_fd_sc_hd__mux2_1 _27998_ ( + .A0(\soc.core.spi_master_miso_data[6] ), + .A1(\soc.core.spi_master_miso_data[5] ), + .S(_13108_), + .X(_13728_) + ); + sky130_fd_sc_hd__and2_4 _27999_ ( + .A(_13728_), + .B(_10904_), + .X(_09177_) + ); + sky130_fd_sc_hd__mux2_1 _28000_ ( + .A0(\soc.core.spi_master_miso_data[7] ), + .A1(\soc.core.spi_master_miso_data[6] ), + .S(_13108_), + .X(_13729_) + ); + sky130_fd_sc_hd__and2_4 _28001_ ( + .A(_13729_), + .B(_10904_), + .X(_09178_) + ); + sky130_fd_sc_hd__and4_2 _28002_ ( + .A(_11002_), + .B(_11028_), + .C(_12691_), + .D(_13560_), + .X(_13730_) + ); + sky130_fd_sc_hd__nand2_2 _28003_ ( + .A(_13730_), + .B(_12440_), + .Y(_13731_) + ); + sky130_fd_sc_hd__o211a_2 _28004_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_13730_), + .B1(_13731_), + .C1(_10904_), + .X(_09179_) + ); + sky130_fd_sc_hd__nand2_2 _28005_ ( + .A(_13730_), + .B(_12442_), + .Y(_13732_) + ); + sky130_fd_sc_hd__o211a_2 _28006_ ( + .A1(\soc.core.spimaster_storage[1] ), + .A2(_13730_), + .B1(_13732_), + .C1(_10904_), + .X(_09180_) + ); + sky130_fd_sc_hd__o31a_2 _28007_ ( + .A1(_11015_), + .A2(_12689_), + .A3(_13558_), + .B1(\soc.core.spimaster_storage[2] ), + .X(_13733_) + ); + sky130_fd_sc_hd__a211o_2 _28008_ ( + .A1(_12443_), + .A2(_13730_), + .B1(_13733_), + .C1(\soc.core.int_rst ), + .X(_09181_) + ); + sky130_fd_sc_hd__nand2_2 _28009_ ( + .A(_13730_), + .B(_12446_), + .Y(_13734_) + ); + sky130_fd_sc_hd__o211a_2 _28010_ ( + .A1(\soc.core.spimaster_storage[3] ), + .A2(_13730_), + .B1(_13734_), + .C1(_10904_), + .X(_09182_) + ); + sky130_fd_sc_hd__nand2_2 _28011_ ( + .A(_13730_), + .B(_12448_), + .Y(_13735_) + ); + sky130_fd_sc_hd__o211a_2 _28012_ ( + .A1(\soc.core.spimaster_storage[4] ), + .A2(_13730_), + .B1(_13735_), + .C1(_10904_), + .X(_09183_) + ); + sky130_fd_sc_hd__o31a_2 _28013_ ( + .A1(_11015_), + .A2(_12689_), + .A3(_13558_), + .B1(\soc.core.spimaster_storage[5] ), + .X(_13736_) + ); + sky130_fd_sc_hd__a211o_2 _28014_ ( + .A1(_12449_), + .A2(_13730_), + .B1(_13736_), + .C1(\soc.core.int_rst ), + .X(_09184_) + ); + sky130_fd_sc_hd__o31a_2 _28015_ ( + .A1(_11015_), + .A2(_12689_), + .A3(_13558_), + .B1(\soc.core.spimaster_storage[6] ), + .X(_13737_) + ); + sky130_fd_sc_hd__a211o_2 _28016_ ( + .A1(_12451_), + .A2(_13730_), + .B1(_13737_), + .C1(\soc.core.int_rst ), + .X(_09185_) + ); + sky130_fd_sc_hd__nand2_2 _28017_ ( + .A(_13730_), + .B(_12454_), + .Y(_13738_) + ); + sky130_fd_sc_hd__o211a_2 _28018_ ( + .A1(\soc.core.spimaster_storage[7] ), + .A2(_13730_), + .B1(_13738_), + .C1(_10904_), + .X(_09186_) + ); + sky130_fd_sc_hd__nand2_2 _28019_ ( + .A(_13730_), + .B(_12498_), + .Y(_13739_) + ); + sky130_fd_sc_hd__o211a_2 _28020_ ( + .A1(\soc.core.spimaster_storage[8] ), + .A2(_13730_), + .B1(_13739_), + .C1(_10904_), + .X(_09187_) + ); + sky130_fd_sc_hd__nand2_2 _28021_ ( + .A(_13730_), + .B(_12501_), + .Y(_13740_) + ); + sky130_fd_sc_hd__o211a_2 _28022_ ( + .A1(\soc.core.spimaster_storage[9] ), + .A2(_13730_), + .B1(_13740_), + .C1(_10904_), + .X(_09188_) + ); + sky130_fd_sc_hd__nand2_2 _28023_ ( + .A(_13730_), + .B(_12504_), + .Y(_13741_) + ); + sky130_fd_sc_hd__o211a_2 _28024_ ( + .A1(\soc.core.spimaster_storage[10] ), + .A2(_13730_), + .B1(_13741_), + .C1(_10904_), + .X(_09189_) + ); + sky130_fd_sc_hd__nand2_2 _28025_ ( + .A(_13730_), + .B(_12506_), + .Y(_13742_) + ); + sky130_fd_sc_hd__o211a_2 _28026_ ( + .A1(\soc.core.spimaster_storage[11] ), + .A2(_13730_), + .B1(_13742_), + .C1(_10904_), + .X(_09190_) + ); + sky130_fd_sc_hd__nand2_2 _28027_ ( + .A(_13730_), + .B(_12509_), + .Y(_13743_) + ); + sky130_fd_sc_hd__o211a_2 _28028_ ( + .A1(\soc.core.spimaster_storage[12] ), + .A2(_13730_), + .B1(_13743_), + .C1(_10904_), + .X(_09191_) + ); + sky130_fd_sc_hd__nand2_2 _28029_ ( + .A(_13730_), + .B(_12511_), + .Y(_13744_) + ); + sky130_fd_sc_hd__o211a_2 _28030_ ( + .A1(\soc.core.spimaster_storage[13] ), + .A2(_13730_), + .B1(_13744_), + .C1(_10904_), + .X(_09192_) + ); + sky130_fd_sc_hd__nand2_2 _28031_ ( + .A(_13730_), + .B(_12514_), + .Y(_13745_) + ); + sky130_fd_sc_hd__o211a_2 _28032_ ( + .A1(\soc.core.spimaster_storage[14] ), + .A2(_13730_), + .B1(_13745_), + .C1(_10904_), + .X(_09193_) + ); + sky130_fd_sc_hd__nand2_2 _28033_ ( + .A(_13730_), + .B(_12516_), + .Y(_13746_) + ); + sky130_fd_sc_hd__o211a_2 _28034_ ( + .A1(\soc.core.spimaster_storage[15] ), + .A2(_13730_), + .B1(_13746_), + .C1(_10904_), + .X(_09194_) + ); + sky130_fd_sc_hd__nand4_2 _28035_ ( + .A(_12440_), + .B(_12433_), + .C(_12391_), + .D(_11017_), + .Y(_13747_) + ); + sky130_fd_sc_hd__a31o_2 _28036_ ( + .A1(_11017_), + .A2(_12391_), + .A3(_12433_), + .B1(\soc.core.mprj_wb_iena_storage ), + .X(_13748_) + ); + sky130_fd_sc_hd__and3_2 _28037_ ( + .A(_10904_), + .B(_13747_), + .C(_13748_), + .X(_09195_) + ); + sky130_fd_sc_hd__nand2b_2 _28038_ ( + .A_N(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.rs232phy_rs232phytx_state ), + .Y(_13749_) + ); + sky130_fd_sc_hd__and3b_2 _28039_ ( + .A_N(\soc.core.uart_phy_tx_tick ), + .B(\soc.core.rs232phy_rs232phytx_state ), + .C(\soc.core.sys_uart_tx ), + .X(_13750_) + ); + sky130_fd_sc_hd__a211o_2 _28040_ ( + .A1(_13749_), + .A2(\soc.core.sys_uart_tx_rs232phy_rs232phytx_next_value1 ), + .B1(\soc.core.int_rst ), + .C1(_13750_), + .X(_09196_) + ); + sky130_fd_sc_hd__and2b_2 _28041_ ( + .A_N(\soc.core.int_rst ), + .B(_00204_), + .X(_09197_) + ); + sky130_fd_sc_hd__and2b_2 _28042_ ( + .A_N(\soc.core.int_rst ), + .B(_00211_), + .X(_09198_) + ); + sky130_fd_sc_hd__and2b_2 _28043_ ( + .A_N(\soc.core.int_rst ), + .B(_00212_), + .X(_09199_) + ); + sky130_fd_sc_hd__and2b_2 _28044_ ( + .A_N(\soc.core.int_rst ), + .B(_00213_), + .X(_09200_) + ); + sky130_fd_sc_hd__and2b_2 _28045_ ( + .A_N(\soc.core.int_rst ), + .B(_00214_), + .X(_09201_) + ); + sky130_fd_sc_hd__and2b_2 _28046_ ( + .A_N(\soc.core.int_rst ), + .B(_00215_), + .X(_09202_) + ); + sky130_fd_sc_hd__and2b_2 _28047_ ( + .A_N(\soc.core.int_rst ), + .B(_00216_), + .X(_09203_) + ); + sky130_fd_sc_hd__and2b_2 _28048_ ( + .A_N(\soc.core.int_rst ), + .B(_00217_), + .X(_09204_) + ); + sky130_fd_sc_hd__and2b_2 _28049_ ( + .A_N(\soc.core.int_rst ), + .B(_00218_), + .X(_09205_) + ); + sky130_fd_sc_hd__and2b_2 _28050_ ( + .A_N(\soc.core.int_rst ), + .B(_00219_), + .X(_09206_) + ); + sky130_fd_sc_hd__and2b_2 _28051_ ( + .A_N(\soc.core.int_rst ), + .B(_00205_), + .X(_09207_) + ); + sky130_fd_sc_hd__and2b_2 _28052_ ( + .A_N(\soc.core.int_rst ), + .B(_00206_), + .X(_09208_) + ); + sky130_fd_sc_hd__and2b_2 _28053_ ( + .A_N(\soc.core.int_rst ), + .B(_00207_), + .X(_09209_) + ); + sky130_fd_sc_hd__and2b_2 _28054_ ( + .A_N(\soc.core.int_rst ), + .B(_00208_), + .X(_09210_) + ); + sky130_fd_sc_hd__and2b_2 _28055_ ( + .A_N(\soc.core.int_rst ), + .B(_00209_), + .X(_09211_) + ); + sky130_fd_sc_hd__and2b_2 _28056_ ( + .A_N(\soc.core.int_rst ), + .B(_00210_), + .X(_09212_) + ); + sky130_fd_sc_hd__o21ai_2 _28057_ ( + .A1(\soc.core.uart_phy_tx_count[0] ), + .A2(\soc.core.uart_phy_tx_tick ), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_13751_) + ); + sky130_fd_sc_hd__a21oi_2 _28058_ ( + .A1(\soc.core.uart_phy_tx_count[0] ), + .A2(\soc.core.uart_phy_tx_tick ), + .B1(_13751_), + .Y(_09213_) + ); + sky130_fd_sc_hd__and3_2 _28059_ ( + .A(\soc.core.uart_phy_tx_count[0] ), + .B(\soc.core.uart_phy_tx_tick ), + .C(\soc.core.uart_phy_tx_count[1] ), + .X(_13752_) + ); + sky130_fd_sc_hd__o21ai_2 _28060_ ( + .A1(\soc.core.uart_phy_tx_count[1] ), + .A2(_11136_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_13753_) + ); + sky130_fd_sc_hd__a21oi_2 _28061_ ( + .A1(\soc.core.uart_phy_tx_count[1] ), + .A2(_11136_), + .B1(_13753_), + .Y(_09214_) + ); + sky130_fd_sc_hd__nand2_2 _28062_ ( + .A(\soc.core.uart_phy_tx_count[2] ), + .B(_13752_), + .Y(_13754_) + ); + sky130_fd_sc_hd__a31o_2 _28063_ ( + .A1(\soc.core.uart_phy_tx_count[0] ), + .A2(\soc.core.uart_phy_tx_tick ), + .A3(\soc.core.uart_phy_tx_count[1] ), + .B1(\soc.core.uart_phy_tx_count[2] ), + .X(_13755_) + ); + sky130_fd_sc_hd__and3_2 _28064_ ( + .A(_13754_), + .B(_13755_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .X(_09215_) + ); + sky130_fd_sc_hd__and4b_2 _28065_ ( + .A_N(\soc.core.uart_phy_tx_count[3] ), + .B(\soc.core.uart_phy_tx_count[2] ), + .C(\soc.core.uart_phy_tx_count[1] ), + .D(\soc.core.uart_phy_tx_count[0] ), + .X(_13756_) + ); + sky130_fd_sc_hd__and3_2 _28066_ ( + .A(_13754_), + .B(\soc.core.rs232phy_rs232phytx_state ), + .C(\soc.core.uart_phy_tx_count[3] ), + .X(_13757_) + ); + sky130_fd_sc_hd__a31o_2 _28067_ ( + .A1(\soc.core.uart_phy_tx_tick ), + .A2(\soc.core.rs232phy_rs232phytx_state ), + .A3(_13756_), + .B1(_13757_), + .X(_09216_) + ); + sky130_fd_sc_hd__mux2_1 _28068_ ( + .A0(\soc.core.uart_phy_tx_data[0] ), + .A1(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[0] ), + .S(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ), + .X(_09217_) + ); + sky130_fd_sc_hd__mux2_1 _28069_ ( + .A0(\soc.core.uart_phy_tx_data[1] ), + .A1(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[1] ), + .S(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ), + .X(_09218_) + ); + sky130_fd_sc_hd__mux2_1 _28070_ ( + .A0(\soc.core.uart_phy_tx_data[2] ), + .A1(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[2] ), + .S(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ), + .X(_09219_) + ); + sky130_fd_sc_hd__mux2_1 _28071_ ( + .A0(\soc.core.uart_phy_tx_data[3] ), + .A1(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[3] ), + .S(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ), + .X(_09220_) + ); + sky130_fd_sc_hd__mux2_1 _28072_ ( + .A0(\soc.core.uart_phy_tx_data[4] ), + .A1(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[4] ), + .S(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ), + .X(_09221_) + ); + sky130_fd_sc_hd__mux2_1 _28073_ ( + .A0(\soc.core.uart_phy_tx_data[5] ), + .A1(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[5] ), + .S(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ), + .X(_09222_) + ); + sky130_fd_sc_hd__mux2_1 _28074_ ( + .A0(\soc.core.uart_phy_tx_data[6] ), + .A1(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[6] ), + .S(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ), + .X(_09223_) + ); + sky130_fd_sc_hd__mux2_1 _28075_ ( + .A0(\soc.core.uart_phy_tx_data[7] ), + .A1(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value2[7] ), + .S(\soc.core.uart_phy_tx_data_rs232phy_rs232phytx_next_value_ce2 ), + .X(_09224_) + ); + sky130_fd_sc_hd__and3_2 _28076_ ( + .A(\soc.core.uart_phy_tx_phase[5] ), + .B(\soc.core.uart_phy_tx_phase[6] ), + .C(\soc.core.uart_phy_tx_phase[7] ), + .X(_13758_) + ); + sky130_fd_sc_hd__and4_2 _28077_ ( + .A(\soc.core.uart_phy_tx_phase[5] ), + .B(\soc.core.uart_phy_tx_phase[6] ), + .C(\soc.core.uart_phy_tx_phase[7] ), + .D(\soc.core.uart_phy_tx_phase[8] ), + .X(_13759_) + ); + sky130_fd_sc_hd__nand4_2 _28078_ ( + .A(\soc.core.uart_phy_tx_phase[5] ), + .B(\soc.core.uart_phy_tx_phase[6] ), + .C(\soc.core.uart_phy_tx_phase[7] ), + .D(\soc.core.uart_phy_tx_phase[8] ), + .Y(_13760_) + ); + sky130_fd_sc_hd__nand2b_2 _28079_ ( + .A_N(\soc.core.uart_phy_tx_phase[9] ), + .B(_13760_), + .Y(_13761_) + ); + sky130_fd_sc_hd__a21oi_2 _28080_ ( + .A1(_13761_), + .A2(\soc.core.uart_phy_tx_phase[10] ), + .B1(\soc.core.uart_phy_tx_phase[11] ), + .Y(_13762_) + ); + sky130_fd_sc_hd__and2b_2 _28081_ ( + .A_N(_13762_), + .B(\soc.core.uart_phy_tx_phase[12] ), + .X(_13763_) + ); + sky130_fd_sc_hd__nor4_2 _28082_ ( + .A(\soc.core.uart_phy_tx_phase[13] ), + .B(\soc.core.uart_phy_tx_phase[14] ), + .C(\soc.core.uart_phy_tx_phase[15] ), + .D(_13763_), + .Y(_13764_) + ); + sky130_fd_sc_hd__o41a_2 _28083_ ( + .A1(\soc.core.uart_phy_tx_phase[13] ), + .A2(\soc.core.uart_phy_tx_phase[14] ), + .A3(\soc.core.uart_phy_tx_phase[15] ), + .A4(_13763_), + .B1(\soc.core.uart_phy_tx_phase[16] ), + .X(_13765_) + ); + sky130_fd_sc_hd__o41ai_2 _28084_ ( + .A1(\soc.core.uart_phy_tx_phase[13] ), + .A2(\soc.core.uart_phy_tx_phase[14] ), + .A3(\soc.core.uart_phy_tx_phase[15] ), + .A4(_13763_), + .B1(\soc.core.uart_phy_tx_phase[16] ), + .Y(_13766_) + ); + sky130_fd_sc_hd__nor2_2 _28085_ ( + .A(\soc.core.uart_phy_tx_phase[17] ), + .B(\soc.core.uart_phy_tx_phase[18] ), + .Y(_13767_) + ); + sky130_fd_sc_hd__nand2_2 _28086_ ( + .A(_13766_), + .B(_13767_), + .Y(_13768_) + ); + sky130_fd_sc_hd__nand3b_2 _28087_ ( + .A_N(\soc.core.uart_phy_tx_phase[19] ), + .B(_13766_), + .C(_13767_), + .Y(_13769_) + ); + sky130_fd_sc_hd__nor4_2 _28088_ ( + .A(\soc.core.uart_phy_tx_phase[19] ), + .B(\soc.core.uart_phy_tx_phase[20] ), + .C(\soc.core.uart_phy_tx_phase[21] ), + .D(_13768_), + .Y(_13770_) + ); + sky130_fd_sc_hd__o31ai_2 _28089_ ( + .A1(\soc.core.uart_phy_tx_phase[20] ), + .A2(\soc.core.uart_phy_tx_phase[21] ), + .A3(_13769_), + .B1(\soc.core.uart_phy_tx_phase[22] ), + .Y(_13771_) + ); + sky130_fd_sc_hd__o311a_2 _28090_ ( + .A1(\soc.core.uart_phy_tx_phase[20] ), + .A2(\soc.core.uart_phy_tx_phase[21] ), + .A3(_13769_), + .B1(\soc.core.uart_phy_tx_phase[23] ), + .C1(\soc.core.uart_phy_tx_phase[22] ), + .X(_13772_) + ); + sky130_fd_sc_hd__and3_2 _28091_ ( + .A(\soc.core.uart_phy_tx_phase[22] ), + .B(\soc.core.uart_phy_tx_phase[23] ), + .C(\soc.core.uart_phy_tx_phase[24] ), + .X(_13773_) + ); + sky130_fd_sc_hd__o311a_2 _28092_ ( + .A1(\soc.core.uart_phy_tx_phase[20] ), + .A2(\soc.core.uart_phy_tx_phase[21] ), + .A3(_13769_), + .B1(_13773_), + .C1(\soc.core.uart_phy_tx_phase[25] ), + .X(_13774_) + ); + sky130_fd_sc_hd__and3_2 _28093_ ( + .A(\soc.core.uart_phy_tx_phase[26] ), + .B(\soc.core.uart_phy_tx_phase[27] ), + .C(_13774_), + .X(_13775_) + ); + sky130_fd_sc_hd__nand3_2 _28094_ ( + .A(\soc.core.uart_phy_tx_phase[28] ), + .B(\soc.core.uart_phy_tx_phase[29] ), + .C(_13775_), + .Y(_13776_) + ); + sky130_fd_sc_hd__nand4_2 _28095_ ( + .A(\soc.core.uart_phy_tx_phase[28] ), + .B(\soc.core.uart_phy_tx_phase[29] ), + .C(\soc.core.uart_phy_tx_phase[30] ), + .D(_13775_), + .Y(_13777_) + ); + sky130_fd_sc_hd__nor4b_2 _28096_ ( + .A(\soc.core.int_rst ), + .B(_10917_), + .C(_13777_), + .D_N(\soc.core.rs232phy_rs232phytx_state ), + .Y(_09225_) + ); + sky130_fd_sc_hd__a21o_2 _28097_ ( + .A1(\soc.core.uart_phy_rx_data[0] ), + .A2(_13007_), + .B1(_13014_), + .X(_09226_) + ); + sky130_fd_sc_hd__a21o_2 _28098_ ( + .A1(\soc.core.uart_phy_rx_data[1] ), + .A2(_13007_), + .B1(_13016_), + .X(_09227_) + ); + sky130_fd_sc_hd__a21o_2 _28099_ ( + .A1(\soc.core.uart_phy_rx_data[2] ), + .A2(_13007_), + .B1(_13018_), + .X(_09228_) + ); + sky130_fd_sc_hd__a21o_2 _28100_ ( + .A1(\soc.core.uart_phy_rx_data[3] ), + .A2(_13007_), + .B1(_13020_), + .X(_09229_) + ); + sky130_fd_sc_hd__a21o_2 _28101_ ( + .A1(\soc.core.uart_phy_rx_data[4] ), + .A2(_13007_), + .B1(_13022_), + .X(_09230_) + ); + sky130_fd_sc_hd__a21o_2 _28102_ ( + .A1(\soc.core.uart_phy_rx_data[5] ), + .A2(_13007_), + .B1(_13023_), + .X(_09231_) + ); + sky130_fd_sc_hd__mux2_1 _28103_ ( + .A0(\soc.core.uart_phy_rx_data[7] ), + .A1(\soc.core.uart_phy_rx_data[6] ), + .S(_13007_), + .X(_09232_) + ); + sky130_fd_sc_hd__mux2_1 _28104_ ( + .A0(\soc.core.multiregimpl0_regs1 ), + .A1(\soc.core.uart_phy_rx_data[7] ), + .S(_13007_), + .X(_09233_) + ); + sky130_fd_sc_hd__a21boi_2 _28105_ ( + .A1(\soc.core.uart_phy_rx_count[0] ), + .A2(\soc.core.uart_phy_rx_tick ), + .B1_N(\soc.core.rs232phy_rs232phyrx_state ), + .Y(_13778_) + ); + sky130_fd_sc_hd__o21a_2 _28106_ ( + .A1(\soc.core.uart_phy_rx_count[0] ), + .A2(\soc.core.uart_phy_rx_tick ), + .B1(_13778_), + .X(_09234_) + ); + sky130_fd_sc_hd__a21o_2 _28107_ ( + .A1(\soc.core.uart_phy_rx_count[0] ), + .A2(\soc.core.uart_phy_rx_tick ), + .B1(\soc.core.uart_phy_rx_count[1] ), + .X(_13779_) + ); + sky130_fd_sc_hd__nand3_2 _28108_ ( + .A(\soc.core.uart_phy_rx_count[0] ), + .B(\soc.core.uart_phy_rx_tick ), + .C(\soc.core.uart_phy_rx_count[1] ), + .Y(_13780_) + ); + sky130_fd_sc_hd__and3_2 _28109_ ( + .A(_13779_), + .B(_13780_), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_09235_) + ); + sky130_fd_sc_hd__a31o_2 _28110_ ( + .A1(\soc.core.uart_phy_rx_count[0] ), + .A2(\soc.core.uart_phy_rx_tick ), + .A3(\soc.core.uart_phy_rx_count[1] ), + .B1(\soc.core.uart_phy_rx_count[2] ), + .X(_13781_) + ); + sky130_fd_sc_hd__nand4_2 _28111_ ( + .A(\soc.core.uart_phy_rx_count[0] ), + .B(\soc.core.uart_phy_rx_tick ), + .C(\soc.core.uart_phy_rx_count[1] ), + .D(\soc.core.uart_phy_rx_count[2] ), + .Y(_13782_) + ); + sky130_fd_sc_hd__and3_2 _28112_ ( + .A(_13781_), + .B(_13782_), + .C(\soc.core.rs232phy_rs232phyrx_state ), + .X(_09236_) + ); + sky130_fd_sc_hd__and4b_2 _28113_ ( + .A_N(\soc.core.uart_phy_rx_count[3] ), + .B(\soc.core.uart_phy_rx_count[2] ), + .C(\soc.core.uart_phy_rx_count[1] ), + .D(\soc.core.uart_phy_rx_count[0] ), + .X(_13783_) + ); + sky130_fd_sc_hd__and3_2 _28114_ ( + .A(_13782_), + .B(\soc.core.rs232phy_rs232phyrx_state ), + .C(\soc.core.uart_phy_rx_count[3] ), + .X(_13784_) + ); + sky130_fd_sc_hd__a31o_2 _28115_ ( + .A1(\soc.core.uart_phy_rx_tick ), + .A2(\soc.core.rs232phy_rs232phyrx_state ), + .A3(_13783_), + .B1(_13784_), + .X(_09237_) + ); + sky130_fd_sc_hd__and4_2 _28116_ ( + .A(\soc.core.rs232phy_rs232phyrx_state ), + .B(_13092_), + .C(_10904_), + .D(\soc.core.uart_phy_rx_phase[31] ), + .X(_09238_) + ); + sky130_fd_sc_hd__nand2_2 _28117_ ( + .A(\soc.core.uart_pending_r[0] ), + .B(\soc.core.uart_pending_re ), + .Y(_13785_) + ); + sky130_fd_sc_hd__nor2_2 _28118_ ( + .A(\soc.core.int_rst ), + .B(_12430_), + .Y(_09242_) + ); + sky130_fd_sc_hd__nor3_2 _28119_ ( + .A(\soc.core.int_rst ), + .B(\soc.core.uart_tx_trigger_d ), + .C(_12430_), + .Y(_13786_) + ); + sky130_fd_sc_hd__a31o_2 _28120_ ( + .A1(\soc.core.uart_tx_pending ), + .A2(_10904_), + .A3(_13785_), + .B1(_13786_), + .X(_09239_) + ); + sky130_fd_sc_hd__and2b_2 _28121_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.multiregimpl0_regs1 ), + .X(_09240_) + ); + sky130_fd_sc_hd__and2b_2 _28122_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.uart_rx_fifo_readable ), + .X(_09243_) + ); + sky130_fd_sc_hd__and3b_2 _28123_ ( + .A_N(\soc.core.uart_rx_trigger_d ), + .B(\soc.core.uart_rx_fifo_readable ), + .C(_10904_), + .X(_13787_) + ); + sky130_fd_sc_hd__nand2_2 _28124_ ( + .A(\soc.core.uart_pending_r[1] ), + .B(\soc.core.uart_pending_re ), + .Y(_13788_) + ); + sky130_fd_sc_hd__a31o_2 _28125_ ( + .A1(\soc.core.uart_rx_pending ), + .A2(_10904_), + .A3(_13788_), + .B1(_13787_), + .X(_09241_) + ); + sky130_fd_sc_hd__and3_2 _28126_ ( + .A(_11014_), + .B(_12427_), + .C(_13675_), + .X(_13789_) + ); + sky130_fd_sc_hd__a41o_2 _28127_ ( + .A1(_12440_), + .A2(_13675_), + .A3(_11014_), + .A4(_12427_), + .B1(\soc.core.int_rst ), + .X(_13790_) + ); + sky130_fd_sc_hd__o21ba_2 _28128_ ( + .A1(\soc.core.uart_pending_r[0] ), + .A2(_13789_), + .B1_N(_13790_), + .X(_09244_) + ); + sky130_fd_sc_hd__a41o_2 _28129_ ( + .A1(_12442_), + .A2(_13675_), + .A3(_11014_), + .A4(_12427_), + .B1(\soc.core.int_rst ), + .X(_13791_) + ); + sky130_fd_sc_hd__o21ba_2 _28130_ ( + .A1(\soc.core.uart_pending_r[1] ), + .A2(_13789_), + .B1_N(_13791_), + .X(_09245_) + ); + sky130_fd_sc_hd__and3_2 _28131_ ( + .A(_11014_), + .B(_12427_), + .C(_12653_), + .X(_13792_) + ); + sky130_fd_sc_hd__a31o_2 _28132_ ( + .A1(_12427_), + .A2(_12654_), + .A3(_12440_), + .B1(\soc.core.int_rst ), + .X(_13793_) + ); + sky130_fd_sc_hd__o21ba_2 _28133_ ( + .A1(\soc.core.uart_tx2 ), + .A2(_13792_), + .B1_N(_13793_), + .X(_09246_) + ); + sky130_fd_sc_hd__a31o_2 _28134_ ( + .A1(_12427_), + .A2(_12654_), + .A3(_12442_), + .B1(\soc.core.int_rst ), + .X(_13794_) + ); + sky130_fd_sc_hd__o21ba_2 _28135_ ( + .A1(\soc.core.uart_rx2 ), + .A2(_13792_), + .B1_N(_13794_), + .X(_09247_) + ); + sky130_fd_sc_hd__a21oi_2 _28136_ ( + .A1(_12455_), + .A2(_12456_), + .B1(\soc.core.int_rst ), + .Y(_09248_) + ); + sky130_fd_sc_hd__nor2_2 _28137_ ( + .A(_12458_), + .B(_12437_), + .Y(_13795_) + ); + sky130_fd_sc_hd__and4_2 _28138_ ( + .A(_12427_), + .B(_12433_), + .C(_12458_), + .D(_12431_), + .X(_13796_) + ); + sky130_fd_sc_hd__nand4_2 _28139_ ( + .A(_12427_), + .B(_12433_), + .C(_12458_), + .D(_12431_), + .Y(_13797_) + ); + sky130_fd_sc_hd__nand2b_2 _28140_ ( + .A_N(_13795_), + .B(_13797_), + .Y(_13798_) + ); + sky130_fd_sc_hd__a21oi_2 _28141_ ( + .A1(_13798_), + .A2(\soc.core.uart_tx_fifo_level0[0] ), + .B1(\soc.core.int_rst ), + .Y(_13799_) + ); + sky130_fd_sc_hd__o31a_2 _28142_ ( + .A1(\soc.core.uart_tx_fifo_level0[0] ), + .A2(_13795_), + .A3(_13796_), + .B1(_13799_), + .X(_09249_) + ); + sky130_fd_sc_hd__a21boi_2 _28143_ ( + .A1(_12427_), + .A2(_12433_), + .B1_N(\soc.core.uart_tx_fifo_level0[1] ), + .Y(_13800_) + ); + sky130_fd_sc_hd__nand4b_2 _28144_ ( + .A_N(\soc.core.uart_tx_fifo_level0[1] ), + .B(_12427_), + .C(_12433_), + .D(_12431_), + .Y(_13801_) + ); + sky130_fd_sc_hd__and4b_2 _28145_ ( + .A_N(\soc.core.uart_tx_fifo_level0[1] ), + .B(_12436_), + .C(_12431_), + .D(_12435_), + .X(_13802_) + ); + sky130_fd_sc_hd__o21ai_2 _28146_ ( + .A1(_13800_), + .A2(_13802_), + .B1(\soc.core.uart_tx_fifo_level0[0] ), + .Y(_13803_) + ); + sky130_fd_sc_hd__o31a_2 _28147_ ( + .A1(\soc.core.uart_tx_fifo_level0[0] ), + .A2(_13800_), + .A3(_13802_), + .B1(_13798_), + .X(_13804_) + ); + sky130_fd_sc_hd__nor2_2 _28148_ ( + .A(\soc.core.uart_tx_fifo_level0[1] ), + .B(_13798_), + .Y(_13805_) + ); + sky130_fd_sc_hd__a211oi_2 _28149_ ( + .A1(_13804_), + .A2(_13803_), + .B1(\soc.core.int_rst ), + .C1(_13805_), + .Y(_09250_) + ); + sky130_fd_sc_hd__nor2_2 _28150_ ( + .A(_10895_), + .B(_12437_), + .Y(_13806_) + ); + sky130_fd_sc_hd__and4_2 _28151_ ( + .A(_12436_), + .B(_12431_), + .C(_10895_), + .D(_12435_), + .X(_13807_) + ); + sky130_fd_sc_hd__o21ai_2 _28152_ ( + .A1(\soc.core.uart_tx_fifo_level0[0] ), + .A2(_13800_), + .B1(_13801_), + .Y(_13808_) + ); + sky130_fd_sc_hd__o21ai_2 _28153_ ( + .A1(_13806_), + .A2(_13807_), + .B1(_13808_), + .Y(_13809_) + ); + sky130_fd_sc_hd__nor2_2 _28154_ ( + .A(_13807_), + .B(_13808_), + .Y(_13810_) + ); + sky130_fd_sc_hd__a211o_2 _28155_ ( + .A1(_10895_), + .A2(_12437_), + .B1(_13808_), + .C1(_13806_), + .X(_13811_) + ); + sky130_fd_sc_hd__a2bb2o_2 _28156_ ( + .A1_N(_13795_), + .A2_N(_13796_), + .B1(_13809_), + .B2(_13811_), + .X(_13812_) + ); + sky130_fd_sc_hd__o311a_2 _28157_ ( + .A1(\soc.core.uart_tx_fifo_level0[2] ), + .A2(_13795_), + .A3(_13796_), + .B1(_13812_), + .C1(_10904_), + .X(_09251_) + ); + sky130_fd_sc_hd__a21oi_2 _28158_ ( + .A1(\soc.core.uart_tx_fifo_level0[2] ), + .A2(_12434_), + .B1(_13810_), + .Y(_13813_) + ); + sky130_fd_sc_hd__mux2_1 _28159_ ( + .A0(_13796_), + .A1(_13795_), + .S(_13813_), + .X(_13814_) + ); + sky130_fd_sc_hd__o21ai_2 _28160_ ( + .A1(\soc.core.uart_tx_fifo_level0[3] ), + .A2(_13814_), + .B1(_10904_), + .Y(_13815_) + ); + sky130_fd_sc_hd__a21oi_2 _28161_ ( + .A1(\soc.core.uart_tx_fifo_level0[3] ), + .A2(_13814_), + .B1(_13815_), + .Y(_09252_) + ); + sky130_fd_sc_hd__o2111ai_2 _28162_ ( + .A1(_13807_), + .A2(_13808_), + .B1(_10894_), + .C1(_10895_), + .D1(_12457_), + .Y(_13816_) + ); + sky130_fd_sc_hd__a21oi_2 _28163_ ( + .A1(\soc.core.uart_tx_fifo_level0[3] ), + .A2(_13810_), + .B1(_12434_), + .Y(_13817_) + ); + sky130_fd_sc_hd__a21oi_2 _28164_ ( + .A1(_13797_), + .A2(_13816_), + .B1(_13817_), + .Y(_13818_) + ); + sky130_fd_sc_hd__a21oi_2 _28165_ ( + .A1(\soc.core.uart_tx_fifo_level0[4] ), + .A2(_13818_), + .B1(\soc.core.int_rst ), + .Y(_13819_) + ); + sky130_fd_sc_hd__o21a_2 _28166_ ( + .A1(\soc.core.uart_tx_fifo_level0[4] ), + .A2(_13818_), + .B1(_13819_), + .X(_09253_) + ); + sky130_fd_sc_hd__a21oi_2 _28167_ ( + .A1(\soc.core.uart_tx_fifo_produce[0] ), + .A2(_12437_), + .B1(\soc.core.int_rst ), + .Y(_13820_) + ); + sky130_fd_sc_hd__o21a_2 _28168_ ( + .A1(\soc.core.uart_tx_fifo_produce[0] ), + .A2(_12437_), + .B1(_13820_), + .X(_09254_) + ); + sky130_fd_sc_hd__a21oi_2 _28169_ ( + .A1(\soc.core.uart_tx_fifo_produce[0] ), + .A2(_12437_), + .B1(\soc.core.uart_tx_fifo_produce[1] ), + .Y(_13821_) + ); + sky130_fd_sc_hd__and3_2 _28170_ ( + .A(\soc.core.uart_tx_fifo_produce[1] ), + .B(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12437_), + .X(_13822_) + ); + sky130_fd_sc_hd__nor3_2 _28171_ ( + .A(\soc.core.int_rst ), + .B(_13821_), + .C(_13822_), + .Y(_09255_) + ); + sky130_fd_sc_hd__o21ai_2 _28172_ ( + .A1(\soc.core.uart_tx_fifo_produce[2] ), + .A2(_13822_), + .B1(_10904_), + .Y(_13823_) + ); + sky130_fd_sc_hd__a21oi_2 _28173_ ( + .A1(\soc.core.uart_tx_fifo_produce[2] ), + .A2(_13822_), + .B1(_13823_), + .Y(_09256_) + ); + sky130_fd_sc_hd__a21oi_2 _28174_ ( + .A1(\soc.core.uart_tx_fifo_produce[2] ), + .A2(_13822_), + .B1(\soc.core.uart_tx_fifo_produce[3] ), + .Y(_13824_) + ); + sky130_fd_sc_hd__and2_4 _28175_ ( + .A(\soc.core.uart_tx_fifo_produce[3] ), + .B(\soc.core.uart_tx_fifo_produce[2] ), + .X(_13825_) + ); + sky130_fd_sc_hd__and3_2 _28176_ ( + .A(\soc.core.uart_tx_fifo_produce[3] ), + .B(\soc.core.uart_tx_fifo_produce[2] ), + .C(_13822_), + .X(_13826_) + ); + sky130_fd_sc_hd__a211oi_2 _28177_ ( + .A1(_13822_), + .A2(_13825_), + .B1(_13824_), + .C1(\soc.core.int_rst ), + .Y(_09257_) + ); + sky130_fd_sc_hd__a21oi_2 _28178_ ( + .A1(\soc.core.uart_tx_fifo_consume[0] ), + .A2(_12457_), + .B1(\soc.core.int_rst ), + .Y(_13827_) + ); + sky130_fd_sc_hd__o21a_2 _28179_ ( + .A1(\soc.core.uart_tx_fifo_consume[0] ), + .A2(_12457_), + .B1(_13827_), + .X(_09258_) + ); + sky130_fd_sc_hd__a21oi_2 _28180_ ( + .A1(\soc.core.uart_tx_fifo_consume[0] ), + .A2(_12457_), + .B1(\soc.core.uart_tx_fifo_consume[1] ), + .Y(_13828_) + ); + sky130_fd_sc_hd__and3_2 _28181_ ( + .A(\soc.core.uart_tx_fifo_consume[1] ), + .B(\soc.core.uart_tx_fifo_consume[0] ), + .C(_12457_), + .X(_13829_) + ); + sky130_fd_sc_hd__nor3_2 _28182_ ( + .A(\soc.core.int_rst ), + .B(_13828_), + .C(_13829_), + .Y(_09259_) + ); + sky130_fd_sc_hd__and4_2 _28183_ ( + .A(\soc.core.uart_tx_fifo_consume[2] ), + .B(\soc.core.uart_tx_fifo_consume[1] ), + .C(\soc.core.uart_tx_fifo_consume[0] ), + .D(_12457_), + .X(_13830_) + ); + sky130_fd_sc_hd__a41o_2 _28184_ ( + .A1(\soc.core.uart_tx_fifo_consume[2] ), + .A2(\soc.core.uart_tx_fifo_consume[1] ), + .A3(\soc.core.uart_tx_fifo_consume[0] ), + .A4(_12457_), + .B1(\soc.core.int_rst ), + .X(_13831_) + ); + sky130_fd_sc_hd__o21ba_2 _28185_ ( + .A1(\soc.core.uart_tx_fifo_consume[2] ), + .A2(_13829_), + .B1_N(_13831_), + .X(_09260_) + ); + sky130_fd_sc_hd__a31o_2 _28186_ ( + .A1(\soc.core.uart_tx_fifo_consume[3] ), + .A2(\soc.core.uart_tx_fifo_consume[2] ), + .A3(_13829_), + .B1(\soc.core.int_rst ), + .X(_13832_) + ); + sky130_fd_sc_hd__o21ba_2 _28187_ ( + .A1(\soc.core.uart_tx_fifo_consume[3] ), + .A2(_13830_), + .B1_N(_13832_), + .X(_09261_) + ); + sky130_fd_sc_hd__nand2_2 _28188_ ( + .A(_13788_), + .B(\soc.core.uart_rx_fifo_readable ), + .Y(_13833_) + ); + sky130_fd_sc_hd__and2b_2 _28189_ ( + .A_N(\soc.core.uart_rx_fifo_level0[4] ), + .B(_13004_), + .X(_13834_) + ); + sky130_fd_sc_hd__a21oi_2 _28190_ ( + .A1(_13834_), + .A2(_13833_), + .B1(\soc.core.int_rst ), + .Y(_09262_) + ); + sky130_fd_sc_hd__a21oi_2 _28191_ ( + .A1(\soc.core.uart_rx_fifo_readable ), + .A2(_13788_), + .B1(_13834_), + .Y(_13835_) + ); + sky130_fd_sc_hd__a21o_2 _28192_ ( + .A1(\soc.core.uart_rx_fifo_readable ), + .A2(_13788_), + .B1(_13834_), + .X(_13836_) + ); + sky130_fd_sc_hd__xnor2_2 _28193_ ( + .A(_13009_), + .B(_13835_), + .Y(_13837_) + ); + sky130_fd_sc_hd__a21oi_2 _28194_ ( + .A1(_13837_), + .A2(\soc.core.uart_rx_fifo_level0[0] ), + .B1(\soc.core.int_rst ), + .Y(_13838_) + ); + sky130_fd_sc_hd__o21a_2 _28195_ ( + .A1(\soc.core.uart_rx_fifo_level0[0] ), + .A2(_13837_), + .B1(_13838_), + .X(_09263_) + ); + sky130_fd_sc_hd__nand2_2 _28196_ ( + .A(_13009_), + .B(\soc.core.uart_rx_fifo_level0[1] ), + .Y(_13839_) + ); + sky130_fd_sc_hd__nand2b_2 _28197_ ( + .A_N(\soc.core.uart_rx_fifo_level0[1] ), + .B(_13008_), + .Y(_13840_) + ); + sky130_fd_sc_hd__nand3b_2 _28198_ ( + .A_N(\soc.core.uart_rx_fifo_level0[0] ), + .B(_13839_), + .C(_13840_), + .Y(_13841_) + ); + sky130_fd_sc_hd__a21bo_2 _28199_ ( + .A1(_13839_), + .A2(_13840_), + .B1_N(\soc.core.uart_rx_fifo_level0[0] ), + .X(_13842_) + ); + sky130_fd_sc_hd__a31o_2 _28200_ ( + .A1(_13837_), + .A2(_13841_), + .A3(_13842_), + .B1(\soc.core.int_rst ), + .X(_13843_) + ); + sky130_fd_sc_hd__o21ba_2 _28201_ ( + .A1(\soc.core.uart_rx_fifo_level0[1] ), + .A2(_13837_), + .B1_N(_13843_), + .X(_09264_) + ); + sky130_fd_sc_hd__a21bo_2 _28202_ ( + .A1(_13840_), + .A2(\soc.core.uart_rx_fifo_level0[0] ), + .B1_N(_13839_), + .X(_13844_) + ); + sky130_fd_sc_hd__nand2b_2 _28203_ ( + .A_N(\soc.core.uart_rx_fifo_level0[2] ), + .B(_13008_), + .Y(_13845_) + ); + sky130_fd_sc_hd__nand2_2 _28204_ ( + .A(_13009_), + .B(\soc.core.uart_rx_fifo_level0[2] ), + .Y(_13846_) + ); + sky130_fd_sc_hd__and3_2 _28205_ ( + .A(_13844_), + .B(_13845_), + .C(_13846_), + .X(_13847_) + ); + sky130_fd_sc_hd__a21oi_2 _28206_ ( + .A1(_13845_), + .A2(_13846_), + .B1(_13844_), + .Y(_13848_) + ); + sky130_fd_sc_hd__o21ai_2 _28207_ ( + .A1(_13847_), + .A2(_13848_), + .B1(_13837_), + .Y(_13849_) + ); + sky130_fd_sc_hd__o211a_2 _28208_ ( + .A1(_13837_), + .A2(\soc.core.uart_rx_fifo_level0[2] ), + .B1(_10904_), + .C1(_13849_), + .X(_09265_) + ); + sky130_fd_sc_hd__a21o_2 _28209_ ( + .A1(\soc.core.uart_rx_fifo_level0[2] ), + .A2(_13009_), + .B1(_13847_), + .X(_13850_) + ); + sky130_fd_sc_hd__xnor2_2 _28210_ ( + .A(\soc.core.uart_rx_fifo_level0[3] ), + .B(_13009_), + .Y(_13851_) + ); + sky130_fd_sc_hd__a211o_2 _28211_ ( + .A1(\soc.core.uart_rx_fifo_level0[2] ), + .A2(_13009_), + .B1(_13851_), + .C1(_13847_), + .X(_13852_) + ); + sky130_fd_sc_hd__nand2_2 _28212_ ( + .A(_13850_), + .B(_13851_), + .Y(_13853_) + ); + sky130_fd_sc_hd__o21ai_2 _28213_ ( + .A1(\soc.core.uart_rx_fifo_level0[3] ), + .A2(_13837_), + .B1(_10904_), + .Y(_13854_) + ); + sky130_fd_sc_hd__a31oi_2 _28214_ ( + .A1(_13837_), + .A2(_13852_), + .A3(_13853_), + .B1(_13854_), + .Y(_09266_) + ); + sky130_fd_sc_hd__nor4_2 _28215_ ( + .A(\soc.core.uart_rx_fifo_level0[3] ), + .B(_13008_), + .C(_13836_), + .D(_13850_), + .Y(_13855_) + ); + sky130_fd_sc_hd__a41o_2 _28216_ ( + .A1(\soc.core.uart_rx_fifo_level0[3] ), + .A2(_13008_), + .A3(_13836_), + .A4(_13847_), + .B1(_13855_), + .X(_13856_) + ); + sky130_fd_sc_hd__a21oi_2 _28217_ ( + .A1(_13856_), + .A2(\soc.core.uart_rx_fifo_level0[4] ), + .B1(\soc.core.int_rst ), + .Y(_13857_) + ); + sky130_fd_sc_hd__o21a_2 _28218_ ( + .A1(\soc.core.uart_rx_fifo_level0[4] ), + .A2(_13856_), + .B1(_13857_), + .X(_09267_) + ); + sky130_fd_sc_hd__a21oi_2 _28219_ ( + .A1(\soc.core.uart_rx_fifo_produce[0] ), + .A2(_13008_), + .B1(\soc.core.int_rst ), + .Y(_13858_) + ); + sky130_fd_sc_hd__o21a_2 _28220_ ( + .A1(\soc.core.uart_rx_fifo_produce[0] ), + .A2(_13008_), + .B1(_13858_), + .X(_09268_) + ); + sky130_fd_sc_hd__a21oi_2 _28221_ ( + .A1(\soc.core.uart_rx_fifo_produce[0] ), + .A2(_13008_), + .B1(\soc.core.uart_rx_fifo_produce[1] ), + .Y(_13859_) + ); + sky130_fd_sc_hd__nor3_2 _28222_ ( + .A(\soc.core.int_rst ), + .B(_13010_), + .C(_13859_), + .Y(_09269_) + ); + sky130_fd_sc_hd__a41o_2 _28223_ ( + .A1(\soc.core.uart_rx_fifo_produce[2] ), + .A2(\soc.core.uart_rx_fifo_produce[1] ), + .A3(\soc.core.uart_rx_fifo_produce[0] ), + .A4(_13008_), + .B1(\soc.core.int_rst ), + .X(_13860_) + ); + sky130_fd_sc_hd__o21ba_2 _28224_ ( + .A1(\soc.core.uart_rx_fifo_produce[2] ), + .A2(_13010_), + .B1_N(_13860_), + .X(_09270_) + ); + sky130_fd_sc_hd__a41o_2 _28225_ ( + .A1(\soc.core.uart_rx_fifo_produce[2] ), + .A2(\soc.core.uart_rx_fifo_produce[1] ), + .A3(\soc.core.uart_rx_fifo_produce[0] ), + .A4(_13008_), + .B1(\soc.core.uart_rx_fifo_produce[3] ), + .X(_13861_) + ); + sky130_fd_sc_hd__and3_2 _28226_ ( + .A(_10904_), + .B(_13012_), + .C(_13861_), + .X(_09271_) + ); + sky130_fd_sc_hd__a21oi_2 _28227_ ( + .A1(\soc.core.uart_rx_fifo_consume[0] ), + .A2(_13835_), + .B1(\soc.core.int_rst ), + .Y(_13862_) + ); + sky130_fd_sc_hd__o21a_2 _28228_ ( + .A1(\soc.core.uart_rx_fifo_consume[0] ), + .A2(_13835_), + .B1(_13862_), + .X(_09272_) + ); + sky130_fd_sc_hd__a21oi_2 _28229_ ( + .A1(\soc.core.uart_rx_fifo_consume[0] ), + .A2(_13835_), + .B1(\soc.core.uart_rx_fifo_consume[1] ), + .Y(_13863_) + ); + sky130_fd_sc_hd__and3_2 _28230_ ( + .A(\soc.core.uart_rx_fifo_consume[1] ), + .B(\soc.core.uart_rx_fifo_consume[0] ), + .C(_13835_), + .X(_13864_) + ); + sky130_fd_sc_hd__nor3_2 _28231_ ( + .A(\soc.core.int_rst ), + .B(_13863_), + .C(_13864_), + .Y(_09273_) + ); + sky130_fd_sc_hd__and4_2 _28232_ ( + .A(\soc.core.uart_rx_fifo_consume[2] ), + .B(\soc.core.uart_rx_fifo_consume[1] ), + .C(\soc.core.uart_rx_fifo_consume[0] ), + .D(_13835_), + .X(_13865_) + ); + sky130_fd_sc_hd__a41o_2 _28233_ ( + .A1(\soc.core.uart_rx_fifo_consume[2] ), + .A2(\soc.core.uart_rx_fifo_consume[1] ), + .A3(\soc.core.uart_rx_fifo_consume[0] ), + .A4(_13835_), + .B1(\soc.core.int_rst ), + .X(_13866_) + ); + sky130_fd_sc_hd__o21ba_2 _28234_ ( + .A1(\soc.core.uart_rx_fifo_consume[2] ), + .A2(_13864_), + .B1_N(_13866_), + .X(_09274_) + ); + sky130_fd_sc_hd__a31o_2 _28235_ ( + .A1(\soc.core.uart_rx_fifo_consume[3] ), + .A2(\soc.core.uart_rx_fifo_consume[2] ), + .A3(_13864_), + .B1(\soc.core.int_rst ), + .X(_13867_) + ); + sky130_fd_sc_hd__o21ba_2 _28236_ ( + .A1(\soc.core.uart_rx_fifo_consume[3] ), + .A2(_13865_), + .B1_N(_13867_), + .X(_09275_) + ); + sky130_fd_sc_hd__nand2b_2 _28237_ ( + .A_N(\soc.core.dbg_uart_tx_tick ), + .B(\soc.core.uartwishbonebridge_rs232phytx_state ), + .Y(_13868_) + ); + sky130_fd_sc_hd__and3b_2 _28238_ ( + .A_N(\soc.core.dbg_uart_tx_tick ), + .B(\soc.core.uartwishbonebridge_rs232phytx_state ), + .C(\soc.core.dbg_uart_dbg_uart_tx ), + .X(_13869_) + ); + sky130_fd_sc_hd__a211o_2 _28239_ ( + .A1(_13868_), + .A2(\soc.core.dbg_uart_dbg_uart_tx_uartwishbonebridge_rs232phytx_next_value1 ), + .B1(\soc.core.int_rst ), + .C1(_13869_), + .X(_09276_) + ); + sky130_fd_sc_hd__nand2b_2 _28240_ ( + .A_N(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[0] ), + .B(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .Y(_13870_) + ); + sky130_fd_sc_hd__o211a_2 _28241_ ( + .A1(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .A2(\soc.core.dbg_uart_tx_data[0] ), + .B1(_10904_), + .C1(_13870_), + .X(_09277_) + ); + sky130_fd_sc_hd__nand2b_2 _28242_ ( + .A_N(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[1] ), + .B(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .Y(_13871_) + ); + sky130_fd_sc_hd__o211a_2 _28243_ ( + .A1(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .A2(\soc.core.dbg_uart_tx_data[1] ), + .B1(_10904_), + .C1(_13871_), + .X(_09278_) + ); + sky130_fd_sc_hd__nand2b_2 _28244_ ( + .A_N(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[2] ), + .B(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .Y(_13872_) + ); + sky130_fd_sc_hd__o211a_2 _28245_ ( + .A1(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .A2(\soc.core.dbg_uart_tx_data[2] ), + .B1(_10904_), + .C1(_13872_), + .X(_09279_) + ); + sky130_fd_sc_hd__nand2b_2 _28246_ ( + .A_N(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[3] ), + .B(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .Y(_13873_) + ); + sky130_fd_sc_hd__o211a_2 _28247_ ( + .A1(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .A2(\soc.core.dbg_uart_tx_data[3] ), + .B1(_10904_), + .C1(_13873_), + .X(_09280_) + ); + sky130_fd_sc_hd__nand2b_2 _28248_ ( + .A_N(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[4] ), + .B(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .Y(_13874_) + ); + sky130_fd_sc_hd__o211a_2 _28249_ ( + .A1(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .A2(\soc.core.dbg_uart_tx_data[4] ), + .B1(_10904_), + .C1(_13874_), + .X(_09281_) + ); + sky130_fd_sc_hd__nand2b_2 _28250_ ( + .A_N(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[5] ), + .B(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .Y(_13875_) + ); + sky130_fd_sc_hd__o211a_2 _28251_ ( + .A1(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .A2(\soc.core.dbg_uart_tx_data[5] ), + .B1(_10904_), + .C1(_13875_), + .X(_09282_) + ); + sky130_fd_sc_hd__nand2b_2 _28252_ ( + .A_N(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[6] ), + .B(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .Y(_13876_) + ); + sky130_fd_sc_hd__o211a_2 _28253_ ( + .A1(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .A2(\soc.core.dbg_uart_tx_data[6] ), + .B1(_10904_), + .C1(_13876_), + .X(_09283_) + ); + sky130_fd_sc_hd__nand2b_2 _28254_ ( + .A_N(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value2[7] ), + .B(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .Y(_13877_) + ); + sky130_fd_sc_hd__o211a_2 _28255_ ( + .A1(\soc.core.dbg_uart_tx_data[7] ), + .A2(\soc.core.dbg_uart_tx_data_uartwishbonebridge_rs232phytx_next_value_ce2 ), + .B1(_10904_), + .C1(_13877_), + .X(_09284_) + ); + sky130_fd_sc_hd__o211a_2 _28256_ ( + .A1(\soc.core.dbg_uart_tx_count[0] ), + .A2(_13868_), + .B1(_12934_), + .C1(_11361_), + .X(_09285_) + ); + sky130_fd_sc_hd__a31o_2 _28257_ ( + .A1(\soc.core.dbg_uart_tx_count[1] ), + .A2(\soc.core.dbg_uart_tx_count[0] ), + .A3(\soc.core.dbg_uart_tx_tick ), + .B1(_12935_), + .X(_13878_) + ); + sky130_fd_sc_hd__o21ba_2 _28258_ ( + .A1(\soc.core.dbg_uart_tx_count[1] ), + .A2(_11360_), + .B1_N(_13878_), + .X(_09286_) + ); + sky130_fd_sc_hd__a31o_2 _28259_ ( + .A1(_13868_), + .A2(\soc.core.dbg_uart_tx_count[0] ), + .A3(\soc.core.dbg_uart_tx_count[1] ), + .B1(\soc.core.dbg_uart_tx_count[2] ), + .X(_13879_) + ); + sky130_fd_sc_hd__and3_2 _28260_ ( + .A(\soc.core.dbg_uart_tx_count[2] ), + .B(\soc.core.dbg_uart_tx_count[1] ), + .C(_11360_), + .X(_13880_) + ); + sky130_fd_sc_hd__and4bb_2 _28261_ ( + .A_N(\soc.core.int_rst ), + .B_N(_13880_), + .C(\soc.core.uartwishbonebridge_rs232phytx_state ), + .D(_13879_), + .X(_09287_) + ); + sky130_fd_sc_hd__a41o_2 _28262_ ( + .A1(\soc.core.dbg_uart_tx_count[3] ), + .A2(\soc.core.dbg_uart_tx_count[2] ), + .A3(\soc.core.dbg_uart_tx_count[1] ), + .A4(_11360_), + .B1(_12935_), + .X(_13881_) + ); + sky130_fd_sc_hd__o21ba_2 _28263_ ( + .A1(\soc.core.dbg_uart_tx_count[3] ), + .A2(_13880_), + .B1_N(_13881_), + .X(_09288_) + ); + sky130_fd_sc_hd__and3_2 _28264_ ( + .A(_13675_), + .B(_10904_), + .C(_11014_), + .X(_13882_) + ); + sky130_fd_sc_hd__and3_2 _28265_ ( + .A(_11017_), + .B(_12390_), + .C(_13882_), + .X(_09289_) + ); + sky130_fd_sc_hd__and3_2 _28266_ ( + .A(\soc.core.dbg_uart_tx_phase[31] ), + .B(_12934_), + .C(_12970_), + .X(_09290_) + ); + sky130_fd_sc_hd__nand2_2 _28267_ ( + .A(\soc.core.dbg_uart_rx_tick ), + .B(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .Y(_13883_) + ); + sky130_fd_sc_hd__mux2_1 _28268_ ( + .A0(\soc.core.dbg_uart_rx_data[1] ), + .A1(\soc.core.dbg_uart_rx_data[0] ), + .S(_13883_), + .X(_09291_) + ); + sky130_fd_sc_hd__mux2_1 _28269_ ( + .A0(\soc.core.dbg_uart_rx_data[2] ), + .A1(\soc.core.dbg_uart_rx_data[1] ), + .S(_13883_), + .X(_09292_) + ); + sky130_fd_sc_hd__mux2_1 _28270_ ( + .A0(\soc.core.dbg_uart_rx_data[3] ), + .A1(\soc.core.dbg_uart_rx_data[2] ), + .S(_13883_), + .X(_09293_) + ); + sky130_fd_sc_hd__mux2_1 _28271_ ( + .A0(\soc.core.dbg_uart_rx_data[4] ), + .A1(\soc.core.dbg_uart_rx_data[3] ), + .S(_13883_), + .X(_09294_) + ); + sky130_fd_sc_hd__mux2_1 _28272_ ( + .A0(\soc.core.dbg_uart_rx_data[5] ), + .A1(\soc.core.dbg_uart_rx_data[4] ), + .S(_13883_), + .X(_09295_) + ); + sky130_fd_sc_hd__mux2_1 _28273_ ( + .A0(\soc.core.dbg_uart_rx_data[6] ), + .A1(\soc.core.dbg_uart_rx_data[5] ), + .S(_13883_), + .X(_09296_) + ); + sky130_fd_sc_hd__mux2_1 _28274_ ( + .A0(\soc.core.dbg_uart_rx_data[7] ), + .A1(\soc.core.dbg_uart_rx_data[6] ), + .S(_13883_), + .X(_09297_) + ); + sky130_fd_sc_hd__mux2_1 _28275_ ( + .A0(\soc.core.multiregimpl1_regs1 ), + .A1(\soc.core.dbg_uart_rx_data[7] ), + .S(_13883_), + .X(_09298_) + ); + sky130_fd_sc_hd__o21ai_2 _28276_ ( + .A1(\soc.core.dbg_uart_rx_count[0] ), + .A2(\soc.core.dbg_uart_rx_tick ), + .B1(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .Y(_13884_) + ); + sky130_fd_sc_hd__a21oi_2 _28277_ ( + .A1(\soc.core.dbg_uart_rx_count[0] ), + .A2(\soc.core.dbg_uart_rx_tick ), + .B1(_13884_), + .Y(_09299_) + ); + sky130_fd_sc_hd__a21o_2 _28278_ ( + .A1(\soc.core.dbg_uart_rx_count[0] ), + .A2(\soc.core.dbg_uart_rx_tick ), + .B1(\soc.core.dbg_uart_rx_count[1] ), + .X(_13885_) + ); + sky130_fd_sc_hd__nand3_2 _28279_ ( + .A(\soc.core.dbg_uart_rx_count[0] ), + .B(\soc.core.dbg_uart_rx_tick ), + .C(\soc.core.dbg_uart_rx_count[1] ), + .Y(_13886_) + ); + sky130_fd_sc_hd__and3_2 _28280_ ( + .A(_13885_), + .B(_13886_), + .C(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .X(_09300_) + ); + sky130_fd_sc_hd__a31o_2 _28281_ ( + .A1(\soc.core.dbg_uart_rx_count[0] ), + .A2(\soc.core.dbg_uart_rx_tick ), + .A3(\soc.core.dbg_uart_rx_count[1] ), + .B1(\soc.core.dbg_uart_rx_count[2] ), + .X(_13887_) + ); + sky130_fd_sc_hd__and4_2 _28282_ ( + .A(\soc.core.dbg_uart_rx_count[0] ), + .B(\soc.core.dbg_uart_rx_tick ), + .C(\soc.core.dbg_uart_rx_count[1] ), + .D(\soc.core.dbg_uart_rx_count[2] ), + .X(_13888_) + ); + sky130_fd_sc_hd__and3b_2 _28283_ ( + .A_N(_13888_), + .B(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .C(_13887_), + .X(_09301_) + ); + sky130_fd_sc_hd__a21boi_2 _28284_ ( + .A1(\soc.core.dbg_uart_rx_count[3] ), + .A2(_13888_), + .B1_N(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .Y(_13889_) + ); + sky130_fd_sc_hd__o21a_2 _28285_ ( + .A1(\soc.core.dbg_uart_rx_count[3] ), + .A2(_13888_), + .B1(_13889_), + .X(_09302_) + ); + sky130_fd_sc_hd__a21oi_2 _28286_ ( + .A1(\soc.core.uartwishbonebridge_rs232phytx_state ), + .A2(\soc.core.dbg_uart_tx_phase[0] ), + .B1(\soc.core.int_rst ), + .Y(_09303_) + ); + sky130_fd_sc_hd__nand2_2 _28287_ ( + .A(\soc.core.dbg_uart_tx_phase[0] ), + .B(\soc.core.dbg_uart_tx_phase[1] ), + .Y(_13890_) + ); + sky130_fd_sc_hd__o21a_2 _28288_ ( + .A1(\soc.core.dbg_uart_tx_phase[0] ), + .A2(\soc.core.dbg_uart_tx_phase[1] ), + .B1(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(_13891_) + ); + sky130_fd_sc_hd__a21oi_2 _28289_ ( + .A1(_13891_), + .A2(_13890_), + .B1(\soc.core.int_rst ), + .Y(_09304_) + ); + sky130_fd_sc_hd__o21ai_2 _28290_ ( + .A1(\soc.core.dbg_uart_tx_phase[0] ), + .A2(\soc.core.dbg_uart_tx_phase[1] ), + .B1(\soc.core.dbg_uart_tx_phase[2] ), + .Y(_13892_) + ); + sky130_fd_sc_hd__a31oi_2 _28291_ ( + .A1(_12932_), + .A2(_13892_), + .A3(\soc.core.uartwishbonebridge_rs232phytx_state ), + .B1(\soc.core.int_rst ), + .Y(_09305_) + ); + sky130_fd_sc_hd__nand2_2 _28292_ ( + .A(\soc.core.dbg_uart_tx_phase[7] ), + .B(_12942_), + .Y(_13893_) + ); + sky130_fd_sc_hd__a31oi_2 _28293_ ( + .A1(_13893_), + .A2(\soc.core.uartwishbonebridge_rs232phytx_state ), + .A3(_12944_), + .B1(\soc.core.int_rst ), + .Y(_09306_) + ); + sky130_fd_sc_hd__o21ai_2 _28294_ ( + .A1(\soc.core.dbg_uart_tx_phase[7] ), + .A2(_12942_), + .B1(\soc.core.dbg_uart_tx_phase[8] ), + .Y(_13894_) + ); + sky130_fd_sc_hd__a31oi_2 _28295_ ( + .A1(_13894_), + .A2(\soc.core.uartwishbonebridge_rs232phytx_state ), + .A3(_12945_), + .B1(\soc.core.int_rst ), + .Y(_09307_) + ); + sky130_fd_sc_hd__o2111ai_2 _28296_ ( + .A1(\soc.core.dbg_uart_tx_phase[8] ), + .A2(_12944_), + .B1(\soc.core.dbg_uart_tx_phase[11] ), + .C1(\soc.core.dbg_uart_tx_phase[9] ), + .D1(\soc.core.dbg_uart_tx_phase[10] ), + .Y(_13895_) + ); + sky130_fd_sc_hd__a31oi_2 _28297_ ( + .A1(_13895_), + .A2(\soc.core.uartwishbonebridge_rs232phytx_state ), + .A3(_12949_), + .B1(\soc.core.int_rst ), + .Y(_09308_) + ); + sky130_fd_sc_hd__a311o_2 _28298_ ( + .A1(_12945_), + .A2(\soc.core.dbg_uart_tx_phase[9] ), + .A3(\soc.core.dbg_uart_tx_phase[10] ), + .B1(\soc.core.dbg_uart_tx_phase[11] ), + .C1(\soc.core.dbg_uart_tx_phase[12] ), + .X(_13896_) + ); + sky130_fd_sc_hd__nand2_2 _28299_ ( + .A(_12949_), + .B(\soc.core.dbg_uart_tx_phase[12] ), + .Y(_13897_) + ); + sky130_fd_sc_hd__a31oi_2 _28300_ ( + .A1(_13896_), + .A2(_13897_), + .A3(\soc.core.uartwishbonebridge_rs232phytx_state ), + .B1(\soc.core.int_rst ), + .Y(_09309_) + ); + sky130_fd_sc_hd__o21ai_2 _28301_ ( + .A1(\soc.core.dbg_uart_tx_phase[12] ), + .A2(_12949_), + .B1(\soc.core.dbg_uart_tx_phase[13] ), + .Y(_13898_) + ); + sky130_fd_sc_hd__o31a_2 _28302_ ( + .A1(\soc.core.dbg_uart_tx_phase[12] ), + .A2(\soc.core.dbg_uart_tx_phase[13] ), + .A3(_12949_), + .B1(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(_13899_) + ); + sky130_fd_sc_hd__a21oi_2 _28303_ ( + .A1(_13899_), + .A2(_13898_), + .B1(\soc.core.int_rst ), + .Y(_09310_) + ); + sky130_fd_sc_hd__o31ai_2 _28304_ ( + .A1(\soc.core.dbg_uart_tx_phase[12] ), + .A2(\soc.core.dbg_uart_tx_phase[13] ), + .A3(_12949_), + .B1(\soc.core.dbg_uart_tx_phase[14] ), + .Y(_13900_) + ); + sky130_fd_sc_hd__o41a_2 _28305_ ( + .A1(\soc.core.dbg_uart_tx_phase[12] ), + .A2(\soc.core.dbg_uart_tx_phase[13] ), + .A3(\soc.core.dbg_uart_tx_phase[14] ), + .A4(_12949_), + .B1(\soc.core.uartwishbonebridge_rs232phytx_state ), + .X(_13901_) + ); + sky130_fd_sc_hd__a21oi_2 _28306_ ( + .A1(_13901_), + .A2(_13900_), + .B1(\soc.core.int_rst ), + .Y(_09311_) + ); + sky130_fd_sc_hd__o41ai_2 _28307_ ( + .A1(\soc.core.dbg_uart_tx_phase[12] ), + .A2(\soc.core.dbg_uart_tx_phase[13] ), + .A3(\soc.core.dbg_uart_tx_phase[14] ), + .A4(_12949_), + .B1(\soc.core.dbg_uart_tx_phase[15] ), + .Y(_13902_) + ); + sky130_fd_sc_hd__a31oi_2 _28308_ ( + .A1(_13902_), + .A2(\soc.core.uartwishbonebridge_rs232phytx_state ), + .A3(_12951_), + .B1(\soc.core.int_rst ), + .Y(_09312_) + ); + sky130_fd_sc_hd__nand3_2 _28309_ ( + .A(_12951_), + .B(\soc.core.dbg_uart_tx_phase[16] ), + .C(\soc.core.dbg_uart_tx_phase[17] ), + .Y(_13903_) + ); + sky130_fd_sc_hd__a31oi_2 _28310_ ( + .A1(_12953_), + .A2(_13903_), + .A3(\soc.core.uartwishbonebridge_rs232phytx_state ), + .B1(\soc.core.int_rst ), + .Y(_09313_) + ); + sky130_fd_sc_hd__nand4_2 _28311_ ( + .A(_12953_), + .B(\soc.core.dbg_uart_tx_phase[18] ), + .C(\soc.core.dbg_uart_tx_phase[19] ), + .D(\soc.core.dbg_uart_tx_phase[20] ), + .Y(_13904_) + ); + sky130_fd_sc_hd__a31o_2 _28312_ ( + .A1(_12953_), + .A2(\soc.core.dbg_uart_tx_phase[18] ), + .A3(\soc.core.dbg_uart_tx_phase[19] ), + .B1(\soc.core.dbg_uart_tx_phase[20] ), + .X(_13905_) + ); + sky130_fd_sc_hd__a31oi_2 _28313_ ( + .A1(_13905_), + .A2(\soc.core.uartwishbonebridge_rs232phytx_state ), + .A3(_13904_), + .B1(\soc.core.int_rst ), + .Y(_09314_) + ); + sky130_fd_sc_hd__nand2_2 _28314_ ( + .A(_13905_), + .B(\soc.core.dbg_uart_tx_phase[21] ), + .Y(_13906_) + ); + sky130_fd_sc_hd__a31oi_2 _28315_ ( + .A1(_12957_), + .A2(_13906_), + .A3(\soc.core.uartwishbonebridge_rs232phytx_state ), + .B1(\soc.core.int_rst ), + .Y(_09315_) + ); + sky130_fd_sc_hd__o21ai_2 _28316_ ( + .A1(\soc.core.dbg_uart_tx_phase[22] ), + .A2(_12957_), + .B1(\soc.core.uartwishbonebridge_rs232phytx_state ), + .Y(_13907_) + ); + sky130_fd_sc_hd__a21oi_2 _28317_ ( + .A1(\soc.core.dbg_uart_tx_phase[22] ), + .A2(_12957_), + .B1(_13907_), + .Y(_13908_) + ); + sky130_fd_sc_hd__nor2_2 _28318_ ( + .A(\soc.core.int_rst ), + .B(_13908_), + .Y(_09316_) + ); + sky130_fd_sc_hd__o21ai_2 _28319_ ( + .A1(\soc.core.dbg_uart_tx_phase[22] ), + .A2(_12957_), + .B1(\soc.core.dbg_uart_tx_phase[23] ), + .Y(_13909_) + ); + sky130_fd_sc_hd__a31oi_2 _28320_ ( + .A1(_13909_), + .A2(\soc.core.uartwishbonebridge_rs232phytx_state ), + .A3(_12959_), + .B1(\soc.core.int_rst ), + .Y(_09317_) + ); + sky130_fd_sc_hd__nand3_2 _28321_ ( + .A(_12959_), + .B(\soc.core.dbg_uart_tx_phase[24] ), + .C(\soc.core.dbg_uart_tx_phase[25] ), + .Y(_13910_) + ); + sky130_fd_sc_hd__a31oi_2 _28322_ ( + .A1(_12961_), + .A2(_13910_), + .A3(\soc.core.uartwishbonebridge_rs232phytx_state ), + .B1(\soc.core.int_rst ), + .Y(_09318_) + ); + sky130_fd_sc_hd__and4_2 _28323_ ( + .A(_12919_), + .B(_12929_), + .C(\soc.core.dbg_uart_rx_phase[31] ), + .D(_12867_), + .X(_09319_) + ); + sky130_fd_sc_hd__xor2_2 _28324_ ( + .A(\soc.core.dbg_uart_rx_phase[31] ), + .B(_12930_), + .X(_13911_) + ); + sky130_fd_sc_hd__a21oi_2 _28325_ ( + .A1(_13911_), + .A2(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .B1(\soc.core.int_rst ), + .Y(_09320_) + ); + sky130_fd_sc_hd__nand2_2 _28326_ ( + .A(_05124_), + .B(\soc.core.uartwishbonebridge_state[0] ), + .Y(_13912_) + ); + sky130_fd_sc_hd__and4_2 _28327_ ( + .A(\soc.core.multiregimpl1_regs1 ), + .B(\soc.core.dbg_uart_rx_tick ), + .C(\soc.core.uartwishbonebridge_rs232phyrx_state ), + .D(_11815_), + .X(_13913_) + ); + sky130_fd_sc_hd__nand3_2 _28328_ ( + .A(_05125_), + .B(_13913_), + .C(_13912_), + .Y(_13914_) + ); + sky130_fd_sc_hd__a31o_2 _28329_ ( + .A1(_05125_), + .A2(_13913_), + .A3(_13912_), + .B1(\soc.core.dbg_uart_cmd[0] ), + .X(_13915_) + ); + sky130_fd_sc_hd__o211a_2 _28330_ ( + .A1(_13914_), + .A2(\soc.core.dbg_uart_rx_data[0] ), + .B1(_10904_), + .C1(_13915_), + .X(_09321_) + ); + sky130_fd_sc_hd__a31o_2 _28331_ ( + .A1(_05125_), + .A2(_13913_), + .A3(_13912_), + .B1(\soc.core.dbg_uart_cmd[1] ), + .X(_13916_) + ); + sky130_fd_sc_hd__o211a_2 _28332_ ( + .A1(_13914_), + .A2(\soc.core.dbg_uart_rx_data[1] ), + .B1(_10904_), + .C1(_13916_), + .X(_09322_) + ); + sky130_fd_sc_hd__a31o_2 _28333_ ( + .A1(_05125_), + .A2(_13913_), + .A3(_13912_), + .B1(\soc.core.dbg_uart_cmd[2] ), + .X(_13917_) + ); + sky130_fd_sc_hd__o211a_2 _28334_ ( + .A1(_13914_), + .A2(\soc.core.dbg_uart_rx_data[2] ), + .B1(_10904_), + .C1(_13917_), + .X(_09323_) + ); + sky130_fd_sc_hd__a31o_2 _28335_ ( + .A1(_05125_), + .A2(_13913_), + .A3(_13912_), + .B1(\soc.core.dbg_uart_cmd[3] ), + .X(_13918_) + ); + sky130_fd_sc_hd__o211a_2 _28336_ ( + .A1(_13914_), + .A2(\soc.core.dbg_uart_rx_data[3] ), + .B1(_10904_), + .C1(_13918_), + .X(_09324_) + ); + sky130_fd_sc_hd__a31o_2 _28337_ ( + .A1(_05125_), + .A2(_13913_), + .A3(_13912_), + .B1(\soc.core.dbg_uart_cmd[4] ), + .X(_13919_) + ); + sky130_fd_sc_hd__o211a_2 _28338_ ( + .A1(_13914_), + .A2(\soc.core.dbg_uart_rx_data[4] ), + .B1(_10904_), + .C1(_13919_), + .X(_09325_) + ); + sky130_fd_sc_hd__a31o_2 _28339_ ( + .A1(_05125_), + .A2(_13913_), + .A3(_13912_), + .B1(\soc.core.dbg_uart_cmd[5] ), + .X(_13920_) + ); + sky130_fd_sc_hd__o211a_2 _28340_ ( + .A1(_13914_), + .A2(\soc.core.dbg_uart_rx_data[5] ), + .B1(_10904_), + .C1(_13920_), + .X(_09326_) + ); + sky130_fd_sc_hd__a31o_2 _28341_ ( + .A1(_05125_), + .A2(_13913_), + .A3(_13912_), + .B1(\soc.core.dbg_uart_cmd[6] ), + .X(_13921_) + ); + sky130_fd_sc_hd__o211a_2 _28342_ ( + .A1(_13914_), + .A2(\soc.core.dbg_uart_rx_data[6] ), + .B1(_10904_), + .C1(_13921_), + .X(_09327_) + ); + sky130_fd_sc_hd__a31o_2 _28343_ ( + .A1(_05125_), + .A2(_13913_), + .A3(_13912_), + .B1(\soc.core.dbg_uart_cmd[7] ), + .X(_13922_) + ); + sky130_fd_sc_hd__o211a_2 _28344_ ( + .A1(_13914_), + .A2(\soc.core.dbg_uart_rx_data[7] ), + .B1(_10904_), + .C1(_13922_), + .X(_09328_) + ); + sky130_fd_sc_hd__nor3_2 _28345_ ( + .A(\soc.core.dbg_uart_count[5] ), + .B(\soc.core.dbg_uart_count[4] ), + .C(\soc.core.dbg_uart_count[3] ), + .Y(_13923_) + ); + sky130_fd_sc_hd__nor4_2 _28346_ ( + .A(\soc.core.dbg_uart_count[6] ), + .B(\soc.core.dbg_uart_count[2] ), + .C(\soc.core.dbg_uart_count[1] ), + .D(\soc.core.dbg_uart_count[0] ), + .Y(_13924_) + ); + sky130_fd_sc_hd__nand2_2 _28347_ ( + .A(_13923_), + .B(_13924_), + .Y(_13925_) + ); + sky130_fd_sc_hd__nor2_2 _28348_ ( + .A(\soc.core.dbg_uart_count[12] ), + .B(\soc.core.dbg_uart_count[8] ), + .Y(_13926_) + ); + sky130_fd_sc_hd__nor3_2 _28349_ ( + .A(\soc.core.dbg_uart_count[11] ), + .B(\soc.core.dbg_uart_count[10] ), + .C(\soc.core.dbg_uart_count[9] ), + .Y(_13927_) + ); + sky130_fd_sc_hd__and4bb_2 _28350_ ( + .A_N(\soc.core.dbg_uart_count[13] ), + .B_N(\soc.core.dbg_uart_count[7] ), + .C(_13923_), + .D(_13924_), + .X(_13928_) + ); + sky130_fd_sc_hd__nand3_2 _28351_ ( + .A(_13926_), + .B(_13927_), + .C(_13928_), + .Y(_13929_) + ); + sky130_fd_sc_hd__nand4b_2 _28352_ ( + .A_N(\soc.core.dbg_uart_count[14] ), + .B(_13926_), + .C(_13927_), + .D(_13928_), + .Y(_13930_) + ); + sky130_fd_sc_hd__nor4_2 _28353_ ( + .A(\soc.core.dbg_uart_count[16] ), + .B(\soc.core.dbg_uart_count[15] ), + .C(\soc.core.dbg_uart_count[14] ), + .D(_13929_), + .Y(_13931_) + ); + sky130_fd_sc_hd__nor2_2 _28354_ ( + .A(\soc.core.dbg_uart_count[19] ), + .B(\soc.core.dbg_uart_count[18] ), + .Y(_13932_) + ); + sky130_fd_sc_hd__nor3_2 _28355_ ( + .A(\soc.core.dbg_uart_count[19] ), + .B(\soc.core.dbg_uart_count[18] ), + .C(\soc.core.dbg_uart_count[17] ), + .Y(_13933_) + ); + sky130_fd_sc_hd__nand2b_2 _28356_ ( + .A_N(\soc.core.dbg_uart_count[17] ), + .B(_13931_), + .Y(_13934_) + ); + sky130_fd_sc_hd__a21o_2 _28357_ ( + .A1(_13931_), + .A2(_13933_), + .B1(\soc.core.int_rst ), + .X(_13935_) + ); + sky130_fd_sc_hd__nor2_2 _28358_ ( + .A(\soc.core.uartwishbonebridge_state[2] ), + .B(_05124_), + .Y(_13936_) + ); + sky130_fd_sc_hd__nand2_2 _28359_ ( + .A(_04959_), + .B(\soc.core.uartwishbonebridge_state[1] ), + .Y(_13937_) + ); + sky130_fd_sc_hd__nor4b_2 _28360_ ( + .A(\soc.core.dbg_uart_cmd[3] ), + .B(\soc.core.dbg_uart_cmd[1] ), + .C(\soc.core.dbg_uart_cmd[0] ), + .D_N(\soc.core.dbg_uart_cmd[2] ), + .Y(_13938_) + ); + sky130_fd_sc_hd__a21bo_2 _28361_ ( + .A1(_12329_), + .A2(_13938_), + .B1_N(_04840_), + .X(_13939_) + ); + sky130_fd_sc_hd__a31o_2 _28362_ ( + .A1(\soc.core.dbg_uart_cmd[0] ), + .A2(_12329_), + .A3(_12330_), + .B1(_13939_), + .X(_13940_) + ); + sky130_fd_sc_hd__and4bb_2 _28363_ ( + .A_N(\soc.core.uartwishbonebridge_state[2] ), + .B_N(\soc.core.uartwishbonebridge_state[0] ), + .C(_13940_), + .D(\soc.core.uartwishbonebridge_state[1] ), + .X(_13941_) + ); + sky130_fd_sc_hd__and4_2 _28364_ ( + .A(_13941_), + .B(_13913_), + .C(\soc.core.dbg_uart_bytes_count[0] ), + .D(\soc.core.dbg_uart_bytes_count[1] ), + .X(_13942_) + ); + sky130_fd_sc_hd__nor2_2 _28365_ ( + .A(\soc.core.dbg_uart_incr ), + .B(_13942_), + .Y(_13943_) + ); + sky130_fd_sc_hd__a211oi_2 _28366_ ( + .A1(_04841_), + .A2(_13942_), + .B1(_13935_), + .C1(_13943_), + .Y(_09329_) + ); + sky130_fd_sc_hd__and4_2 _28367_ ( + .A(_13913_), + .B(_05124_), + .C(_04959_), + .D(\soc.core.uartwishbonebridge_state[0] ), + .X(_13944_) + ); + sky130_fd_sc_hd__mux2_1 _28368_ ( + .A0(\soc.core.dbg_uart_length[0] ), + .A1(\soc.core.dbg_uart_rx_data[0] ), + .S(_13944_), + .X(_09330_) + ); + sky130_fd_sc_hd__mux2_1 _28369_ ( + .A0(\soc.core.dbg_uart_length[1] ), + .A1(\soc.core.dbg_uart_rx_data[1] ), + .S(_13944_), + .X(_09331_) + ); + sky130_fd_sc_hd__mux2_1 _28370_ ( + .A0(\soc.core.dbg_uart_length[2] ), + .A1(\soc.core.dbg_uart_rx_data[2] ), + .S(_13944_), + .X(_09332_) + ); + sky130_fd_sc_hd__mux2_1 _28371_ ( + .A0(\soc.core.dbg_uart_length[3] ), + .A1(\soc.core.dbg_uart_rx_data[3] ), + .S(_13944_), + .X(_09333_) + ); + sky130_fd_sc_hd__mux2_1 _28372_ ( + .A0(\soc.core.dbg_uart_length[4] ), + .A1(\soc.core.dbg_uart_rx_data[4] ), + .S(_13944_), + .X(_09334_) + ); + sky130_fd_sc_hd__mux2_1 _28373_ ( + .A0(\soc.core.dbg_uart_length[5] ), + .A1(\soc.core.dbg_uart_rx_data[5] ), + .S(_13944_), + .X(_09335_) + ); + sky130_fd_sc_hd__mux2_1 _28374_ ( + .A0(\soc.core.dbg_uart_length[6] ), + .A1(\soc.core.dbg_uart_rx_data[6] ), + .S(_13944_), + .X(_09336_) + ); + sky130_fd_sc_hd__mux2_1 _28375_ ( + .A0(\soc.core.dbg_uart_length[7] ), + .A1(\soc.core.dbg_uart_rx_data[7] ), + .S(_13944_), + .X(_09337_) + ); + sky130_fd_sc_hd__and3_2 _28376_ ( + .A(\soc.core.uartwishbonebridge_rs232phytx_state ), + .B(_11362_), + .C(_05103_), + .X(_13945_) + ); + sky130_fd_sc_hd__and3_2 _28377_ ( + .A(\soc.core.dbg_uart_bytes_count[1] ), + .B(\soc.core.dbg_uart_bytes_count[0] ), + .C(_13945_), + .X(_13946_) + ); + sky130_fd_sc_hd__nand2_2 _28378_ ( + .A(_11189_), + .B(_12340_), + .Y(_13947_) + ); + sky130_fd_sc_hd__a31oi_2 _28379_ ( + .A1(_04958_), + .A2(_11189_), + .A3(_12340_), + .B1(_13946_), + .Y(_13948_) + ); + sky130_fd_sc_hd__a31o_2 _28380_ ( + .A1(_04958_), + .A2(_11189_), + .A3(_12340_), + .B1(_13946_), + .X(_13949_) + ); + sky130_fd_sc_hd__and4bb_2 _28381_ ( + .A_N(_05124_), + .B_N(\soc.core.uartwishbonebridge_state[0] ), + .C(_04959_), + .D(_13913_), + .X(_13950_) + ); + sky130_fd_sc_hd__and4bb_2 _28382_ ( + .A_N(_05124_), + .B_N(\soc.core.uartwishbonebridge_state[0] ), + .C(_04959_), + .D(_13913_), + .X(_13951_) + ); + sky130_fd_sc_hd__nor2_2 _28383_ ( + .A(_13951_), + .B(_13949_), + .Y(_13952_) + ); + sky130_fd_sc_hd__nor2_2 _28384_ ( + .A(\soc.core.dbg_uart_address[0] ), + .B(\soc.core.dbg_uart_incr ), + .Y(_13953_) + ); + sky130_fd_sc_hd__nand2_2 _28385_ ( + .A(\soc.core.dbg_uart_address[0] ), + .B(\soc.core.dbg_uart_incr ), + .Y(_13954_) + ); + sky130_fd_sc_hd__nor3b_2 _28386_ ( + .A(_13948_), + .B(_13953_), + .C_N(_13954_), + .Y(_13955_) + ); + sky130_fd_sc_hd__a22o_2 _28387_ ( + .A1(\soc.core.dbg_uart_rx_data[0] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[0] ), + .X(_13956_) + ); + sky130_fd_sc_hd__o21a_2 _28388_ ( + .A1(_13955_), + .A2(_13956_), + .B1(_10904_), + .X(_09338_) + ); + sky130_fd_sc_hd__and3_2 _28389_ ( + .A(\soc.core.dbg_uart_address[1] ), + .B(\soc.core.dbg_uart_address[0] ), + .C(\soc.core.dbg_uart_incr ), + .X(_13957_) + ); + sky130_fd_sc_hd__a21oi_2 _28390_ ( + .A1(\soc.core.dbg_uart_address[0] ), + .A2(\soc.core.dbg_uart_incr ), + .B1(\soc.core.dbg_uart_address[1] ), + .Y(_13958_) + ); + sky130_fd_sc_hd__nor3_2 _28391_ ( + .A(_13948_), + .B(_13957_), + .C(_13958_), + .Y(_13959_) + ); + sky130_fd_sc_hd__a22o_2 _28392_ ( + .A1(\soc.core.dbg_uart_rx_data[1] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[1] ), + .X(_13960_) + ); + sky130_fd_sc_hd__o21a_2 _28393_ ( + .A1(_13959_), + .A2(_13960_), + .B1(_10904_), + .X(_09339_) + ); + sky130_fd_sc_hd__and4_2 _28394_ ( + .A(\soc.core.dbg_uart_address[2] ), + .B(\soc.core.dbg_uart_address[1] ), + .C(\soc.core.dbg_uart_address[0] ), + .D(\soc.core.dbg_uart_incr ), + .X(_13961_) + ); + sky130_fd_sc_hd__a41o_2 _28395_ ( + .A1(\soc.core.dbg_uart_address[2] ), + .A2(\soc.core.dbg_uart_address[1] ), + .A3(\soc.core.dbg_uart_address[0] ), + .A4(\soc.core.dbg_uart_incr ), + .B1(_13948_), + .X(_13962_) + ); + sky130_fd_sc_hd__o21ba_2 _28396_ ( + .A1(\soc.core.dbg_uart_address[2] ), + .A2(_13957_), + .B1_N(_13962_), + .X(_13963_) + ); + sky130_fd_sc_hd__a22o_2 _28397_ ( + .A1(\soc.core.dbg_uart_rx_data[2] ), + .A2(_13951_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[2] ), + .X(_13964_) + ); + sky130_fd_sc_hd__o21a_2 _28398_ ( + .A1(_13964_), + .A2(_13963_), + .B1(_10904_), + .X(_09340_) + ); + sky130_fd_sc_hd__o21ai_2 _28399_ ( + .A1(_13949_), + .A2(_13950_), + .B1(_13962_), + .Y(_13965_) + ); + sky130_fd_sc_hd__and3_2 _28400_ ( + .A(_05003_), + .B(_13949_), + .C(_13961_), + .X(_13966_) + ); + sky130_fd_sc_hd__a22o_2 _28401_ ( + .A1(\soc.core.dbg_uart_rx_data[3] ), + .A2(_13950_), + .B1(_13965_), + .B2(\soc.core.dbg_uart_address[3] ), + .X(_13967_) + ); + sky130_fd_sc_hd__o21a_2 _28402_ ( + .A1(_13966_), + .A2(_13967_), + .B1(_10904_), + .X(_09341_) + ); + sky130_fd_sc_hd__a31o_2 _28403_ ( + .A1(\soc.core.dbg_uart_address[3] ), + .A2(\soc.core.dbg_uart_address[2] ), + .A3(_13957_), + .B1(\soc.core.dbg_uart_address[4] ), + .X(_13968_) + ); + sky130_fd_sc_hd__nand4_2 _28404_ ( + .A(\soc.core.dbg_uart_address[4] ), + .B(\soc.core.dbg_uart_address[3] ), + .C(\soc.core.dbg_uart_address[2] ), + .D(_13957_), + .Y(_13969_) + ); + sky130_fd_sc_hd__and3_2 _28405_ ( + .A(_13949_), + .B(_13968_), + .C(_13969_), + .X(_13970_) + ); + sky130_fd_sc_hd__a22o_2 _28406_ ( + .A1(\soc.core.dbg_uart_rx_data[4] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[4] ), + .X(_13971_) + ); + sky130_fd_sc_hd__o21a_2 _28407_ ( + .A1(_13970_), + .A2(_13971_), + .B1(_10904_), + .X(_09342_) + ); + sky130_fd_sc_hd__and4_2 _28408_ ( + .A(\soc.core.dbg_uart_address[5] ), + .B(\soc.core.dbg_uart_address[4] ), + .C(\soc.core.dbg_uart_address[3] ), + .D(_13961_), + .X(_13972_) + ); + sky130_fd_sc_hd__nand4_2 _28409_ ( + .A(\soc.core.dbg_uart_address[5] ), + .B(\soc.core.dbg_uart_address[4] ), + .C(\soc.core.dbg_uart_address[3] ), + .D(_13961_), + .Y(_13973_) + ); + sky130_fd_sc_hd__a31o_2 _28410_ ( + .A1(\soc.core.dbg_uart_address[4] ), + .A2(\soc.core.dbg_uart_address[3] ), + .A3(_13961_), + .B1(\soc.core.dbg_uart_address[5] ), + .X(_13974_) + ); + sky130_fd_sc_hd__and3_2 _28411_ ( + .A(_13949_), + .B(_13973_), + .C(_13974_), + .X(_13975_) + ); + sky130_fd_sc_hd__a22o_2 _28412_ ( + .A1(\soc.core.dbg_uart_rx_data[5] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[5] ), + .X(_13976_) + ); + sky130_fd_sc_hd__o21a_2 _28413_ ( + .A1(_13975_), + .A2(_13976_), + .B1(_10904_), + .X(_09343_) + ); + sky130_fd_sc_hd__a21o_2 _28414_ ( + .A1(_13949_), + .A2(_13973_), + .B1(_13952_), + .X(_13977_) + ); + sky130_fd_sc_hd__and3_2 _28415_ ( + .A(_05013_), + .B(_13949_), + .C(_13972_), + .X(_13978_) + ); + sky130_fd_sc_hd__a221oi_2 _28416_ ( + .A1(\soc.core.dbg_uart_rx_data[6] ), + .A2(_13950_), + .B1(_13977_), + .B2(\soc.core.dbg_uart_address[6] ), + .C1(_13978_), + .Y(_13979_) + ); + sky130_fd_sc_hd__nor2_2 _28417_ ( + .A(\soc.core.int_rst ), + .B(_13979_), + .Y(_09344_) + ); + sky130_fd_sc_hd__o31a_2 _28418_ ( + .A1(_05013_), + .A2(_05010_), + .A3(_13969_), + .B1(_05016_), + .X(_13980_) + ); + sky130_fd_sc_hd__and3_2 _28419_ ( + .A(\soc.core.dbg_uart_address[7] ), + .B(\soc.core.dbg_uart_address[6] ), + .C(_13972_), + .X(_13981_) + ); + sky130_fd_sc_hd__nor3_2 _28420_ ( + .A(_13948_), + .B(_13980_), + .C(_13981_), + .Y(_13982_) + ); + sky130_fd_sc_hd__a22o_2 _28421_ ( + .A1(\soc.core.dbg_uart_rx_data[7] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[7] ), + .X(_13983_) + ); + sky130_fd_sc_hd__o21a_2 _28422_ ( + .A1(_13982_), + .A2(_13983_), + .B1(_10904_), + .X(_09345_) + ); + sky130_fd_sc_hd__nor4_2 _28423_ ( + .A(_04940_), + .B(_05016_), + .C(_05013_), + .D(_13973_), + .Y(_13984_) + ); + sky130_fd_sc_hd__a41o_2 _28424_ ( + .A1(\soc.core.dbg_uart_address[8] ), + .A2(\soc.core.dbg_uart_address[7] ), + .A3(\soc.core.dbg_uart_address[6] ), + .A4(_13972_), + .B1(_13948_), + .X(_13985_) + ); + sky130_fd_sc_hd__o21ba_2 _28425_ ( + .A1(\soc.core.dbg_uart_address[8] ), + .A2(_13981_), + .B1_N(_13985_), + .X(_13986_) + ); + sky130_fd_sc_hd__a22o_2 _28426_ ( + .A1(\soc.core.dbg_uart_address[0] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[8] ), + .X(_13987_) + ); + sky130_fd_sc_hd__o21a_2 _28427_ ( + .A1(_13986_), + .A2(_13987_), + .B1(_10904_), + .X(_09346_) + ); + sky130_fd_sc_hd__nand2_2 _28428_ ( + .A(\soc.core.dbg_uart_address[9] ), + .B(_13984_), + .Y(_13988_) + ); + sky130_fd_sc_hd__a21o_2 _28429_ ( + .A1(_13949_), + .A2(_13988_), + .B1(_13952_), + .X(_13989_) + ); + sky130_fd_sc_hd__and2_4 _28430_ ( + .A(_13989_), + .B(\soc.core.dbg_uart_address[9] ), + .X(_13990_) + ); + sky130_fd_sc_hd__a32o_2 _28431_ ( + .A1(_13949_), + .A2(_13984_), + .A3(_13988_), + .B1(_13950_), + .B2(\soc.core.dbg_uart_address[1] ), + .X(_13991_) + ); + sky130_fd_sc_hd__o21a_2 _28432_ ( + .A1(_13991_), + .A2(_13990_), + .B1(_10904_), + .X(_09347_) + ); + sky130_fd_sc_hd__and4_2 _28433_ ( + .A(_04982_), + .B(_13949_), + .C(_13984_), + .D(\soc.core.dbg_uart_address[9] ), + .X(_13992_) + ); + sky130_fd_sc_hd__a22o_2 _28434_ ( + .A1(\soc.core.dbg_uart_address[2] ), + .A2(_13950_), + .B1(_13989_), + .B2(\soc.core.dbg_uart_address[10] ), + .X(_13993_) + ); + sky130_fd_sc_hd__o21a_2 _28435_ ( + .A1(_13992_), + .A2(_13993_), + .B1(_10904_), + .X(_09348_) + ); + sky130_fd_sc_hd__a31o_2 _28436_ ( + .A1(\soc.core.dbg_uart_address[10] ), + .A2(\soc.core.dbg_uart_address[9] ), + .A3(_13984_), + .B1(\soc.core.dbg_uart_address[11] ), + .X(_13994_) + ); + sky130_fd_sc_hd__nand4_2 _28437_ ( + .A(\soc.core.dbg_uart_address[11] ), + .B(\soc.core.dbg_uart_address[10] ), + .C(\soc.core.dbg_uart_address[9] ), + .D(_13984_), + .Y(_13995_) + ); + sky130_fd_sc_hd__nand4_2 _28438_ ( + .A(\soc.core.dbg_uart_address[11] ), + .B(\soc.core.dbg_uart_address[10] ), + .C(\soc.core.dbg_uart_address[9] ), + .D(_13984_), + .Y(_13996_) + ); + sky130_fd_sc_hd__and3_2 _28439_ ( + .A(_13949_), + .B(_13994_), + .C(_13996_), + .X(_13997_) + ); + sky130_fd_sc_hd__a22o_2 _28440_ ( + .A1(\soc.core.dbg_uart_address[3] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[11] ), + .X(_13998_) + ); + sky130_fd_sc_hd__o21a_2 _28441_ ( + .A1(_13997_), + .A2(_13998_), + .B1(_10904_), + .X(_09349_) + ); + sky130_fd_sc_hd__nor4_2 _28442_ ( + .A(_04988_), + .B(_04985_), + .C(_04982_), + .D(_13988_), + .Y(_13999_) + ); + sky130_fd_sc_hd__a211oi_2 _28443_ ( + .A1(_04988_), + .A2(_13995_), + .B1(_13999_), + .C1(_13948_), + .Y(_14000_) + ); + sky130_fd_sc_hd__a22o_2 _28444_ ( + .A1(\soc.core.dbg_uart_address[4] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[12] ), + .X(_14001_) + ); + sky130_fd_sc_hd__o21a_2 _28445_ ( + .A1(_14000_), + .A2(_14001_), + .B1(_10904_), + .X(_09350_) + ); + sky130_fd_sc_hd__and3_2 _28446_ ( + .A(\soc.core.dbg_uart_address[13] ), + .B(\soc.core.dbg_uart_address[12] ), + .C(\soc.core.dbg_uart_address[11] ), + .X(_14002_) + ); + sky130_fd_sc_hd__o31a_2 _28447_ ( + .A1(_04991_), + .A2(_04988_), + .A3(_13996_), + .B1(_13949_), + .X(_14003_) + ); + sky130_fd_sc_hd__o21a_2 _28448_ ( + .A1(_13952_), + .A2(_14003_), + .B1(\soc.core.dbg_uart_address[13] ), + .X(_14004_) + ); + sky130_fd_sc_hd__a32o_2 _28449_ ( + .A1(_04991_), + .A2(_13949_), + .A3(_13999_), + .B1(_13950_), + .B2(\soc.core.dbg_uart_address[5] ), + .X(_14005_) + ); + sky130_fd_sc_hd__o21a_2 _28450_ ( + .A1(_14005_), + .A2(_14004_), + .B1(_10904_), + .X(_09351_) + ); + sky130_fd_sc_hd__o21a_2 _28451_ ( + .A1(_13952_), + .A2(_14003_), + .B1(\soc.core.dbg_uart_address[14] ), + .X(_14006_) + ); + sky130_fd_sc_hd__and4_2 _28452_ ( + .A(_04952_), + .B(_13949_), + .C(_13999_), + .D(\soc.core.dbg_uart_address[13] ), + .X(_14007_) + ); + sky130_fd_sc_hd__a21o_2 _28453_ ( + .A1(\soc.core.dbg_uart_address[6] ), + .A2(_13950_), + .B1(_14006_), + .X(_14008_) + ); + sky130_fd_sc_hd__o21a_2 _28454_ ( + .A1(_14007_), + .A2(_14008_), + .B1(_10904_), + .X(_09352_) + ); + sky130_fd_sc_hd__and4_2 _28455_ ( + .A(\soc.core.dbg_uart_address[14] ), + .B(\soc.core.dbg_uart_address[10] ), + .C(\soc.core.dbg_uart_address[9] ), + .D(_14002_), + .X(_14009_) + ); + sky130_fd_sc_hd__and4_2 _28456_ ( + .A(\soc.core.dbg_uart_address[12] ), + .B(\soc.core.dbg_uart_address[11] ), + .C(\soc.core.dbg_uart_address[10] ), + .D(\soc.core.dbg_uart_address[9] ), + .X(_14010_) + ); + sky130_fd_sc_hd__and4_2 _28457_ ( + .A(\soc.core.dbg_uart_address[14] ), + .B(\soc.core.dbg_uart_address[13] ), + .C(_13984_), + .D(_14010_), + .X(_14011_) + ); + sky130_fd_sc_hd__a31o_2 _28458_ ( + .A1(\soc.core.dbg_uart_address[8] ), + .A2(_13981_), + .A3(_14009_), + .B1(\soc.core.dbg_uart_address[15] ), + .X(_14012_) + ); + sky130_fd_sc_hd__nand2_2 _28459_ ( + .A(\soc.core.dbg_uart_address[15] ), + .B(_14011_), + .Y(_14013_) + ); + sky130_fd_sc_hd__and3_2 _28460_ ( + .A(_13949_), + .B(_14012_), + .C(_14013_), + .X(_14014_) + ); + sky130_fd_sc_hd__a22o_2 _28461_ ( + .A1(\soc.core.dbg_uart_address[7] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[15] ), + .X(_14015_) + ); + sky130_fd_sc_hd__o21a_2 _28462_ ( + .A1(_14014_), + .A2(_14015_), + .B1(_10904_), + .X(_09353_) + ); + sky130_fd_sc_hd__a21oi_2 _28463_ ( + .A1(\soc.core.dbg_uart_address[15] ), + .A2(_14011_), + .B1(\soc.core.dbg_uart_address[16] ), + .Y(_14016_) + ); + sky130_fd_sc_hd__and4_2 _28464_ ( + .A(\soc.core.dbg_uart_address[16] ), + .B(\soc.core.dbg_uart_address[15] ), + .C(_13984_), + .D(_14009_), + .X(_14017_) + ); + sky130_fd_sc_hd__nor3_2 _28465_ ( + .A(_13948_), + .B(_14016_), + .C(_14017_), + .Y(_14018_) + ); + sky130_fd_sc_hd__a22o_2 _28466_ ( + .A1(\soc.core.dbg_uart_address[8] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[16] ), + .X(_14019_) + ); + sky130_fd_sc_hd__o21a_2 _28467_ ( + .A1(_14018_), + .A2(_14019_), + .B1(_10904_), + .X(_09354_) + ); + sky130_fd_sc_hd__a41o_2 _28468_ ( + .A1(\soc.core.dbg_uart_address[17] ), + .A2(\soc.core.dbg_uart_address[16] ), + .A3(\soc.core.dbg_uart_address[15] ), + .A4(_14011_), + .B1(_13948_), + .X(_14020_) + ); + sky130_fd_sc_hd__o21ai_2 _28469_ ( + .A1(_13949_), + .A2(_13950_), + .B1(_14020_), + .Y(_14021_) + ); + sky130_fd_sc_hd__and3_2 _28470_ ( + .A(_04943_), + .B(_13949_), + .C(_14017_), + .X(_14022_) + ); + sky130_fd_sc_hd__a221oi_2 _28471_ ( + .A1(\soc.core.dbg_uart_address[9] ), + .A2(_13950_), + .B1(_14021_), + .B2(\soc.core.dbg_uart_address[17] ), + .C1(_14022_), + .Y(_14023_) + ); + sky130_fd_sc_hd__nor2_2 _28472_ ( + .A(\soc.core.int_rst ), + .B(_14023_), + .Y(_09355_) + ); + sky130_fd_sc_hd__and4_2 _28473_ ( + .A(_04964_), + .B(_13949_), + .C(_14017_), + .D(\soc.core.dbg_uart_address[17] ), + .X(_14024_) + ); + sky130_fd_sc_hd__a22o_2 _28474_ ( + .A1(\soc.core.dbg_uart_address[10] ), + .A2(_13950_), + .B1(_14021_), + .B2(\soc.core.dbg_uart_address[18] ), + .X(_14025_) + ); + sky130_fd_sc_hd__o21a_2 _28475_ ( + .A1(_14024_), + .A2(_14025_), + .B1(_10904_), + .X(_09356_) + ); + sky130_fd_sc_hd__a31o_2 _28476_ ( + .A1(\soc.core.dbg_uart_address[18] ), + .A2(\soc.core.dbg_uart_address[17] ), + .A3(_14017_), + .B1(\soc.core.dbg_uart_address[19] ), + .X(_14026_) + ); + sky130_fd_sc_hd__and4_2 _28477_ ( + .A(\soc.core.dbg_uart_address[19] ), + .B(\soc.core.dbg_uart_address[18] ), + .C(\soc.core.dbg_uart_address[17] ), + .D(_14017_), + .X(_14027_) + ); + sky130_fd_sc_hd__and3_2 _28478_ ( + .A(\soc.core.dbg_uart_address[19] ), + .B(\soc.core.dbg_uart_address[17] ), + .C(\soc.core.dbg_uart_address[16] ), + .X(_14028_) + ); + sky130_fd_sc_hd__nand4_2 _28479_ ( + .A(\soc.core.dbg_uart_address[18] ), + .B(\soc.core.dbg_uart_address[15] ), + .C(_14011_), + .D(_14028_), + .Y(_14029_) + ); + sky130_fd_sc_hd__and3_2 _28480_ ( + .A(_13949_), + .B(_14026_), + .C(_14029_), + .X(_14030_) + ); + sky130_fd_sc_hd__a22o_2 _28481_ ( + .A1(\soc.core.dbg_uart_address[11] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[19] ), + .X(_14031_) + ); + sky130_fd_sc_hd__o21a_2 _28482_ ( + .A1(_14030_), + .A2(_14031_), + .B1(_10904_), + .X(_09357_) + ); + sky130_fd_sc_hd__and2_4 _28483_ ( + .A(\soc.core.dbg_uart_address[20] ), + .B(_14027_), + .X(_14032_) + ); + sky130_fd_sc_hd__a21oi_2 _28484_ ( + .A1(_04970_), + .A2(_14029_), + .B1(_14032_), + .Y(_14033_) + ); + sky130_fd_sc_hd__a22o_2 _28485_ ( + .A1(\soc.core.dbg_uart_address[12] ), + .A2(_13951_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[20] ), + .X(_14034_) + ); + sky130_fd_sc_hd__a21oi_2 _28486_ ( + .A1(_14033_), + .A2(_13949_), + .B1(_14034_), + .Y(_14035_) + ); + sky130_fd_sc_hd__nor2_2 _28487_ ( + .A(\soc.core.int_rst ), + .B(_14035_), + .Y(_09358_) + ); + sky130_fd_sc_hd__and3_2 _28488_ ( + .A(\soc.core.dbg_uart_address[21] ), + .B(\soc.core.dbg_uart_address[20] ), + .C(_14027_), + .X(_14036_) + ); + sky130_fd_sc_hd__o31a_2 _28489_ ( + .A1(_04973_), + .A2(_04970_), + .A3(_14029_), + .B1(_13949_), + .X(_14037_) + ); + sky130_fd_sc_hd__o21ai_2 _28490_ ( + .A1(_04970_), + .A2(_14029_), + .B1(_04973_), + .Y(_14038_) + ); + sky130_fd_sc_hd__a22o_2 _28491_ ( + .A1(\soc.core.dbg_uart_address[13] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[21] ), + .X(_14039_) + ); + sky130_fd_sc_hd__a21oi_2 _28492_ ( + .A1(_14037_), + .A2(_14038_), + .B1(_14039_), + .Y(_14040_) + ); + sky130_fd_sc_hd__nor2_2 _28493_ ( + .A(\soc.core.int_rst ), + .B(_14040_), + .Y(_09359_) + ); + sky130_fd_sc_hd__o21ai_2 _28494_ ( + .A1(_13952_), + .A2(_14037_), + .B1(\soc.core.dbg_uart_address[22] ), + .Y(_14041_) + ); + sky130_fd_sc_hd__a32oi_2 _28495_ ( + .A1(_14036_), + .A2(_13949_), + .A3(_04976_), + .B1(\soc.core.dbg_uart_address[14] ), + .B2(_13950_), + .Y(_14042_) + ); + sky130_fd_sc_hd__a21oi_2 _28496_ ( + .A1(_14042_), + .A2(_14041_), + .B1(\soc.core.int_rst ), + .Y(_09360_) + ); + sky130_fd_sc_hd__nand2_2 _28497_ ( + .A(\soc.core.dbg_uart_address[22] ), + .B(_14036_), + .Y(_14043_) + ); + sky130_fd_sc_hd__nor2_2 _28498_ ( + .A(_04937_), + .B(_04976_), + .Y(_14044_) + ); + sky130_fd_sc_hd__and3_2 _28499_ ( + .A(\soc.core.dbg_uart_address[21] ), + .B(_14032_), + .C(_14044_), + .X(_14045_) + ); + sky130_fd_sc_hd__a211oi_2 _28500_ ( + .A1(_04937_), + .A2(_14043_), + .B1(_14045_), + .C1(_13948_), + .Y(_14046_) + ); + sky130_fd_sc_hd__a22o_2 _28501_ ( + .A1(\soc.core.dbg_uart_address[15] ), + .A2(_13951_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[23] ), + .X(_14047_) + ); + sky130_fd_sc_hd__o21a_2 _28502_ ( + .A1(_14047_), + .A2(_14046_), + .B1(_10904_), + .X(_09361_) + ); + sky130_fd_sc_hd__nand4_2 _28503_ ( + .A(\soc.core.dbg_uart_address[24] ), + .B(\soc.core.dbg_uart_address[21] ), + .C(_14032_), + .D(_14044_), + .Y(_14048_) + ); + sky130_fd_sc_hd__nand4_2 _28504_ ( + .A(\soc.core.dbg_uart_address[24] ), + .B(\soc.core.dbg_uart_address[21] ), + .C(\soc.core.dbg_uart_address[20] ), + .D(_14044_), + .Y(_14049_) + ); + sky130_fd_sc_hd__nor2_2 _28505_ ( + .A(_14029_), + .B(_14049_), + .Y(_14050_) + ); + sky130_fd_sc_hd__o211a_2 _28506_ ( + .A1(\soc.core.dbg_uart_address[24] ), + .A2(_14045_), + .B1(_14048_), + .C1(_13949_), + .X(_14051_) + ); + sky130_fd_sc_hd__a22o_2 _28507_ ( + .A1(\soc.core.dbg_uart_address[16] ), + .A2(_13951_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[24] ), + .X(_14052_) + ); + sky130_fd_sc_hd__o21a_2 _28508_ ( + .A1(_14052_), + .A2(_14051_), + .B1(_10904_), + .X(_09362_) + ); + sky130_fd_sc_hd__o31a_2 _28509_ ( + .A1(_04979_), + .A2(_14029_), + .A3(_14049_), + .B1(_13949_), + .X(_14053_) + ); + sky130_fd_sc_hd__a22o_2 _28510_ ( + .A1(\soc.core.dbg_uart_address[17] ), + .A2(_13951_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[25] ), + .X(_14054_) + ); + sky130_fd_sc_hd__o21a_2 _28511_ ( + .A1(\soc.core.dbg_uart_address[25] ), + .A2(_14050_), + .B1(_14053_), + .X(_14055_) + ); + sky130_fd_sc_hd__o21a_2 _28512_ ( + .A1(_14054_), + .A2(_14055_), + .B1(_10904_), + .X(_09363_) + ); + sky130_fd_sc_hd__o21a_2 _28513_ ( + .A1(_13952_), + .A2(_14053_), + .B1(\soc.core.dbg_uart_address[26] ), + .X(_14056_) + ); + sky130_fd_sc_hd__and4b_2 _28514_ ( + .A_N(\soc.core.uartwishbonebridge_state[0] ), + .B(\soc.core.dbg_uart_address[18] ), + .C(_13913_), + .D(_13936_), + .X(_14057_) + ); + sky130_fd_sc_hd__a41o_2 _28515_ ( + .A1(\soc.core.dbg_uart_address[25] ), + .A2(_14050_), + .A3(_13949_), + .A4(_04926_), + .B1(_14057_), + .X(_14058_) + ); + sky130_fd_sc_hd__o21a_2 _28516_ ( + .A1(_14056_), + .A2(_14058_), + .B1(_10904_), + .X(_09364_) + ); + sky130_fd_sc_hd__nand2_2 _28517_ ( + .A(\soc.core.dbg_uart_address[26] ), + .B(\soc.core.dbg_uart_address[25] ), + .Y(_14059_) + ); + sky130_fd_sc_hd__and3_2 _28518_ ( + .A(\soc.core.dbg_uart_address[27] ), + .B(\soc.core.dbg_uart_address[26] ), + .C(\soc.core.dbg_uart_address[25] ), + .X(_14060_) + ); + sky130_fd_sc_hd__a41o_2 _28519_ ( + .A1(\soc.core.dbg_uart_address[27] ), + .A2(\soc.core.dbg_uart_address[26] ), + .A3(\soc.core.dbg_uart_address[25] ), + .A4(_14050_), + .B1(_13948_), + .X(_14061_) + ); + sky130_fd_sc_hd__o21ai_2 _28520_ ( + .A1(_13949_), + .A2(_13950_), + .B1(_14061_), + .Y(_14062_) + ); + sky130_fd_sc_hd__nor4_2 _28521_ ( + .A(\soc.core.dbg_uart_address[27] ), + .B(_14059_), + .C(_13948_), + .D(_14048_), + .Y(_14063_) + ); + sky130_fd_sc_hd__a22o_2 _28522_ ( + .A1(\soc.core.dbg_uart_address[19] ), + .A2(_13950_), + .B1(_14062_), + .B2(\soc.core.dbg_uart_address[27] ), + .X(_14064_) + ); + sky130_fd_sc_hd__o21a_2 _28523_ ( + .A1(_14063_), + .A2(_14064_), + .B1(_10904_), + .X(_09365_) + ); + sky130_fd_sc_hd__nand2_2 _28524_ ( + .A(_14062_), + .B(\soc.core.dbg_uart_address[28] ), + .Y(_14065_) + ); + sky130_fd_sc_hd__nand4_2 _28525_ ( + .A(_14050_), + .B(_14060_), + .C(_04920_), + .D(_13949_), + .Y(_14066_) + ); + sky130_fd_sc_hd__nand2_2 _28526_ ( + .A(\soc.core.dbg_uart_address[20] ), + .B(_13951_), + .Y(_14067_) + ); + sky130_fd_sc_hd__a31oi_2 _28527_ ( + .A1(_14065_), + .A2(_14066_), + .A3(_14067_), + .B1(\soc.core.int_rst ), + .Y(_09366_) + ); + sky130_fd_sc_hd__a22o_2 _28528_ ( + .A1(\soc.core.dbg_uart_address[21] ), + .A2(_13950_), + .B1(_13952_), + .B2(\soc.core.dbg_uart_address[29] ), + .X(_14068_) + ); + sky130_fd_sc_hd__a31o_2 _28529_ ( + .A1(\soc.core.dbg_uart_address[28] ), + .A2(_14050_), + .A3(_14060_), + .B1(\soc.core.dbg_uart_address[29] ), + .X(_14069_) + ); + sky130_fd_sc_hd__nand3_2 _28530_ ( + .A(\soc.core.dbg_uart_address[29] ), + .B(\soc.core.dbg_uart_address[28] ), + .C(_14050_), + .Y(_14070_) + ); + sky130_fd_sc_hd__o311a_2 _28531_ ( + .A1(_04923_), + .A2(_14059_), + .A3(_14070_), + .B1(_14069_), + .C1(_13949_), + .X(_14071_) + ); + sky130_fd_sc_hd__o21a_2 _28532_ ( + .A1(_14068_), + .A2(_14071_), + .B1(_10904_), + .X(_09367_) + ); + sky130_fd_sc_hd__and3_2 _28533_ ( + .A(_05124_), + .B(\soc.core.uartwishbonebridge_state[0] ), + .C(\soc.core.uartwishbonebridge_state[2] ), + .X(_14072_) + ); + sky130_fd_sc_hd__nand2_2 _28534_ ( + .A(\soc.core.uartwishbonebridge_state[0] ), + .B(_11140_), + .Y(_14073_) + ); + sky130_fd_sc_hd__and3_2 _28535_ ( + .A(\soc.core.uartwishbonebridge_state[0] ), + .B(_13913_), + .C(_13936_), + .X(_14074_) + ); + sky130_fd_sc_hd__a31oi_2 _28536_ ( + .A1(_11189_), + .A2(_12340_), + .A3(_14072_), + .B1(_14074_), + .Y(_14075_) + ); + sky130_fd_sc_hd__a31o_2 _28537_ ( + .A1(_11189_), + .A2(_12340_), + .A3(_14072_), + .B1(_14074_), + .X(_14076_) + ); + sky130_fd_sc_hd__and4_2 _28538_ ( + .A(_11189_), + .B(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[0] ), + .C(_12340_), + .D(_14072_), + .X(_14077_) + ); + sky130_fd_sc_hd__a22o_2 _28539_ ( + .A1(\soc.core.dbg_uart_rx_data[0] ), + .A2(_14074_), + .B1(_14075_), + .B2(\soc.core.dbg_uart_data[0] ), + .X(_14078_) + ); + sky130_fd_sc_hd__o21a_2 _28540_ ( + .A1(_14078_), + .A2(_14077_), + .B1(_10904_), + .X(_09368_) + ); + sky130_fd_sc_hd__a221o_2 _28541_ ( + .A1(\soc.core.dbg_uart_rx_data[1] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[1] ), + .B2(_14072_), + .C1(_14075_), + .X(_14079_) + ); + sky130_fd_sc_hd__o211a_2 _28542_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[1] ), + .B1(_10904_), + .C1(_14079_), + .X(_09369_) + ); + sky130_fd_sc_hd__a221o_2 _28543_ ( + .A1(\soc.core.dbg_uart_rx_data[2] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[2] ), + .B2(_14072_), + .C1(_14075_), + .X(_14080_) + ); + sky130_fd_sc_hd__o211a_2 _28544_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[2] ), + .B1(_10904_), + .C1(_14080_), + .X(_09370_) + ); + sky130_fd_sc_hd__a221o_2 _28545_ ( + .A1(\soc.core.dbg_uart_rx_data[3] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[3] ), + .B2(_14072_), + .C1(_14075_), + .X(_14081_) + ); + sky130_fd_sc_hd__o211a_2 _28546_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[3] ), + .B1(_10904_), + .C1(_14081_), + .X(_09371_) + ); + sky130_fd_sc_hd__a221o_2 _28547_ ( + .A1(\soc.core.dbg_uart_rx_data[4] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[4] ), + .B2(_14072_), + .C1(_14075_), + .X(_14082_) + ); + sky130_fd_sc_hd__o211a_2 _28548_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[4] ), + .B1(_10904_), + .C1(_14082_), + .X(_09372_) + ); + sky130_fd_sc_hd__a221o_2 _28549_ ( + .A1(\soc.core.dbg_uart_rx_data[5] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[5] ), + .B2(_14072_), + .C1(_14075_), + .X(_14083_) + ); + sky130_fd_sc_hd__o211a_2 _28550_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[5] ), + .B1(_10904_), + .C1(_14083_), + .X(_09373_) + ); + sky130_fd_sc_hd__a221o_2 _28551_ ( + .A1(\soc.core.dbg_uart_rx_data[6] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[6] ), + .B2(_14072_), + .C1(_14075_), + .X(_14084_) + ); + sky130_fd_sc_hd__o211a_2 _28552_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[6] ), + .B1(_10904_), + .C1(_14084_), + .X(_09374_) + ); + sky130_fd_sc_hd__a221o_2 _28553_ ( + .A1(\soc.core.dbg_uart_rx_data[7] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[7] ), + .B2(_14072_), + .C1(_14075_), + .X(_14085_) + ); + sky130_fd_sc_hd__o211a_2 _28554_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[7] ), + .B1(_10904_), + .C1(_14085_), + .X(_09375_) + ); + sky130_fd_sc_hd__a221o_2 _28555_ ( + .A1(\soc.core.dbg_uart_data[0] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[8] ), + .B2(_14072_), + .C1(_14075_), + .X(_14086_) + ); + sky130_fd_sc_hd__o211a_2 _28556_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[8] ), + .B1(_10904_), + .C1(_14086_), + .X(_09376_) + ); + sky130_fd_sc_hd__a221o_2 _28557_ ( + .A1(\soc.core.dbg_uart_data[1] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[9] ), + .B2(_14072_), + .C1(_14075_), + .X(_14087_) + ); + sky130_fd_sc_hd__o211a_2 _28558_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[9] ), + .B1(_10904_), + .C1(_14087_), + .X(_09377_) + ); + sky130_fd_sc_hd__a221o_2 _28559_ ( + .A1(\soc.core.dbg_uart_data[2] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[10] ), + .B2(_14072_), + .C1(_14075_), + .X(_14088_) + ); + sky130_fd_sc_hd__o211a_2 _28560_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[10] ), + .B1(_10904_), + .C1(_14088_), + .X(_09378_) + ); + sky130_fd_sc_hd__a221o_2 _28561_ ( + .A1(\soc.core.dbg_uart_data[3] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[11] ), + .B2(_14072_), + .C1(_14075_), + .X(_14089_) + ); + sky130_fd_sc_hd__o211a_2 _28562_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[11] ), + .B1(_10904_), + .C1(_14089_), + .X(_09379_) + ); + sky130_fd_sc_hd__a221o_2 _28563_ ( + .A1(\soc.core.dbg_uart_data[4] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[12] ), + .B2(_14072_), + .C1(_14075_), + .X(_14090_) + ); + sky130_fd_sc_hd__o211a_2 _28564_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[12] ), + .B1(_10904_), + .C1(_14090_), + .X(_09380_) + ); + sky130_fd_sc_hd__a221o_2 _28565_ ( + .A1(\soc.core.dbg_uart_data[5] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[13] ), + .B2(_14072_), + .C1(_14075_), + .X(_14091_) + ); + sky130_fd_sc_hd__o211a_2 _28566_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[13] ), + .B1(_10904_), + .C1(_14091_), + .X(_09381_) + ); + sky130_fd_sc_hd__a221o_2 _28567_ ( + .A1(\soc.core.dbg_uart_data[6] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[14] ), + .B2(_14072_), + .C1(_14075_), + .X(_14092_) + ); + sky130_fd_sc_hd__o211a_2 _28568_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[14] ), + .B1(_10904_), + .C1(_14092_), + .X(_09382_) + ); + sky130_fd_sc_hd__a221o_2 _28569_ ( + .A1(\soc.core.dbg_uart_data[7] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[15] ), + .B2(_14072_), + .C1(_14075_), + .X(_14093_) + ); + sky130_fd_sc_hd__o211a_2 _28570_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[15] ), + .B1(_10904_), + .C1(_14093_), + .X(_09383_) + ); + sky130_fd_sc_hd__a221o_2 _28571_ ( + .A1(\soc.core.dbg_uart_data[8] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[16] ), + .B2(_14072_), + .C1(_14075_), + .X(_14094_) + ); + sky130_fd_sc_hd__o211a_2 _28572_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[16] ), + .B1(_10904_), + .C1(_14094_), + .X(_09384_) + ); + sky130_fd_sc_hd__a221o_2 _28573_ ( + .A1(\soc.core.dbg_uart_data[9] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[17] ), + .B2(_14072_), + .C1(_14075_), + .X(_14095_) + ); + sky130_fd_sc_hd__o211a_2 _28574_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[17] ), + .B1(_10904_), + .C1(_14095_), + .X(_09385_) + ); + sky130_fd_sc_hd__a221o_2 _28575_ ( + .A1(\soc.core.dbg_uart_data[10] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[18] ), + .B2(_14072_), + .C1(_14075_), + .X(_14096_) + ); + sky130_fd_sc_hd__o211a_2 _28576_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[18] ), + .B1(_10904_), + .C1(_14096_), + .X(_09386_) + ); + sky130_fd_sc_hd__a221o_2 _28577_ ( + .A1(\soc.core.dbg_uart_data[11] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[19] ), + .B2(_14072_), + .C1(_14075_), + .X(_14097_) + ); + sky130_fd_sc_hd__o211a_2 _28578_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[19] ), + .B1(_10904_), + .C1(_14097_), + .X(_09387_) + ); + sky130_fd_sc_hd__a221o_2 _28579_ ( + .A1(\soc.core.dbg_uart_data[12] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[20] ), + .B2(_14072_), + .C1(_14075_), + .X(_14098_) + ); + sky130_fd_sc_hd__o211a_2 _28580_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[20] ), + .B1(_10904_), + .C1(_14098_), + .X(_09388_) + ); + sky130_fd_sc_hd__a221o_2 _28581_ ( + .A1(\soc.core.dbg_uart_data[13] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[21] ), + .B2(_14072_), + .C1(_14075_), + .X(_14099_) + ); + sky130_fd_sc_hd__o211a_2 _28582_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[21] ), + .B1(_10904_), + .C1(_14099_), + .X(_09389_) + ); + sky130_fd_sc_hd__a221o_2 _28583_ ( + .A1(\soc.core.dbg_uart_data[14] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[22] ), + .B2(_14072_), + .C1(_14075_), + .X(_14100_) + ); + sky130_fd_sc_hd__o211a_2 _28584_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[22] ), + .B1(_10904_), + .C1(_14100_), + .X(_09390_) + ); + sky130_fd_sc_hd__a221o_2 _28585_ ( + .A1(\soc.core.dbg_uart_data[15] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[23] ), + .B2(_14072_), + .C1(_14075_), + .X(_14101_) + ); + sky130_fd_sc_hd__o211a_2 _28586_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[23] ), + .B1(_10904_), + .C1(_14101_), + .X(_09391_) + ); + sky130_fd_sc_hd__a221o_2 _28587_ ( + .A1(\soc.core.dbg_uart_data[16] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[24] ), + .B2(_14072_), + .C1(_14075_), + .X(_14102_) + ); + sky130_fd_sc_hd__o211a_2 _28588_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[24] ), + .B1(_10904_), + .C1(_14102_), + .X(_09392_) + ); + sky130_fd_sc_hd__a221o_2 _28589_ ( + .A1(\soc.core.dbg_uart_data[17] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[25] ), + .B2(_14072_), + .C1(_14075_), + .X(_14103_) + ); + sky130_fd_sc_hd__o211a_2 _28590_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[25] ), + .B1(_10904_), + .C1(_14103_), + .X(_09393_) + ); + sky130_fd_sc_hd__a221o_2 _28591_ ( + .A1(\soc.core.dbg_uart_data[18] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[26] ), + .B2(_14072_), + .C1(_14075_), + .X(_14104_) + ); + sky130_fd_sc_hd__o211a_2 _28592_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[26] ), + .B1(_10904_), + .C1(_14104_), + .X(_09394_) + ); + sky130_fd_sc_hd__a221o_2 _28593_ ( + .A1(\soc.core.dbg_uart_data[19] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[27] ), + .B2(_14072_), + .C1(_14075_), + .X(_14105_) + ); + sky130_fd_sc_hd__o211a_2 _28594_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[27] ), + .B1(_10904_), + .C1(_14105_), + .X(_09395_) + ); + sky130_fd_sc_hd__a221o_2 _28595_ ( + .A1(\soc.core.dbg_uart_data[20] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[28] ), + .B2(_14072_), + .C1(_14075_), + .X(_14106_) + ); + sky130_fd_sc_hd__o211a_2 _28596_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[28] ), + .B1(_10904_), + .C1(_14106_), + .X(_09396_) + ); + sky130_fd_sc_hd__a221o_2 _28597_ ( + .A1(\soc.core.dbg_uart_data[21] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[29] ), + .B2(_14072_), + .C1(_14075_), + .X(_14107_) + ); + sky130_fd_sc_hd__o211a_2 _28598_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[29] ), + .B1(_10904_), + .C1(_14107_), + .X(_09397_) + ); + sky130_fd_sc_hd__a221o_2 _28599_ ( + .A1(\soc.core.dbg_uart_data[22] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[30] ), + .B2(_14072_), + .C1(_14075_), + .X(_14108_) + ); + sky130_fd_sc_hd__o211a_2 _28600_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[30] ), + .B1(_10904_), + .C1(_14108_), + .X(_09398_) + ); + sky130_fd_sc_hd__a221o_2 _28601_ ( + .A1(\soc.core.dbg_uart_data[23] ), + .A2(_14074_), + .B1(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[31] ), + .B2(_14072_), + .C1(_14075_), + .X(_14109_) + ); + sky130_fd_sc_hd__o211a_2 _28602_ ( + .A1(_14076_), + .A2(\soc.core.dbg_uart_data[31] ), + .B1(_10904_), + .C1(_14109_), + .X(_09399_) + ); + sky130_fd_sc_hd__a21oi_2 _28603_ ( + .A1(_13913_), + .A2(_13936_), + .B1(_13945_), + .Y(_14110_) + ); + sky130_fd_sc_hd__o21ai_2 _28604_ ( + .A1(_04827_), + .A2(_13936_), + .B1(_14110_), + .Y(_14111_) + ); + sky130_fd_sc_hd__a311oi_2 _28605_ ( + .A1(_04959_), + .A2(\soc.core.uartwishbonebridge_state[1] ), + .A3(_13913_), + .B1(_13945_), + .C1(\soc.core.dbg_uart_bytes_count[0] ), + .Y(_14112_) + ); + sky130_fd_sc_hd__a211oi_2 _28606_ ( + .A1(_14111_), + .A2(\soc.core.dbg_uart_bytes_count[0] ), + .B1(\soc.core.int_rst ), + .C1(_14112_), + .Y(_09400_) + ); + sky130_fd_sc_hd__a21o_2 _28607_ ( + .A1(_14111_), + .A2(\soc.core.dbg_uart_bytes_count[0] ), + .B1(\soc.core.dbg_uart_bytes_count[1] ), + .X(_14113_) + ); + sky130_fd_sc_hd__o21a_2 _28608_ ( + .A1(_11816_), + .A2(_14110_), + .B1(_14113_), + .X(_14114_) + ); + sky130_fd_sc_hd__o311a_2 _28609_ ( + .A1(_04827_), + .A2(_13936_), + .A3(_13945_), + .B1(_10904_), + .C1(_14114_), + .X(_09401_) + ); + sky130_fd_sc_hd__o21ai_2 _28610_ ( + .A1(_04826_), + .A2(_11139_), + .B1(_13948_), + .Y(_14115_) + ); + sky130_fd_sc_hd__nand2_2 _28611_ ( + .A(_14115_), + .B(\soc.core.dbg_uart_words_count[0] ), + .Y(_14116_) + ); + sky130_fd_sc_hd__o21a_2 _28612_ ( + .A1(_04826_), + .A2(_11139_), + .B1(_10904_), + .X(_14117_) + ); + sky130_fd_sc_hd__o211a_2 _28613_ ( + .A1(\soc.core.dbg_uart_words_count[0] ), + .A2(_13949_), + .B1(_14117_), + .C1(_14116_), + .X(_09402_) + ); + sky130_fd_sc_hd__a21o_2 _28614_ ( + .A1(_14115_), + .A2(\soc.core.dbg_uart_words_count[0] ), + .B1(\soc.core.dbg_uart_words_count[1] ), + .X(_14118_) + ); + sky130_fd_sc_hd__nand3_2 _28615_ ( + .A(_14115_), + .B(\soc.core.dbg_uart_words_count[0] ), + .C(\soc.core.dbg_uart_words_count[1] ), + .Y(_14119_) + ); + sky130_fd_sc_hd__and3_2 _28616_ ( + .A(_14118_), + .B(_14119_), + .C(_14117_), + .X(_09403_) + ); + sky130_fd_sc_hd__a31o_2 _28617_ ( + .A1(_14115_), + .A2(\soc.core.dbg_uart_words_count[0] ), + .A3(\soc.core.dbg_uart_words_count[1] ), + .B1(\soc.core.dbg_uart_words_count[2] ), + .X(_14120_) + ); + sky130_fd_sc_hd__and4_2 _28618_ ( + .A(_14115_), + .B(\soc.core.dbg_uart_words_count[0] ), + .C(\soc.core.dbg_uart_words_count[1] ), + .D(\soc.core.dbg_uart_words_count[2] ), + .X(_14121_) + ); + sky130_fd_sc_hd__and3b_2 _28619_ ( + .A_N(_14121_), + .B(_14117_), + .C(_14120_), + .X(_09404_) + ); + sky130_fd_sc_hd__o21ai_2 _28620_ ( + .A1(\soc.core.dbg_uart_words_count[3] ), + .A2(_14121_), + .B1(_14117_), + .Y(_14122_) + ); + sky130_fd_sc_hd__a21oi_2 _28621_ ( + .A1(\soc.core.dbg_uart_words_count[3] ), + .A2(_14121_), + .B1(_14122_), + .Y(_09405_) + ); + sky130_fd_sc_hd__a21o_2 _28622_ ( + .A1(\soc.core.dbg_uart_words_count[3] ), + .A2(_14121_), + .B1(\soc.core.dbg_uart_words_count[4] ), + .X(_14123_) + ); + sky130_fd_sc_hd__and4_2 _28623_ ( + .A(\soc.core.dbg_uart_words_count[4] ), + .B(\soc.core.dbg_uart_words_count[2] ), + .C(\soc.core.dbg_uart_words_count[1] ), + .D(\soc.core.dbg_uart_words_count[0] ), + .X(_14124_) + ); + sky130_fd_sc_hd__and3_2 _28624_ ( + .A(_14115_), + .B(_14124_), + .C(\soc.core.dbg_uart_words_count[3] ), + .X(_14125_) + ); + sky130_fd_sc_hd__and3b_2 _28625_ ( + .A_N(_14125_), + .B(_14117_), + .C(_14123_), + .X(_09406_) + ); + sky130_fd_sc_hd__o21ai_2 _28626_ ( + .A1(\soc.core.dbg_uart_words_count[5] ), + .A2(_14125_), + .B1(_14117_), + .Y(_14126_) + ); + sky130_fd_sc_hd__a21oi_2 _28627_ ( + .A1(\soc.core.dbg_uart_words_count[5] ), + .A2(_14125_), + .B1(_14126_), + .Y(_09407_) + ); + sky130_fd_sc_hd__a41o_2 _28628_ ( + .A1(_14115_), + .A2(_14124_), + .A3(\soc.core.dbg_uart_words_count[5] ), + .A4(\soc.core.dbg_uart_words_count[3] ), + .B1(\soc.core.dbg_uart_words_count[6] ), + .X(_14127_) + ); + sky130_fd_sc_hd__and3_2 _28629_ ( + .A(\soc.core.dbg_uart_words_count[6] ), + .B(\soc.core.dbg_uart_words_count[5] ), + .C(_14125_), + .X(_14128_) + ); + sky130_fd_sc_hd__and3b_2 _28630_ ( + .A_N(_14128_), + .B(_14117_), + .C(_14127_), + .X(_09408_) + ); + sky130_fd_sc_hd__o21ai_2 _28631_ ( + .A1(\soc.core.dbg_uart_words_count[7] ), + .A2(_14128_), + .B1(_14117_), + .Y(_14129_) + ); + sky130_fd_sc_hd__a21oi_2 _28632_ ( + .A1(\soc.core.dbg_uart_words_count[7] ), + .A2(_14128_), + .B1(_14129_), + .Y(_09409_) + ); + sky130_fd_sc_hd__a21oi_2 _28633_ ( + .A1(_13912_), + .A2(_05125_), + .B1(\soc.core.int_rst ), + .Y(_14130_) + ); + sky130_fd_sc_hd__a21o_2 _28634_ ( + .A1(_13912_), + .A2(_05125_), + .B1(\soc.core.int_rst ), + .X(_14131_) + ); + sky130_fd_sc_hd__a21o_2 _28635_ ( + .A1(_13931_), + .A2(_13933_), + .B1(\soc.core.dbg_uart_count[0] ), + .X(_14132_) + ); + sky130_fd_sc_hd__nor2_2 _28636_ ( + .A(_14131_), + .B(_14132_), + .Y(_09410_) + ); + sky130_fd_sc_hd__nand2_2 _28637_ ( + .A(_14132_), + .B(\soc.core.dbg_uart_count[1] ), + .Y(_14133_) + ); + sky130_fd_sc_hd__a211o_2 _28638_ ( + .A1(_13931_), + .A2(_13933_), + .B1(\soc.core.dbg_uart_count[1] ), + .C1(\soc.core.dbg_uart_count[0] ), + .X(_14134_) + ); + sky130_fd_sc_hd__a21oi_2 _28639_ ( + .A1(_14133_), + .A2(_14134_), + .B1(_14131_), + .Y(_09411_) + ); + sky130_fd_sc_hd__o21ai_2 _28640_ ( + .A1(\soc.core.dbg_uart_count[1] ), + .A2(_14132_), + .B1(\soc.core.dbg_uart_count[2] ), + .Y(_14135_) + ); + sky130_fd_sc_hd__a2111o_2 _28641_ ( + .A1(_13931_), + .A2(_13933_), + .B1(\soc.core.dbg_uart_count[2] ), + .C1(\soc.core.dbg_uart_count[1] ), + .D1(\soc.core.dbg_uart_count[0] ), + .X(_14136_) + ); + sky130_fd_sc_hd__a21oi_2 _28642_ ( + .A1(_14135_), + .A2(_14136_), + .B1(_14131_), + .Y(_09412_) + ); + sky130_fd_sc_hd__nor2_2 _28643_ ( + .A(\soc.core.dbg_uart_count[3] ), + .B(_14136_), + .Y(_14137_) + ); + sky130_fd_sc_hd__o31a_2 _28644_ ( + .A1(\soc.core.dbg_uart_count[2] ), + .A2(\soc.core.dbg_uart_count[1] ), + .A3(_14132_), + .B1(\soc.core.dbg_uart_count[3] ), + .X(_14138_) + ); + sky130_fd_sc_hd__o21a_2 _28645_ ( + .A1(_14137_), + .A2(_14138_), + .B1(_14130_), + .X(_09413_) + ); + sky130_fd_sc_hd__o31a_2 _28646_ ( + .A1(\soc.core.dbg_uart_count[3] ), + .A2(\soc.core.dbg_uart_count[2] ), + .A3(_14134_), + .B1(\soc.core.dbg_uart_count[4] ), + .X(_14139_) + ); + sky130_fd_sc_hd__nor4_2 _28647_ ( + .A(\soc.core.dbg_uart_count[4] ), + .B(\soc.core.dbg_uart_count[3] ), + .C(\soc.core.dbg_uart_count[2] ), + .D(_14134_), + .Y(_14140_) + ); + sky130_fd_sc_hd__o21a_2 _28648_ ( + .A1(_14139_), + .A2(_14140_), + .B1(_14130_), + .X(_09414_) + ); + sky130_fd_sc_hd__nor4_2 _28649_ ( + .A(\soc.core.dbg_uart_count[5] ), + .B(\soc.core.dbg_uart_count[4] ), + .C(\soc.core.dbg_uart_count[3] ), + .D(_14136_), + .Y(_14141_) + ); + sky130_fd_sc_hd__o31a_2 _28650_ ( + .A1(\soc.core.dbg_uart_count[4] ), + .A2(\soc.core.dbg_uart_count[3] ), + .A3(_14136_), + .B1(\soc.core.dbg_uart_count[5] ), + .X(_14142_) + ); + sky130_fd_sc_hd__o21a_2 _28651_ ( + .A1(_14141_), + .A2(_14142_), + .B1(_14130_), + .X(_09415_) + ); + sky130_fd_sc_hd__o41a_2 _28652_ ( + .A1(\soc.core.dbg_uart_count[5] ), + .A2(\soc.core.dbg_uart_count[4] ), + .A3(\soc.core.dbg_uart_count[3] ), + .A4(_14136_), + .B1(\soc.core.dbg_uart_count[6] ), + .X(_14143_) + ); + sky130_fd_sc_hd__a21o_2 _28653_ ( + .A1(_13931_), + .A2(_13933_), + .B1(_13925_), + .X(_14144_) + ); + sky130_fd_sc_hd__nand3b_2 _28654_ ( + .A_N(_14143_), + .B(_14144_), + .C(_14130_), + .Y(_09416_) + ); + sky130_fd_sc_hd__nand2_2 _28655_ ( + .A(_14144_), + .B(\soc.core.dbg_uart_count[7] ), + .Y(_14145_) + ); + sky130_fd_sc_hd__a211o_2 _28656_ ( + .A1(_13931_), + .A2(_13933_), + .B1(\soc.core.dbg_uart_count[7] ), + .C1(_13925_), + .X(_14146_) + ); + sky130_fd_sc_hd__a21oi_2 _28657_ ( + .A1(_14145_), + .A2(_14146_), + .B1(_14131_), + .Y(_09417_) + ); + sky130_fd_sc_hd__a2111o_2 _28658_ ( + .A1(_13931_), + .A2(_13933_), + .B1(\soc.core.dbg_uart_count[8] ), + .C1(\soc.core.dbg_uart_count[7] ), + .D1(_13925_), + .X(_14147_) + ); + sky130_fd_sc_hd__o21ai_2 _28659_ ( + .A1(\soc.core.dbg_uart_count[7] ), + .A2(_14144_), + .B1(\soc.core.dbg_uart_count[8] ), + .Y(_14148_) + ); + sky130_fd_sc_hd__a21oi_2 _28660_ ( + .A1(_14147_), + .A2(_14148_), + .B1(_14131_), + .Y(_09418_) + ); + sky130_fd_sc_hd__a21oi_2 _28661_ ( + .A1(_14147_), + .A2(\soc.core.dbg_uart_count[9] ), + .B1(_14131_), + .Y(_14149_) + ); + sky130_fd_sc_hd__o21ai_2 _28662_ ( + .A1(\soc.core.dbg_uart_count[9] ), + .A2(_14147_), + .B1(_14149_), + .Y(_09419_) + ); + sky130_fd_sc_hd__o31a_2 _28663_ ( + .A1(\soc.core.dbg_uart_count[9] ), + .A2(\soc.core.dbg_uart_count[8] ), + .A3(_14146_), + .B1(\soc.core.dbg_uart_count[10] ), + .X(_14150_) + ); + sky130_fd_sc_hd__nor4_2 _28664_ ( + .A(\soc.core.dbg_uart_count[10] ), + .B(\soc.core.dbg_uart_count[9] ), + .C(\soc.core.dbg_uart_count[8] ), + .D(_14146_), + .Y(_14151_) + ); + sky130_fd_sc_hd__o21a_2 _28665_ ( + .A1(_14150_), + .A2(_14151_), + .B1(_14130_), + .X(_09420_) + ); + sky130_fd_sc_hd__o31a_2 _28666_ ( + .A1(\soc.core.dbg_uart_count[10] ), + .A2(\soc.core.dbg_uart_count[9] ), + .A3(_14147_), + .B1(\soc.core.dbg_uart_count[11] ), + .X(_14152_) + ); + sky130_fd_sc_hd__nor4_2 _28667_ ( + .A(\soc.core.dbg_uart_count[11] ), + .B(\soc.core.dbg_uart_count[10] ), + .C(\soc.core.dbg_uart_count[9] ), + .D(_14147_), + .Y(_14153_) + ); + sky130_fd_sc_hd__o21a_2 _28668_ ( + .A1(_14152_), + .A2(_14153_), + .B1(_14130_), + .X(_09421_) + ); + sky130_fd_sc_hd__o41a_2 _28669_ ( + .A1(\soc.core.dbg_uart_count[11] ), + .A2(\soc.core.dbg_uart_count[10] ), + .A3(\soc.core.dbg_uart_count[9] ), + .A4(_14147_), + .B1(\soc.core.dbg_uart_count[12] ), + .X(_14154_) + ); + sky130_fd_sc_hd__nor4b_2 _28670_ ( + .A(\soc.core.dbg_uart_count[12] ), + .B(_14146_), + .C(\soc.core.dbg_uart_count[8] ), + .D_N(_13927_), + .Y(_14155_) + ); + sky130_fd_sc_hd__o21a_2 _28671_ ( + .A1(_14154_), + .A2(_14155_), + .B1(_14130_), + .X(_09422_) + ); + sky130_fd_sc_hd__a21oi_2 _28672_ ( + .A1(\soc.core.dbg_uart_count[13] ), + .A2(_14155_), + .B1(_14131_), + .Y(_14156_) + ); + sky130_fd_sc_hd__o21a_2 _28673_ ( + .A1(\soc.core.dbg_uart_count[13] ), + .A2(_14155_), + .B1(_14156_), + .X(_09423_) + ); + sky130_fd_sc_hd__a21oi_2 _28674_ ( + .A1(_13931_), + .A2(_13933_), + .B1(_13930_), + .Y(_14157_) + ); + sky130_fd_sc_hd__a211o_2 _28675_ ( + .A1(\soc.core.dbg_uart_count[14] ), + .A2(_13929_), + .B1(_14131_), + .C1(_14157_), + .X(_09424_) + ); + sky130_fd_sc_hd__a211o_2 _28676_ ( + .A1(_13931_), + .A2(_13933_), + .B1(\soc.core.dbg_uart_count[15] ), + .C1(_13930_), + .X(_14158_) + ); + sky130_fd_sc_hd__o21ai_2 _28677_ ( + .A1(\soc.core.dbg_uart_count[14] ), + .A2(_13929_), + .B1(\soc.core.dbg_uart_count[15] ), + .Y(_14159_) + ); + sky130_fd_sc_hd__a21oi_2 _28678_ ( + .A1(_14158_), + .A2(_14159_), + .B1(_14131_), + .Y(_09425_) + ); + sky130_fd_sc_hd__o31a_2 _28679_ ( + .A1(\soc.core.dbg_uart_count[19] ), + .A2(\soc.core.dbg_uart_count[18] ), + .A3(\soc.core.dbg_uart_count[17] ), + .B1(_13931_), + .X(_14160_) + ); + sky130_fd_sc_hd__o31a_2 _28680_ ( + .A1(\soc.core.dbg_uart_count[15] ), + .A2(\soc.core.dbg_uart_count[14] ), + .A3(_13929_), + .B1(\soc.core.dbg_uart_count[16] ), + .X(_14161_) + ); + sky130_fd_sc_hd__a2111o_2 _28681_ ( + .A1(_13912_), + .A2(_05125_), + .B1(\soc.core.int_rst ), + .C1(_14161_), + .D1(_14160_), + .X(_09426_) + ); + sky130_fd_sc_hd__o31ai_2 _28682_ ( + .A1(\soc.core.dbg_uart_count[16] ), + .A2(\soc.core.dbg_uart_count[15] ), + .A3(_13930_), + .B1(\soc.core.dbg_uart_count[17] ), + .Y(_14162_) + ); + sky130_fd_sc_hd__o211ai_2 _28683_ ( + .A1(_13932_), + .A2(_13934_), + .B1(_14130_), + .C1(_14162_), + .Y(_09427_) + ); + sky130_fd_sc_hd__and4bb_2 _28684_ ( + .A_N(\soc.core.dbg_uart_count[18] ), + .B_N(\soc.core.dbg_uart_count[17] ), + .C(_13931_), + .D(\soc.core.dbg_uart_count[19] ), + .X(_14163_) + ); + sky130_fd_sc_hd__a211o_2 _28685_ ( + .A1(\soc.core.dbg_uart_count[18] ), + .A2(_13934_), + .B1(_14131_), + .C1(_14163_), + .X(_09428_) + ); + sky130_fd_sc_hd__o21a_2 _28686_ ( + .A1(\soc.core.dbg_uart_count[18] ), + .A2(_13934_), + .B1(\soc.core.dbg_uart_count[19] ), + .X(_14164_) + ); + sky130_fd_sc_hd__a211o_2 _28687_ ( + .A1(_13912_), + .A2(_05125_), + .B1(\soc.core.int_rst ), + .C1(_14164_), + .X(_09429_) + ); + sky130_fd_sc_hd__nand2_2 _28688_ ( + .A(_12395_), + .B(_12433_), + .Y(_14165_) + ); + sky130_fd_sc_hd__a31o_2 _28689_ ( + .A1(_11016_), + .A2(_12436_), + .A3(_12487_), + .B1(\soc.core.debug_oeb_storage ), + .X(_14166_) + ); + sky130_fd_sc_hd__o211a_2 _28690_ ( + .A1(_14165_), + .A2(_12439_), + .B1(_10904_), + .C1(_14166_), + .X(_09430_) + ); + sky130_fd_sc_hd__nand4_2 _28691_ ( + .A(_12440_), + .B(_12433_), + .C(_12396_), + .D(_11016_), + .Y(_14167_) + ); + sky130_fd_sc_hd__a31o_2 _28692_ ( + .A1(_11016_), + .A2(_12396_), + .A3(_12433_), + .B1(\soc.core.debug_mode_storage ), + .X(_14168_) + ); + sky130_fd_sc_hd__and3_2 _28693_ ( + .A(_10904_), + .B(_14167_), + .C(_14168_), + .X(_09431_) + ); + sky130_fd_sc_hd__and3_2 _28694_ ( + .A(_12433_), + .B(_12440_), + .C(_12394_), + .X(_14169_) + ); + sky130_fd_sc_hd__a31oi_2 _28695_ ( + .A1(_12388_), + .A2(_12389_), + .A3(_12433_), + .B1(\soc.core.uart_enabled_storage ), + .Y(_14170_) + ); + sky130_fd_sc_hd__a311oi_2 _28696_ ( + .A1(_11012_), + .A2(_12388_), + .A3(_14169_), + .B1(_14170_), + .C1(\soc.core.int_rst ), + .Y(_09432_) + ); + sky130_fd_sc_hd__and4_2 _28697_ ( + .A(_11012_), + .B(\mgmt_buffers.mprj_adr_o_core[11] ), + .C(_04984_), + .D(_12391_), + .X(_14171_) + ); + sky130_fd_sc_hd__and3_2 _28698_ ( + .A(_11014_), + .B(_14171_), + .C(_12440_), + .X(_14172_) + ); + sky130_fd_sc_hd__a21oi_2 _28699_ ( + .A1(_12433_), + .A2(_14171_), + .B1(gpio_mode1_core), + .Y(_14173_) + ); + sky130_fd_sc_hd__a211oi_2 _28700_ ( + .A1(_12386_), + .A2(_14172_), + .B1(_14173_), + .C1(\soc.core.int_rst ), + .Y(_09433_) + ); + sky130_fd_sc_hd__a21oi_2 _28701_ ( + .A1(_13119_), + .A2(_14171_), + .B1(gpio_mode0_core), + .Y(_14174_) + ); + sky130_fd_sc_hd__a211oi_2 _28702_ ( + .A1(_12759_), + .A2(_14172_), + .B1(_14174_), + .C1(\soc.core.int_rst ), + .Y(_09434_) + ); + sky130_fd_sc_hd__a21oi_2 _28703_ ( + .A1(_12799_), + .A2(_14171_), + .B1(\soc.core.gpio_ien_storage ), + .Y(_14175_) + ); + sky130_fd_sc_hd__a211oi_2 _28704_ ( + .A1(_12797_), + .A2(_14172_), + .B1(_14175_), + .C1(\soc.core.int_rst ), + .Y(_09435_) + ); + sky130_fd_sc_hd__a31o_2 _28705_ ( + .A1(_11014_), + .A2(_11026_), + .A3(_14171_), + .B1(\soc.core.gpio_oe_storage ), + .X(_14176_) + ); + sky130_fd_sc_hd__nand4_2 _28706_ ( + .A(_11014_), + .B(_11026_), + .C(_12440_), + .D(_14171_), + .Y(_14177_) + ); + sky130_fd_sc_hd__and3_2 _28707_ ( + .A(_10904_), + .B(_14176_), + .C(_14177_), + .X(_09436_) + ); + sky130_fd_sc_hd__a21oi_2 _28708_ ( + .A1(_12654_), + .A2(_14171_), + .B1(gpio_out_core), + .Y(_14178_) + ); + sky130_fd_sc_hd__a311oi_2 _28709_ ( + .A1(_12440_), + .A2(_12654_), + .A3(_14171_), + .B1(_14178_), + .C1(\soc.core.int_rst ), + .Y(_09437_) + ); + sky130_fd_sc_hd__and3_2 _28710_ ( + .A(_12391_), + .B(_12436_), + .C(_12487_), + .X(_14179_) + ); + sky130_fd_sc_hd__a31o_2 _28711_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12440_), + .B1(\soc.core.int_rst ), + .X(_14180_) + ); + sky130_fd_sc_hd__o21ba_2 _28712_ ( + .A1(\soc.core.la_ien_storage[96] ), + .A2(_14179_), + .B1_N(_14180_), + .X(_09438_) + ); + sky130_fd_sc_hd__a31o_2 _28713_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12442_), + .B1(\soc.core.int_rst ), + .X(_14181_) + ); + sky130_fd_sc_hd__o21ba_2 _28714_ ( + .A1(\soc.core.la_ien_storage[97] ), + .A2(_14179_), + .B1_N(_14181_), + .X(_09439_) + ); + sky130_fd_sc_hd__a31o_2 _28715_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12444_), + .B1(\soc.core.int_rst ), + .X(_14182_) + ); + sky130_fd_sc_hd__o21ba_2 _28716_ ( + .A1(\soc.core.la_ien_storage[98] ), + .A2(_14179_), + .B1_N(_14182_), + .X(_09440_) + ); + sky130_fd_sc_hd__a31o_2 _28717_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12446_), + .B1(\soc.core.int_rst ), + .X(_14183_) + ); + sky130_fd_sc_hd__o21ba_2 _28718_ ( + .A1(\soc.core.la_ien_storage[99] ), + .A2(_14179_), + .B1_N(_14183_), + .X(_09441_) + ); + sky130_fd_sc_hd__a31o_2 _28719_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12448_), + .B1(\soc.core.int_rst ), + .X(_14184_) + ); + sky130_fd_sc_hd__o21ba_2 _28720_ ( + .A1(\soc.core.la_ien_storage[100] ), + .A2(_14179_), + .B1_N(_14184_), + .X(_09442_) + ); + sky130_fd_sc_hd__a31o_2 _28721_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12450_), + .B1(\soc.core.int_rst ), + .X(_14185_) + ); + sky130_fd_sc_hd__o21ba_2 _28722_ ( + .A1(\soc.core.la_ien_storage[101] ), + .A2(_14179_), + .B1_N(_14185_), + .X(_09443_) + ); + sky130_fd_sc_hd__a31o_2 _28723_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12452_), + .B1(\soc.core.int_rst ), + .X(_14186_) + ); + sky130_fd_sc_hd__o21ba_2 _28724_ ( + .A1(\soc.core.la_ien_storage[102] ), + .A2(_14179_), + .B1_N(_14186_), + .X(_09444_) + ); + sky130_fd_sc_hd__a31o_2 _28725_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12454_), + .B1(\soc.core.int_rst ), + .X(_14187_) + ); + sky130_fd_sc_hd__o21ba_2 _28726_ ( + .A1(\soc.core.la_ien_storage[103] ), + .A2(_14179_), + .B1_N(_14187_), + .X(_09445_) + ); + sky130_fd_sc_hd__a31o_2 _28727_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12498_), + .B1(\soc.core.int_rst ), + .X(_14188_) + ); + sky130_fd_sc_hd__o21ba_2 _28728_ ( + .A1(\soc.core.la_ien_storage[104] ), + .A2(_14179_), + .B1_N(_14188_), + .X(_09446_) + ); + sky130_fd_sc_hd__a31o_2 _28729_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12501_), + .B1(\soc.core.int_rst ), + .X(_14189_) + ); + sky130_fd_sc_hd__o21ba_2 _28730_ ( + .A1(\soc.core.la_ien_storage[105] ), + .A2(_14179_), + .B1_N(_14189_), + .X(_09447_) + ); + sky130_fd_sc_hd__a31o_2 _28731_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12504_), + .B1(\soc.core.int_rst ), + .X(_14190_) + ); + sky130_fd_sc_hd__o21ba_2 _28732_ ( + .A1(\soc.core.la_ien_storage[106] ), + .A2(_14179_), + .B1_N(_14190_), + .X(_09448_) + ); + sky130_fd_sc_hd__a31o_2 _28733_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12506_), + .B1(\soc.core.int_rst ), + .X(_14191_) + ); + sky130_fd_sc_hd__o21ba_2 _28734_ ( + .A1(\soc.core.la_ien_storage[107] ), + .A2(_14179_), + .B1_N(_14191_), + .X(_09449_) + ); + sky130_fd_sc_hd__a31o_2 _28735_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12509_), + .B1(\soc.core.int_rst ), + .X(_14192_) + ); + sky130_fd_sc_hd__o21ba_2 _28736_ ( + .A1(\soc.core.la_ien_storage[108] ), + .A2(_14179_), + .B1_N(_14192_), + .X(_09450_) + ); + sky130_fd_sc_hd__a31o_2 _28737_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12511_), + .B1(\soc.core.int_rst ), + .X(_14193_) + ); + sky130_fd_sc_hd__o21ba_2 _28738_ ( + .A1(\soc.core.la_ien_storage[109] ), + .A2(_14179_), + .B1_N(_14193_), + .X(_09451_) + ); + sky130_fd_sc_hd__a31o_2 _28739_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12514_), + .B1(\soc.core.int_rst ), + .X(_14194_) + ); + sky130_fd_sc_hd__o21ba_2 _28740_ ( + .A1(\soc.core.la_ien_storage[110] ), + .A2(_14179_), + .B1_N(_14194_), + .X(_09452_) + ); + sky130_fd_sc_hd__a31o_2 _28741_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12516_), + .B1(\soc.core.int_rst ), + .X(_14195_) + ); + sky130_fd_sc_hd__o21ba_2 _28742_ ( + .A1(\soc.core.la_ien_storage[111] ), + .A2(_14179_), + .B1_N(_14195_), + .X(_09453_) + ); + sky130_fd_sc_hd__a31o_2 _28743_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12518_), + .B1(\soc.core.int_rst ), + .X(_14196_) + ); + sky130_fd_sc_hd__o21ba_2 _28744_ ( + .A1(\soc.core.la_ien_storage[112] ), + .A2(_14179_), + .B1_N(_14196_), + .X(_09454_) + ); + sky130_fd_sc_hd__a31o_2 _28745_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12520_), + .B1(\soc.core.int_rst ), + .X(_14197_) + ); + sky130_fd_sc_hd__o21ba_2 _28746_ ( + .A1(\soc.core.la_ien_storage[113] ), + .A2(_14179_), + .B1_N(_14197_), + .X(_09455_) + ); + sky130_fd_sc_hd__a31o_2 _28747_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12523_), + .B1(\soc.core.int_rst ), + .X(_14198_) + ); + sky130_fd_sc_hd__o21ba_2 _28748_ ( + .A1(\soc.core.la_ien_storage[114] ), + .A2(_14179_), + .B1_N(_14198_), + .X(_09456_) + ); + sky130_fd_sc_hd__a31o_2 _28749_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12525_), + .B1(\soc.core.int_rst ), + .X(_14199_) + ); + sky130_fd_sc_hd__o21ba_2 _28750_ ( + .A1(\soc.core.la_ien_storage[115] ), + .A2(_14179_), + .B1_N(_14199_), + .X(_09457_) + ); + sky130_fd_sc_hd__a31o_2 _28751_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12528_), + .B1(\soc.core.int_rst ), + .X(_14200_) + ); + sky130_fd_sc_hd__o21ba_2 _28752_ ( + .A1(\soc.core.la_ien_storage[116] ), + .A2(_14179_), + .B1_N(_14200_), + .X(_09458_) + ); + sky130_fd_sc_hd__a31o_2 _28753_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12531_), + .B1(\soc.core.int_rst ), + .X(_14201_) + ); + sky130_fd_sc_hd__o21ba_2 _28754_ ( + .A1(\soc.core.la_ien_storage[117] ), + .A2(_14179_), + .B1_N(_14201_), + .X(_09459_) + ); + sky130_fd_sc_hd__a31o_2 _28755_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12533_), + .B1(\soc.core.int_rst ), + .X(_14202_) + ); + sky130_fd_sc_hd__o21ba_2 _28756_ ( + .A1(\soc.core.la_ien_storage[118] ), + .A2(_14179_), + .B1_N(_14202_), + .X(_09460_) + ); + sky130_fd_sc_hd__a31o_2 _28757_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12535_), + .B1(\soc.core.int_rst ), + .X(_14203_) + ); + sky130_fd_sc_hd__o21ba_2 _28758_ ( + .A1(\soc.core.la_ien_storage[119] ), + .A2(_14179_), + .B1_N(_14203_), + .X(_09461_) + ); + sky130_fd_sc_hd__a31o_2 _28759_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12537_), + .B1(\soc.core.int_rst ), + .X(_14204_) + ); + sky130_fd_sc_hd__o21ba_2 _28760_ ( + .A1(\soc.core.la_ien_storage[120] ), + .A2(_14179_), + .B1_N(_14204_), + .X(_09462_) + ); + sky130_fd_sc_hd__a31o_2 _28761_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12540_), + .B1(\soc.core.int_rst ), + .X(_14205_) + ); + sky130_fd_sc_hd__o21ba_2 _28762_ ( + .A1(\soc.core.la_ien_storage[121] ), + .A2(_14179_), + .B1_N(_14205_), + .X(_09463_) + ); + sky130_fd_sc_hd__a31o_2 _28763_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12542_), + .B1(\soc.core.int_rst ), + .X(_14206_) + ); + sky130_fd_sc_hd__o21ba_2 _28764_ ( + .A1(\soc.core.la_ien_storage[122] ), + .A2(_14179_), + .B1_N(_14206_), + .X(_09464_) + ); + sky130_fd_sc_hd__a31o_2 _28765_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12544_), + .B1(\soc.core.int_rst ), + .X(_14207_) + ); + sky130_fd_sc_hd__o21ba_2 _28766_ ( + .A1(\soc.core.la_ien_storage[123] ), + .A2(_14179_), + .B1_N(_14207_), + .X(_09465_) + ); + sky130_fd_sc_hd__a31o_2 _28767_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12547_), + .B1(\soc.core.int_rst ), + .X(_14208_) + ); + sky130_fd_sc_hd__o21ba_2 _28768_ ( + .A1(\soc.core.la_ien_storage[124] ), + .A2(_14179_), + .B1_N(_14208_), + .X(_09466_) + ); + sky130_fd_sc_hd__a31o_2 _28769_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12549_), + .B1(\soc.core.int_rst ), + .X(_14209_) + ); + sky130_fd_sc_hd__o21ba_2 _28770_ ( + .A1(\soc.core.la_ien_storage[125] ), + .A2(_14179_), + .B1_N(_14209_), + .X(_09467_) + ); + sky130_fd_sc_hd__a31o_2 _28771_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12551_), + .B1(\soc.core.int_rst ), + .X(_14210_) + ); + sky130_fd_sc_hd__o21ba_2 _28772_ ( + .A1(\soc.core.la_ien_storage[126] ), + .A2(_14179_), + .B1_N(_14210_), + .X(_09468_) + ); + sky130_fd_sc_hd__a31o_2 _28773_ ( + .A1(_12433_), + .A2(_12481_), + .A3(_12553_), + .B1(\soc.core.int_rst ), + .X(_14211_) + ); + sky130_fd_sc_hd__o21ba_2 _28774_ ( + .A1(\soc.core.la_ien_storage[127] ), + .A2(_14179_), + .B1_N(_14211_), + .X(_09469_) + ); + sky130_fd_sc_hd__and3_2 _28775_ ( + .A(_11014_), + .B(_12481_), + .C(_13675_), + .X(_14212_) + ); + sky130_fd_sc_hd__nand2_2 _28776_ ( + .A(_14212_), + .B(_12440_), + .Y(_14213_) + ); + sky130_fd_sc_hd__o211a_2 _28777_ ( + .A1(\soc.core.la_oe_storage[96] ), + .A2(_14212_), + .B1(_14213_), + .C1(_10904_), + .X(_09470_) + ); + sky130_fd_sc_hd__nand2_2 _28778_ ( + .A(_14212_), + .B(_12442_), + .Y(_14214_) + ); + sky130_fd_sc_hd__o211a_2 _28779_ ( + .A1(\soc.core.la_oe_storage[97] ), + .A2(_14212_), + .B1(_14214_), + .C1(_10904_), + .X(_09471_) + ); + sky130_fd_sc_hd__nand2_2 _28780_ ( + .A(_14212_), + .B(_12444_), + .Y(_14215_) + ); + sky130_fd_sc_hd__o211a_2 _28781_ ( + .A1(\soc.core.la_oe_storage[98] ), + .A2(_14212_), + .B1(_14215_), + .C1(_10904_), + .X(_09472_) + ); + sky130_fd_sc_hd__nand2_2 _28782_ ( + .A(_14212_), + .B(_12446_), + .Y(_14216_) + ); + sky130_fd_sc_hd__o211a_2 _28783_ ( + .A1(\soc.core.la_oe_storage[99] ), + .A2(_14212_), + .B1(_14216_), + .C1(_10904_), + .X(_09473_) + ); + sky130_fd_sc_hd__nand2_2 _28784_ ( + .A(_14212_), + .B(_12448_), + .Y(_14217_) + ); + sky130_fd_sc_hd__o211a_2 _28785_ ( + .A1(\soc.core.la_oe_storage[100] ), + .A2(_14212_), + .B1(_14217_), + .C1(_10904_), + .X(_09474_) + ); + sky130_fd_sc_hd__nand2_2 _28786_ ( + .A(_14212_), + .B(_12450_), + .Y(_14218_) + ); + sky130_fd_sc_hd__o211a_2 _28787_ ( + .A1(\soc.core.la_oe_storage[101] ), + .A2(_14212_), + .B1(_14218_), + .C1(_10904_), + .X(_09475_) + ); + sky130_fd_sc_hd__nand2_2 _28788_ ( + .A(_14212_), + .B(_12452_), + .Y(_14219_) + ); + sky130_fd_sc_hd__o211a_2 _28789_ ( + .A1(\soc.core.la_oe_storage[102] ), + .A2(_14212_), + .B1(_14219_), + .C1(_10904_), + .X(_09476_) + ); + sky130_fd_sc_hd__nand2_2 _28790_ ( + .A(_14212_), + .B(_12454_), + .Y(_14220_) + ); + sky130_fd_sc_hd__o211a_2 _28791_ ( + .A1(\soc.core.la_oe_storage[103] ), + .A2(_14212_), + .B1(_14220_), + .C1(_10904_), + .X(_09477_) + ); + sky130_fd_sc_hd__nand2_2 _28792_ ( + .A(_14212_), + .B(_12498_), + .Y(_14221_) + ); + sky130_fd_sc_hd__o211a_2 _28793_ ( + .A1(\soc.core.la_oe_storage[104] ), + .A2(_14212_), + .B1(_14221_), + .C1(_10904_), + .X(_09478_) + ); + sky130_fd_sc_hd__nand2_2 _28794_ ( + .A(_14212_), + .B(_12501_), + .Y(_14222_) + ); + sky130_fd_sc_hd__o211a_2 _28795_ ( + .A1(\soc.core.la_oe_storage[105] ), + .A2(_14212_), + .B1(_14222_), + .C1(_10904_), + .X(_09479_) + ); + sky130_fd_sc_hd__nand2_2 _28796_ ( + .A(_14212_), + .B(_12504_), + .Y(_14223_) + ); + sky130_fd_sc_hd__o211a_2 _28797_ ( + .A1(\soc.core.la_oe_storage[106] ), + .A2(_14212_), + .B1(_14223_), + .C1(_10904_), + .X(_09480_) + ); + sky130_fd_sc_hd__nand2_2 _28798_ ( + .A(_14212_), + .B(_12506_), + .Y(_14224_) + ); + sky130_fd_sc_hd__o211a_2 _28799_ ( + .A1(\soc.core.la_oe_storage[107] ), + .A2(_14212_), + .B1(_14224_), + .C1(_10904_), + .X(_09481_) + ); + sky130_fd_sc_hd__nand2_2 _28800_ ( + .A(_14212_), + .B(_12509_), + .Y(_14225_) + ); + sky130_fd_sc_hd__o211a_2 _28801_ ( + .A1(\soc.core.la_oe_storage[108] ), + .A2(_14212_), + .B1(_14225_), + .C1(_10904_), + .X(_09482_) + ); + sky130_fd_sc_hd__nand2_2 _28802_ ( + .A(_14212_), + .B(_12511_), + .Y(_14226_) + ); + sky130_fd_sc_hd__o211a_2 _28803_ ( + .A1(\soc.core.la_oe_storage[109] ), + .A2(_14212_), + .B1(_14226_), + .C1(_10904_), + .X(_09483_) + ); + sky130_fd_sc_hd__nand2_2 _28804_ ( + .A(_14212_), + .B(_12514_), + .Y(_14227_) + ); + sky130_fd_sc_hd__o211a_2 _28805_ ( + .A1(\soc.core.la_oe_storage[110] ), + .A2(_14212_), + .B1(_14227_), + .C1(_10904_), + .X(_09484_) + ); + sky130_fd_sc_hd__nand2_2 _28806_ ( + .A(_14212_), + .B(_12516_), + .Y(_14228_) + ); + sky130_fd_sc_hd__o211a_2 _28807_ ( + .A1(\soc.core.la_oe_storage[111] ), + .A2(_14212_), + .B1(_14228_), + .C1(_10904_), + .X(_09485_) + ); + sky130_fd_sc_hd__nand2_2 _28808_ ( + .A(_14212_), + .B(_12518_), + .Y(_14229_) + ); + sky130_fd_sc_hd__o211a_2 _28809_ ( + .A1(\soc.core.la_oe_storage[112] ), + .A2(_14212_), + .B1(_14229_), + .C1(_10904_), + .X(_09486_) + ); + sky130_fd_sc_hd__nand2_2 _28810_ ( + .A(_14212_), + .B(_12520_), + .Y(_14230_) + ); + sky130_fd_sc_hd__o211a_2 _28811_ ( + .A1(\soc.core.la_oe_storage[113] ), + .A2(_14212_), + .B1(_14230_), + .C1(_10904_), + .X(_09487_) + ); + sky130_fd_sc_hd__nand2_2 _28812_ ( + .A(_14212_), + .B(_12523_), + .Y(_14231_) + ); + sky130_fd_sc_hd__o211a_2 _28813_ ( + .A1(\soc.core.la_oe_storage[114] ), + .A2(_14212_), + .B1(_14231_), + .C1(_10904_), + .X(_09488_) + ); + sky130_fd_sc_hd__nand2_2 _28814_ ( + .A(_14212_), + .B(_12525_), + .Y(_14232_) + ); + sky130_fd_sc_hd__o211a_2 _28815_ ( + .A1(\soc.core.la_oe_storage[115] ), + .A2(_14212_), + .B1(_14232_), + .C1(_10904_), + .X(_09489_) + ); + sky130_fd_sc_hd__nand2_2 _28816_ ( + .A(_14212_), + .B(_12528_), + .Y(_14233_) + ); + sky130_fd_sc_hd__o211a_2 _28817_ ( + .A1(\soc.core.la_oe_storage[116] ), + .A2(_14212_), + .B1(_14233_), + .C1(_10904_), + .X(_09490_) + ); + sky130_fd_sc_hd__nand2_2 _28818_ ( + .A(_14212_), + .B(_12531_), + .Y(_14234_) + ); + sky130_fd_sc_hd__o211a_2 _28819_ ( + .A1(\soc.core.la_oe_storage[117] ), + .A2(_14212_), + .B1(_14234_), + .C1(_10904_), + .X(_09491_) + ); + sky130_fd_sc_hd__nand2_2 _28820_ ( + .A(_14212_), + .B(_12533_), + .Y(_14235_) + ); + sky130_fd_sc_hd__o211a_2 _28821_ ( + .A1(\soc.core.la_oe_storage[118] ), + .A2(_14212_), + .B1(_14235_), + .C1(_10904_), + .X(_09492_) + ); + sky130_fd_sc_hd__nand2_2 _28822_ ( + .A(_14212_), + .B(_12535_), + .Y(_14236_) + ); + sky130_fd_sc_hd__o211a_2 _28823_ ( + .A1(\soc.core.la_oe_storage[119] ), + .A2(_14212_), + .B1(_14236_), + .C1(_10904_), + .X(_09493_) + ); + sky130_fd_sc_hd__nand2_2 _28824_ ( + .A(_14212_), + .B(_12537_), + .Y(_14237_) + ); + sky130_fd_sc_hd__o211a_2 _28825_ ( + .A1(\soc.core.la_oe_storage[120] ), + .A2(_14212_), + .B1(_14237_), + .C1(_10904_), + .X(_09494_) + ); + sky130_fd_sc_hd__nand2_2 _28826_ ( + .A(_14212_), + .B(_12540_), + .Y(_14238_) + ); + sky130_fd_sc_hd__o211a_2 _28827_ ( + .A1(\soc.core.la_oe_storage[121] ), + .A2(_14212_), + .B1(_14238_), + .C1(_10904_), + .X(_09495_) + ); + sky130_fd_sc_hd__nand2_2 _28828_ ( + .A(_14212_), + .B(_12542_), + .Y(_14239_) + ); + sky130_fd_sc_hd__o211a_2 _28829_ ( + .A1(\soc.core.la_oe_storage[122] ), + .A2(_14212_), + .B1(_14239_), + .C1(_10904_), + .X(_09496_) + ); + sky130_fd_sc_hd__nand2_2 _28830_ ( + .A(_14212_), + .B(_12544_), + .Y(_14240_) + ); + sky130_fd_sc_hd__o211a_2 _28831_ ( + .A1(\soc.core.la_oe_storage[123] ), + .A2(_14212_), + .B1(_14240_), + .C1(_10904_), + .X(_09497_) + ); + sky130_fd_sc_hd__nand2_2 _28832_ ( + .A(_14212_), + .B(_12547_), + .Y(_14241_) + ); + sky130_fd_sc_hd__o211a_2 _28833_ ( + .A1(\soc.core.la_oe_storage[124] ), + .A2(_14212_), + .B1(_14241_), + .C1(_10904_), + .X(_09498_) + ); + sky130_fd_sc_hd__nand2_2 _28834_ ( + .A(_14212_), + .B(_12549_), + .Y(_14242_) + ); + sky130_fd_sc_hd__o211a_2 _28835_ ( + .A1(\soc.core.la_oe_storage[125] ), + .A2(_14212_), + .B1(_14242_), + .C1(_10904_), + .X(_09499_) + ); + sky130_fd_sc_hd__nand2_2 _28836_ ( + .A(_14212_), + .B(_12551_), + .Y(_14243_) + ); + sky130_fd_sc_hd__o211a_2 _28837_ ( + .A1(\soc.core.la_oe_storage[126] ), + .A2(_14212_), + .B1(_14243_), + .C1(_10904_), + .X(_09500_) + ); + sky130_fd_sc_hd__nand2_2 _28838_ ( + .A(_14212_), + .B(_12553_), + .Y(_14244_) + ); + sky130_fd_sc_hd__o211a_2 _28839_ ( + .A1(\soc.core.la_oe_storage[127] ), + .A2(_14212_), + .B1(_14244_), + .C1(_10904_), + .X(_09501_) + ); + sky130_fd_sc_hd__o311a_2 _28840_ ( + .A1(_11004_), + .A2(_11022_), + .A3(_11011_), + .B1(_12479_), + .C1(_12384_), + .X(_14245_) + ); + sky130_fd_sc_hd__and3_2 _28841_ ( + .A(_11014_), + .B(_12481_), + .C(_14245_), + .X(_14246_) + ); + sky130_fd_sc_hd__a41o_2 _28842_ ( + .A1(_11014_), + .A2(_14245_), + .A3(_12440_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_14247_) + ); + sky130_fd_sc_hd__o21ba_2 _28843_ ( + .A1(\soc.core.la_out_storage[96] ), + .A2(_14246_), + .B1_N(_14247_), + .X(_09502_) + ); + sky130_fd_sc_hd__a41o_2 _28844_ ( + .A1(_11014_), + .A2(_14245_), + .A3(_12442_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_14248_) + ); + sky130_fd_sc_hd__o21ba_2 _28845_ ( + .A1(\soc.core.la_out_storage[97] ), + .A2(_14246_), + .B1_N(_14248_), + .X(_09503_) + ); + sky130_fd_sc_hd__a41o_2 _28846_ ( + .A1(_11014_), + .A2(_14245_), + .A3(_12444_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_14249_) + ); + sky130_fd_sc_hd__o21ba_2 _28847_ ( + .A1(\soc.core.la_out_storage[98] ), + .A2(_14246_), + .B1_N(_14249_), + .X(_09504_) + ); + sky130_fd_sc_hd__a41o_2 _28848_ ( + .A1(_11014_), + .A2(_14245_), + .A3(_12446_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_14250_) + ); + sky130_fd_sc_hd__o21ba_2 _28849_ ( + .A1(\soc.core.la_out_storage[99] ), + .A2(_14246_), + .B1_N(_14250_), + .X(_09505_) + ); + sky130_fd_sc_hd__a41o_2 _28850_ ( + .A1(_11014_), + .A2(_14245_), + .A3(_12448_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_14251_) + ); + sky130_fd_sc_hd__o21ba_2 _28851_ ( + .A1(\soc.core.la_out_storage[100] ), + .A2(_14246_), + .B1_N(_14251_), + .X(_09506_) + ); + sky130_fd_sc_hd__a41o_2 _28852_ ( + .A1(_11014_), + .A2(_14245_), + .A3(_12450_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_14252_) + ); + sky130_fd_sc_hd__o21ba_2 _28853_ ( + .A1(\soc.core.la_out_storage[101] ), + .A2(_14246_), + .B1_N(_14252_), + .X(_09507_) + ); + sky130_fd_sc_hd__a41o_2 _28854_ ( + .A1(_11014_), + .A2(_14245_), + .A3(_12452_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_14253_) + ); + sky130_fd_sc_hd__o21ba_2 _28855_ ( + .A1(\soc.core.la_out_storage[102] ), + .A2(_14246_), + .B1_N(_14253_), + .X(_09508_) + ); + sky130_fd_sc_hd__a41o_2 _28856_ ( + .A1(_11014_), + .A2(_14245_), + .A3(_12454_), + .A4(_12481_), + .B1(\soc.core.int_rst ), + .X(_14254_) + ); + sky130_fd_sc_hd__o21ba_2 _28857_ ( + .A1(\soc.core.la_out_storage[103] ), + .A2(_14246_), + .B1_N(_14254_), + .X(_09509_) + ); + sky130_fd_sc_hd__nand2_2 _28858_ ( + .A(_14246_), + .B(_12498_), + .Y(_14255_) + ); + sky130_fd_sc_hd__o211a_2 _28859_ ( + .A1(\soc.core.la_out_storage[104] ), + .A2(_14246_), + .B1(_14255_), + .C1(_10904_), + .X(_09510_) + ); + sky130_fd_sc_hd__nand2_2 _28860_ ( + .A(_14246_), + .B(_12501_), + .Y(_14256_) + ); + sky130_fd_sc_hd__o211a_2 _28861_ ( + .A1(\soc.core.la_out_storage[105] ), + .A2(_14246_), + .B1(_14256_), + .C1(_10904_), + .X(_09511_) + ); + sky130_fd_sc_hd__nand2_2 _28862_ ( + .A(_14246_), + .B(_12504_), + .Y(_14257_) + ); + sky130_fd_sc_hd__o211a_2 _28863_ ( + .A1(\soc.core.la_out_storage[106] ), + .A2(_14246_), + .B1(_14257_), + .C1(_10904_), + .X(_09512_) + ); + sky130_fd_sc_hd__nand2_2 _28864_ ( + .A(_14246_), + .B(_12506_), + .Y(_14258_) + ); + sky130_fd_sc_hd__o211a_2 _28865_ ( + .A1(\soc.core.la_out_storage[107] ), + .A2(_14246_), + .B1(_14258_), + .C1(_10904_), + .X(_09513_) + ); + sky130_fd_sc_hd__nand2_2 _28866_ ( + .A(_14246_), + .B(_12509_), + .Y(_14259_) + ); + sky130_fd_sc_hd__o211a_2 _28867_ ( + .A1(\soc.core.la_out_storage[108] ), + .A2(_14246_), + .B1(_14259_), + .C1(_10904_), + .X(_09514_) + ); + sky130_fd_sc_hd__nand2_2 _28868_ ( + .A(_14246_), + .B(_12511_), + .Y(_14260_) + ); + sky130_fd_sc_hd__o211a_2 _28869_ ( + .A1(\soc.core.la_out_storage[109] ), + .A2(_14246_), + .B1(_14260_), + .C1(_10904_), + .X(_09515_) + ); + sky130_fd_sc_hd__nand2_2 _28870_ ( + .A(_14246_), + .B(_12514_), + .Y(_14261_) + ); + sky130_fd_sc_hd__o211a_2 _28871_ ( + .A1(\soc.core.la_out_storage[110] ), + .A2(_14246_), + .B1(_14261_), + .C1(_10904_), + .X(_09516_) + ); + sky130_fd_sc_hd__nand2_2 _28872_ ( + .A(_14246_), + .B(_12516_), + .Y(_14262_) + ); + sky130_fd_sc_hd__o211a_2 _28873_ ( + .A1(\soc.core.la_out_storage[111] ), + .A2(_14246_), + .B1(_14262_), + .C1(_10904_), + .X(_09517_) + ); + sky130_fd_sc_hd__nand2_2 _28874_ ( + .A(_14246_), + .B(_12518_), + .Y(_14263_) + ); + sky130_fd_sc_hd__o211a_2 _28875_ ( + .A1(\soc.core.la_out_storage[112] ), + .A2(_14246_), + .B1(_14263_), + .C1(_10904_), + .X(_09518_) + ); + sky130_fd_sc_hd__nand2_2 _28876_ ( + .A(_14246_), + .B(_12520_), + .Y(_14264_) + ); + sky130_fd_sc_hd__o211a_2 _28877_ ( + .A1(\soc.core.la_out_storage[113] ), + .A2(_14246_), + .B1(_14264_), + .C1(_10904_), + .X(_09519_) + ); + sky130_fd_sc_hd__nand2_2 _28878_ ( + .A(_14246_), + .B(_12523_), + .Y(_14265_) + ); + sky130_fd_sc_hd__o211a_2 _28879_ ( + .A1(\soc.core.la_out_storage[114] ), + .A2(_14246_), + .B1(_14265_), + .C1(_10904_), + .X(_09520_) + ); + sky130_fd_sc_hd__nand2_2 _28880_ ( + .A(_14246_), + .B(_12525_), + .Y(_14266_) + ); + sky130_fd_sc_hd__o211a_2 _28881_ ( + .A1(\soc.core.la_out_storage[115] ), + .A2(_14246_), + .B1(_14266_), + .C1(_10904_), + .X(_09521_) + ); + sky130_fd_sc_hd__nand2_2 _28882_ ( + .A(_14246_), + .B(_12528_), + .Y(_14267_) + ); + sky130_fd_sc_hd__o211a_2 _28883_ ( + .A1(\soc.core.la_out_storage[116] ), + .A2(_14246_), + .B1(_14267_), + .C1(_10904_), + .X(_09522_) + ); + sky130_fd_sc_hd__nand2_2 _28884_ ( + .A(_14246_), + .B(_12531_), + .Y(_14268_) + ); + sky130_fd_sc_hd__o211a_2 _28885_ ( + .A1(\soc.core.la_out_storage[117] ), + .A2(_14246_), + .B1(_14268_), + .C1(_10904_), + .X(_09523_) + ); + sky130_fd_sc_hd__nand2_2 _28886_ ( + .A(_14246_), + .B(_12533_), + .Y(_14269_) + ); + sky130_fd_sc_hd__o211a_2 _28887_ ( + .A1(\soc.core.la_out_storage[118] ), + .A2(_14246_), + .B1(_14269_), + .C1(_10904_), + .X(_09524_) + ); + sky130_fd_sc_hd__nand2_2 _28888_ ( + .A(_14246_), + .B(_12535_), + .Y(_14270_) + ); + sky130_fd_sc_hd__o211a_2 _28889_ ( + .A1(\soc.core.la_out_storage[119] ), + .A2(_14246_), + .B1(_14270_), + .C1(_10904_), + .X(_09525_) + ); + sky130_fd_sc_hd__nand2_2 _28890_ ( + .A(_14246_), + .B(_12537_), + .Y(_14271_) + ); + sky130_fd_sc_hd__o211a_2 _28891_ ( + .A1(\soc.core.la_out_storage[120] ), + .A2(_14246_), + .B1(_14271_), + .C1(_10904_), + .X(_09526_) + ); + sky130_fd_sc_hd__nand2_2 _28892_ ( + .A(_14246_), + .B(_12540_), + .Y(_14272_) + ); + sky130_fd_sc_hd__o211a_2 _28893_ ( + .A1(\soc.core.la_out_storage[121] ), + .A2(_14246_), + .B1(_14272_), + .C1(_10904_), + .X(_09527_) + ); + sky130_fd_sc_hd__nand2_2 _28894_ ( + .A(_14246_), + .B(_12542_), + .Y(_14273_) + ); + sky130_fd_sc_hd__o211a_2 _28895_ ( + .A1(\soc.core.la_out_storage[122] ), + .A2(_14246_), + .B1(_14273_), + .C1(_10904_), + .X(_09528_) + ); + sky130_fd_sc_hd__nand2_2 _28896_ ( + .A(_14246_), + .B(_12544_), + .Y(_14274_) + ); + sky130_fd_sc_hd__o211a_2 _28897_ ( + .A1(\soc.core.la_out_storage[123] ), + .A2(_14246_), + .B1(_14274_), + .C1(_10904_), + .X(_09529_) + ); + sky130_fd_sc_hd__nand2_2 _28898_ ( + .A(_14246_), + .B(_12547_), + .Y(_14275_) + ); + sky130_fd_sc_hd__o211a_2 _28899_ ( + .A1(\soc.core.la_out_storage[124] ), + .A2(_14246_), + .B1(_14275_), + .C1(_10904_), + .X(_09530_) + ); + sky130_fd_sc_hd__nand2_2 _28900_ ( + .A(_14246_), + .B(_12549_), + .Y(_14276_) + ); + sky130_fd_sc_hd__o211a_2 _28901_ ( + .A1(\soc.core.la_out_storage[125] ), + .A2(_14246_), + .B1(_14276_), + .C1(_10904_), + .X(_09531_) + ); + sky130_fd_sc_hd__nand2_2 _28902_ ( + .A(_14246_), + .B(_12551_), + .Y(_14277_) + ); + sky130_fd_sc_hd__o211a_2 _28903_ ( + .A1(\soc.core.la_out_storage[126] ), + .A2(_14246_), + .B1(_14277_), + .C1(_10904_), + .X(_09532_) + ); + sky130_fd_sc_hd__nand2_2 _28904_ ( + .A(_14246_), + .B(_12553_), + .Y(_14278_) + ); + sky130_fd_sc_hd__o211a_2 _28905_ ( + .A1(\soc.core.la_out_storage[127] ), + .A2(_14246_), + .B1(_14278_), + .C1(_10904_), + .X(_09533_) + ); + sky130_fd_sc_hd__a31oi_2 _28906_ ( + .A1(_12389_), + .A2(_12390_), + .A3(_12433_), + .B1(\soc.core.spi_enabled_storage ), + .Y(_14279_) + ); + sky130_fd_sc_hd__a311oi_2 _28907_ ( + .A1(_11012_), + .A2(_12390_), + .A3(_14169_), + .B1(_14279_), + .C1(\soc.core.int_rst ), + .Y(_09534_) + ); + sky130_fd_sc_hd__and4_2 _28908_ ( + .A(_11032_), + .B(_12387_), + .C(_12436_), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_14280_) + ); + sky130_fd_sc_hd__a41o_2 _28909_ ( + .A1(_12440_), + .A2(_12433_), + .A3(_12387_), + .A4(_11017_), + .B1(\soc.core.int_rst ), + .X(_14281_) + ); + sky130_fd_sc_hd__o21ba_2 _28910_ ( + .A1(\soc.core.user_irq_ena_storage[0] ), + .A2(_14280_), + .B1_N(_14281_), + .X(_09535_) + ); + sky130_fd_sc_hd__a41o_2 _28911_ ( + .A1(_12442_), + .A2(_12433_), + .A3(_12387_), + .A4(_11017_), + .B1(\soc.core.int_rst ), + .X(_14282_) + ); + sky130_fd_sc_hd__o21ba_2 _28912_ ( + .A1(\soc.core.user_irq_ena_storage[1] ), + .A2(_14280_), + .B1_N(_14282_), + .X(_09536_) + ); + sky130_fd_sc_hd__a41o_2 _28913_ ( + .A1(_12444_), + .A2(_12433_), + .A3(_12387_), + .A4(_11017_), + .B1(\soc.core.int_rst ), + .X(_14283_) + ); + sky130_fd_sc_hd__o21ba_2 _28914_ ( + .A1(\soc.core.user_irq_ena_storage[2] ), + .A2(_14280_), + .B1_N(_14283_), + .X(_09537_) + ); + sky130_fd_sc_hd__and4_2 _28915_ ( + .A(_11012_), + .B(\mgmt_buffers.mprj_adr_o_core[11] ), + .C(_04984_), + .D(_12388_), + .X(_14284_) + ); + sky130_fd_sc_hd__a21oi_2 _28916_ ( + .A1(_13119_), + .A2(_14284_), + .B1(\soc.core.gpioin0_gpioin0_mode_storage ), + .Y(_14285_) + ); + sky130_fd_sc_hd__and3_2 _28917_ ( + .A(_12388_), + .B(_12396_), + .C(_12440_), + .X(_14286_) + ); + sky130_fd_sc_hd__a211oi_2 _28918_ ( + .A1(_13119_), + .A2(_14286_), + .B1(_14285_), + .C1(\soc.core.int_rst ), + .Y(_09538_) + ); + sky130_fd_sc_hd__a21oi_2 _28919_ ( + .A1(_12799_), + .A2(_14284_), + .B1(\soc.core.gpioin0_gpioin0_edge_storage ), + .Y(_14287_) + ); + sky130_fd_sc_hd__a211oi_2 _28920_ ( + .A1(_12799_), + .A2(_14286_), + .B1(_14287_), + .C1(\soc.core.int_rst ), + .Y(_09539_) + ); + sky130_fd_sc_hd__and2b_2 _28921_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.multiregimpl1_regs1 ), + .X(_09540_) + ); + sky130_fd_sc_hd__nand2_2 _28922_ ( + .A(\soc.core.gpioin0_pending_r ), + .B(\soc.core.gpioin0_pending_re ), + .Y(_14288_) + ); + sky130_fd_sc_hd__xor2_2 _28923_ ( + .A(_05123_), + .B(\soc.core.multiregimpl131_regs1 ), + .X(_14289_) + ); + sky130_fd_sc_hd__and2_4 _28924_ ( + .A(_14289_), + .B(_10904_), + .X(_09545_) + ); + sky130_fd_sc_hd__nor3b_2 _28925_ ( + .A(\soc.core.int_rst ), + .B(\soc.core.gpioin0_gpioin0_trigger_d ), + .C_N(_14289_), + .Y(_14290_) + ); + sky130_fd_sc_hd__a31o_2 _28926_ ( + .A1(\soc.core.gpioin0_gpioin0_pending ), + .A2(_10904_), + .A3(_14288_), + .B1(_14290_), + .X(_09541_) + ); + sky130_fd_sc_hd__and2b_2 _28927_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.multiregimpl131_regs1 ), + .X(_09542_) + ); + sky130_fd_sc_hd__and4_2 _28928_ ( + .A(_04957_), + .B(_11012_), + .C(_12388_), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_14291_) + ); + sky130_fd_sc_hd__a31o_2 _28929_ ( + .A1(_11014_), + .A2(_12759_), + .A3(_14291_), + .B1(\soc.core.gpioin1_gpioin1_mode_storage ), + .X(_14292_) + ); + sky130_fd_sc_hd__nand4_2 _28930_ ( + .A(_11014_), + .B(_12759_), + .C(_14291_), + .D(_12440_), + .Y(_14293_) + ); + sky130_fd_sc_hd__and3_2 _28931_ ( + .A(_10904_), + .B(_14292_), + .C(_14293_), + .X(_09543_) + ); + sky130_fd_sc_hd__a31o_2 _28932_ ( + .A1(_11014_), + .A2(_12797_), + .A3(_14291_), + .B1(\soc.core.gpioin1_gpioin1_edge_storage ), + .X(_14294_) + ); + sky130_fd_sc_hd__nand4_2 _28933_ ( + .A(_11014_), + .B(_12797_), + .C(_14291_), + .D(_12440_), + .Y(_14295_) + ); + sky130_fd_sc_hd__and3_2 _28934_ ( + .A(_10904_), + .B(_14294_), + .C(_14295_), + .X(_09544_) + ); + sky130_fd_sc_hd__nand2_2 _28935_ ( + .A(\soc.core.gpioin1_pending_r ), + .B(\soc.core.gpioin1_pending_re ), + .Y(_14296_) + ); + sky130_fd_sc_hd__xor2_2 _28936_ ( + .A(_05122_), + .B(\soc.core.multiregimpl132_regs1 ), + .X(_14297_) + ); + sky130_fd_sc_hd__and2_4 _28937_ ( + .A(_14297_), + .B(_10904_), + .X(_09550_) + ); + sky130_fd_sc_hd__nor3b_2 _28938_ ( + .A(\soc.core.int_rst ), + .B(\soc.core.gpioin1_gpioin1_trigger_d ), + .C_N(_14297_), + .Y(_14298_) + ); + sky130_fd_sc_hd__a31o_2 _28939_ ( + .A1(\soc.core.gpioin1_gpioin1_pending ), + .A2(_10904_), + .A3(_14296_), + .B1(_14298_), + .X(_09546_) + ); + sky130_fd_sc_hd__and2b_2 _28940_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.multiregimpl132_regs1 ), + .X(_09547_) + ); + sky130_fd_sc_hd__and4_2 _28941_ ( + .A(_11012_), + .B(_12388_), + .C(\mgmt_buffers.mprj_adr_o_core[11] ), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_14299_) + ); + sky130_fd_sc_hd__a21oi_2 _28942_ ( + .A1(_13119_), + .A2(_14299_), + .B1(\soc.core.gpioin2_gpioin2_mode_storage ), + .Y(_14300_) + ); + sky130_fd_sc_hd__and3_2 _28943_ ( + .A(_11017_), + .B(_12388_), + .C(_12440_), + .X(_14301_) + ); + sky130_fd_sc_hd__a211oi_2 _28944_ ( + .A1(_13119_), + .A2(_14301_), + .B1(_14300_), + .C1(\soc.core.int_rst ), + .Y(_09548_) + ); + sky130_fd_sc_hd__a21oi_2 _28945_ ( + .A1(_12799_), + .A2(_14299_), + .B1(\soc.core.gpioin2_gpioin2_edge_storage ), + .Y(_14302_) + ); + sky130_fd_sc_hd__a211oi_2 _28946_ ( + .A1(_12799_), + .A2(_14301_), + .B1(_14302_), + .C1(\soc.core.int_rst ), + .Y(_09549_) + ); + sky130_fd_sc_hd__nand2_2 _28947_ ( + .A(\soc.core.gpioin2_pending_r ), + .B(\soc.core.gpioin2_pending_re ), + .Y(_14303_) + ); + sky130_fd_sc_hd__xor2_2 _28948_ ( + .A(_05121_), + .B(\soc.core.multiregimpl133_regs1 ), + .X(_14304_) + ); + sky130_fd_sc_hd__and2_4 _28949_ ( + .A(_14304_), + .B(_10904_), + .X(_09555_) + ); + sky130_fd_sc_hd__nor3b_2 _28950_ ( + .A(\soc.core.int_rst ), + .B(\soc.core.gpioin2_gpioin2_trigger_d ), + .C_N(_14304_), + .Y(_14305_) + ); + sky130_fd_sc_hd__a31o_2 _28951_ ( + .A1(\soc.core.gpioin2_gpioin2_pending ), + .A2(_10904_), + .A3(_14303_), + .B1(_14305_), + .X(_09551_) + ); + sky130_fd_sc_hd__and2b_2 _28952_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.multiregimpl133_regs1 ), + .X(_09552_) + ); + sky130_fd_sc_hd__and4_2 _28953_ ( + .A(_04957_), + .B(_04984_), + .C(_11012_), + .D(_12387_), + .X(_14306_) + ); + sky130_fd_sc_hd__nand2_2 _28954_ ( + .A(_13119_), + .B(_14306_), + .Y(_14307_) + ); + sky130_fd_sc_hd__a31o_2 _28955_ ( + .A1(_11014_), + .A2(_12759_), + .A3(_14306_), + .B1(\soc.core.gpioin3_gpioin3_mode_storage ), + .X(_14308_) + ); + sky130_fd_sc_hd__o211a_2 _28956_ ( + .A1(_12439_), + .A2(_14307_), + .B1(_14308_), + .C1(_10904_), + .X(_09553_) + ); + sky130_fd_sc_hd__a21oi_2 _28957_ ( + .A1(_12799_), + .A2(_14306_), + .B1(\soc.core.gpioin3_gpioin3_edge_storage ), + .Y(_14309_) + ); + sky130_fd_sc_hd__a311oi_2 _28958_ ( + .A1(_12440_), + .A2(_12799_), + .A3(_14306_), + .B1(_14309_), + .C1(\soc.core.int_rst ), + .Y(_09554_) + ); + sky130_fd_sc_hd__nand2_2 _28959_ ( + .A(\soc.core.gpioin3_pending_r ), + .B(\soc.core.gpioin3_pending_re ), + .Y(_14310_) + ); + sky130_fd_sc_hd__xor2_2 _28960_ ( + .A(_05120_), + .B(\soc.core.multiregimpl134_regs1 ), + .X(_14311_) + ); + sky130_fd_sc_hd__and2_4 _28961_ ( + .A(_14311_), + .B(_10904_), + .X(_09560_) + ); + sky130_fd_sc_hd__nor3b_2 _28962_ ( + .A(\soc.core.int_rst ), + .B(\soc.core.gpioin3_gpioin3_trigger_d ), + .C_N(_14311_), + .Y(_14312_) + ); + sky130_fd_sc_hd__a31o_2 _28963_ ( + .A1(\soc.core.gpioin3_gpioin3_pending ), + .A2(_10904_), + .A3(_14310_), + .B1(_14312_), + .X(_09556_) + ); + sky130_fd_sc_hd__and2b_2 _28964_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.multiregimpl134_regs1 ), + .X(_09557_) + ); + sky130_fd_sc_hd__and4_2 _28965_ ( + .A(_11012_), + .B(\mgmt_buffers.mprj_adr_o_core[11] ), + .C(_04984_), + .D(_12387_), + .X(_14313_) + ); + sky130_fd_sc_hd__a21oi_2 _28966_ ( + .A1(_13119_), + .A2(_14313_), + .B1(\soc.core.gpioin4_gpioin4_mode_storage ), + .Y(_14314_) + ); + sky130_fd_sc_hd__a311oi_2 _28967_ ( + .A1(_12440_), + .A2(_13119_), + .A3(_14313_), + .B1(_14314_), + .C1(\soc.core.int_rst ), + .Y(_09558_) + ); + sky130_fd_sc_hd__a21oi_2 _28968_ ( + .A1(_12799_), + .A2(_14313_), + .B1(\soc.core.gpioin4_gpioin4_edge_storage ), + .Y(_14315_) + ); + sky130_fd_sc_hd__a311oi_2 _28969_ ( + .A1(_12440_), + .A2(_12799_), + .A3(_14313_), + .B1(_14315_), + .C1(\soc.core.int_rst ), + .Y(_09559_) + ); + sky130_fd_sc_hd__nand2_2 _28970_ ( + .A(\soc.core.gpioin4_pending_r ), + .B(\soc.core.gpioin4_pending_re ), + .Y(_14316_) + ); + sky130_fd_sc_hd__xor2_2 _28971_ ( + .A(_05119_), + .B(\soc.core.multiregimpl135_regs1 ), + .X(_14317_) + ); + sky130_fd_sc_hd__and2_4 _28972_ ( + .A(_14317_), + .B(_10904_), + .X(_09565_) + ); + sky130_fd_sc_hd__nor3b_2 _28973_ ( + .A(\soc.core.int_rst ), + .B(\soc.core.gpioin4_gpioin4_trigger_d ), + .C_N(_14317_), + .Y(_14318_) + ); + sky130_fd_sc_hd__a31o_2 _28974_ ( + .A1(\soc.core.gpioin4_gpioin4_pending ), + .A2(_10904_), + .A3(_14316_), + .B1(_14318_), + .X(_09561_) + ); + sky130_fd_sc_hd__and2b_2 _28975_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.multiregimpl135_regs1 ), + .X(_09562_) + ); + sky130_fd_sc_hd__and4_2 _28976_ ( + .A(_04957_), + .B(_11012_), + .C(_12387_), + .D(\mgmt_buffers.mprj_adr_o_core[12] ), + .X(_14319_) + ); + sky130_fd_sc_hd__a21oi_2 _28977_ ( + .A1(_13119_), + .A2(_14319_), + .B1(\soc.core.gpioin5_gpioin5_mode_storage ), + .Y(_14320_) + ); + sky130_fd_sc_hd__and3_2 _28978_ ( + .A(_11014_), + .B(_14319_), + .C(_12440_), + .X(_14321_) + ); + sky130_fd_sc_hd__a211oi_2 _28979_ ( + .A1(_12759_), + .A2(_14321_), + .B1(_14320_), + .C1(\soc.core.int_rst ), + .Y(_09563_) + ); + sky130_fd_sc_hd__a21oi_2 _28980_ ( + .A1(_12799_), + .A2(_14319_), + .B1(\soc.core.gpioin5_gpioin5_edge_storage ), + .Y(_14322_) + ); + sky130_fd_sc_hd__a211oi_2 _28981_ ( + .A1(_12797_), + .A2(_14321_), + .B1(_14322_), + .C1(\soc.core.int_rst ), + .Y(_09564_) + ); + sky130_fd_sc_hd__nand2_2 _28982_ ( + .A(\soc.core.gpioin5_pending_r ), + .B(\soc.core.gpioin5_pending_re ), + .Y(_14323_) + ); + sky130_fd_sc_hd__xor2_2 _28983_ ( + .A(_05118_), + .B(\soc.core.multiregimpl136_regs1 ), + .X(_14324_) + ); + sky130_fd_sc_hd__and2_4 _28984_ ( + .A(_14324_), + .B(_10904_), + .X(_09570_) + ); + sky130_fd_sc_hd__nor3b_2 _28985_ ( + .A(\soc.core.int_rst ), + .B(\soc.core.gpioin5_gpioin5_trigger_d ), + .C_N(_14324_), + .Y(_14325_) + ); + sky130_fd_sc_hd__a31o_2 _28986_ ( + .A1(\soc.core.gpioin5_gpioin5_pending ), + .A2(_10904_), + .A3(_14323_), + .B1(_14325_), + .X(_09566_) + ); + sky130_fd_sc_hd__and2b_2 _28987_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.multiregimpl136_regs1 ), + .X(_09567_) + ); + sky130_fd_sc_hd__nor2_2 _28988_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[1] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[0] ), + .Y(_14326_) + ); + sky130_fd_sc_hd__nor3_2 _28989_ ( + .A(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[3] ), + .B(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[2] ), + .C(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[7] ), + .Y(_14327_) + ); + sky130_fd_sc_hd__a41oi_2 _28990_ ( + .A1(_03060_), + .A2(_12306_), + .A3(_14326_), + .A4(_14327_), + .B1(\soc.core.litespiphy_state[1] ), + .Y(_14328_) + ); + sky130_fd_sc_hd__a41o_2 _28991_ ( + .A1(_03060_), + .A2(_12306_), + .A3(_14326_), + .A4(_14327_), + .B1(\soc.core.litespiphy_state[1] ), + .X(_14329_) + ); + sky130_fd_sc_hd__o211ai_2 _28992_ ( + .A1(_05114_), + .A2(_11181_), + .B1(\soc.core.litespiphy_state[0] ), + .C1(_14329_), + .Y(_14330_) + ); + sky130_fd_sc_hd__nand4b_2 _28993_ ( + .A_N(\soc.core.mgmtsoc_litespisdrphycore_posedge_reg2 ), + .B(_13095_), + .C(_13096_), + .D(\soc.core.litespiphy_state[1] ), + .Y(_14331_) + ); + sky130_fd_sc_hd__o221a_2 _28994_ ( + .A1(_11181_), + .A2(_05114_), + .B1(\soc.core.litespiphy_state[1] ), + .B2(_05117_), + .C1(_14331_), + .X(_14332_) + ); + sky130_fd_sc_hd__o211a_2 _28995_ ( + .A1(\soc.core.litespiphy_state[0] ), + .A2(_14332_), + .B1(_14330_), + .C1(_10904_), + .X(_09568_) + ); + sky130_fd_sc_hd__a311oi_2 _28996_ ( + .A1(\soc.core.litespiphy_state[1] ), + .A2(\soc.core.litespiphy_state[0] ), + .A3(_05114_), + .B1(_14328_), + .C1(\soc.core.int_rst ), + .Y(_09569_) + ); + sky130_fd_sc_hd__o21a_2 _28997_ ( + .A1(\soc.core.litespi_state[3] ), + .A2(_05112_), + .B1(_05108_), + .X(_14333_) + ); + sky130_fd_sc_hd__nor4_2 _28998_ ( + .A(\soc.core.litespi_state[3] ), + .B(\soc.core.litespi_state[0] ), + .C(_11182_), + .D(_11185_), + .Y(_14334_) + ); + sky130_fd_sc_hd__o31a_2 _28999_ ( + .A1(_11183_), + .A2(_14333_), + .A3(_14334_), + .B1(_11187_), + .X(_14335_) + ); + sky130_fd_sc_hd__and4bb_2 _29000_ ( + .A_N(\soc.core.litespiphy_state[0] ), + .B_N(\soc.core.litespi_grant ), + .C(\soc.core.litespiphy_state[1] ), + .D(_13465_), + .X(_14336_) + ); + sky130_fd_sc_hd__o21ba_2 _29001_ ( + .A1(_11365_), + .A2(_14336_), + .B1_N(_14335_), + .X(_14337_) + ); + sky130_fd_sc_hd__o31a_2 _29002_ ( + .A1(\soc.core.litespi_state[3] ), + .A2(\soc.core.litespi_state[0] ), + .A3(_11182_), + .B1(_14337_), + .X(_14338_) + ); + sky130_fd_sc_hd__a221oi_2 _29003_ ( + .A1(_05110_), + .A2(_14335_), + .B1(_14338_), + .B2(_04779_), + .C1(\soc.core.int_rst ), + .Y(_09571_) + ); + sky130_fd_sc_hd__nand2b_2 _29004_ ( + .A_N(\soc.core.litespi_next_state[1] ), + .B(_14337_), + .Y(_14339_) + ); + sky130_fd_sc_hd__o211a_2 _29005_ ( + .A1(\soc.core.litespi_state[1] ), + .A2(_14337_), + .B1(_14339_), + .C1(_10904_), + .X(_09572_) + ); + sky130_fd_sc_hd__nand2b_2 _29006_ ( + .A_N(\soc.core.litespi_next_state[2] ), + .B(_14337_), + .Y(_14340_) + ); + sky130_fd_sc_hd__o211a_2 _29007_ ( + .A1(\soc.core.litespi_state[2] ), + .A2(_14337_), + .B1(_14340_), + .C1(_10904_), + .X(_09573_) + ); + sky130_fd_sc_hd__nand2_2 _29008_ ( + .A(_14335_), + .B(\soc.core.litespi_state[3] ), + .Y(_14341_) + ); + sky130_fd_sc_hd__nand4_2 _29009_ ( + .A(\soc.core.litespi_state[2] ), + .B(\soc.core.litespi_state[1] ), + .C(_05115_), + .D(_14337_), + .Y(_14342_) + ); + sky130_fd_sc_hd__a21oi_2 _29010_ ( + .A1(_14341_), + .A2(_14342_), + .B1(\soc.core.int_rst ), + .Y(_09574_) + ); + sky130_fd_sc_hd__nand2b_2 _29011_ ( + .A_N(\soc.core.spi_master_count[0] ), + .B(\soc.core.spi_master_control_storage[8] ), + .Y(_14343_) + ); + sky130_fd_sc_hd__nand2b_2 _29012_ ( + .A_N(\soc.core.spi_master_control_storage[8] ), + .B(\soc.core.spi_master_count[0] ), + .Y(_14344_) + ); + sky130_fd_sc_hd__a2111o_2 _29013_ ( + .A1(_14343_), + .A2(_14344_), + .B1(\soc.core.spi_master_control_storage[14] ), + .C1(\soc.core.spi_master_control_storage[13] ), + .D1(\soc.core.spi_master_control_storage[12] ), + .X(_14345_) + ); + sky130_fd_sc_hd__xor2_2 _29014_ ( + .A(\soc.core.spi_master_control_storage[9] ), + .B(\soc.core.spi_master_control_storage[8] ), + .X(_14346_) + ); + sky130_fd_sc_hd__o21a_2 _29015_ ( + .A1(\soc.core.spi_master_control_storage[9] ), + .A2(\soc.core.spi_master_control_storage[8] ), + .B1(\soc.core.spi_master_control_storage[10] ), + .X(_14347_) + ); + sky130_fd_sc_hd__nor3_2 _29016_ ( + .A(\soc.core.spi_master_control_storage[10] ), + .B(\soc.core.spi_master_control_storage[9] ), + .C(\soc.core.spi_master_control_storage[8] ), + .Y(_14348_) + ); + sky130_fd_sc_hd__o31ai_2 _29017_ ( + .A1(\soc.core.spi_master_control_storage[10] ), + .A2(\soc.core.spi_master_control_storage[9] ), + .A3(\soc.core.spi_master_control_storage[8] ), + .B1(\soc.core.spi_master_control_storage[11] ), + .Y(_14349_) + ); + sky130_fd_sc_hd__o211a_2 _29018_ ( + .A1(\soc.core.spi_master_control_storage[11] ), + .A2(_14347_), + .B1(\soc.core.spi_master_count[2] ), + .C1(_14349_), + .X(_14350_) + ); + sky130_fd_sc_hd__nor4_2 _29019_ ( + .A(\soc.core.spi_master_count[2] ), + .B(\soc.core.spi_master_control_storage[11] ), + .C(_14347_), + .D(_14348_), + .Y(_14351_) + ); + sky130_fd_sc_hd__o21a_2 _29020_ ( + .A1(_13111_), + .A2(_11536_), + .B1(_13707_), + .X(_14352_) + ); + sky130_fd_sc_hd__o211ai_2 _29021_ ( + .A1(_11536_), + .A2(_13701_), + .B1(_13548_), + .C1(_10904_), + .Y(_14353_) + ); + sky130_fd_sc_hd__o22ai_2 _29022_ ( + .A1(\soc.core.spi_master_count[1] ), + .A2(_14346_), + .B1(_14350_), + .B2(_14351_), + .Y(_14354_) + ); + sky130_fd_sc_hd__a2111o_2 _29023_ ( + .A1(\soc.core.spi_master_count[1] ), + .A2(_14346_), + .B1(\soc.core.spi_master_control_storage[15] ), + .C1(_14345_), + .D1(_14354_), + .X(_14355_) + ); + sky130_fd_sc_hd__a21oi_2 _29024_ ( + .A1(\soc.core.spimaster_state[1] ), + .A2(_14355_), + .B1(_14352_), + .Y(_14356_) + ); + sky130_fd_sc_hd__o21ba_2 _29025_ ( + .A1(\soc.core.spimaster_state[0] ), + .A2(_14356_), + .B1_N(_14353_), + .X(_09575_) + ); + sky130_fd_sc_hd__and3_2 _29026_ ( + .A(_10904_), + .B(_13117_), + .C(_13548_), + .X(_09576_) + ); + sky130_fd_sc_hd__and2b_2 _29027_ ( + .A_N(\soc.core.int_rst ), + .B(_16413_), + .X(_09577_) + ); + sky130_fd_sc_hd__and2b_2 _29028_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.rs232phy_rs232phytx_next_state ), + .X(_09578_) + ); + sky130_fd_sc_hd__and2b_2 _29029_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.rs232phy_rs232phyrx_next_state ), + .X(_09579_) + ); + sky130_fd_sc_hd__and2b_2 _29030_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.uartwishbonebridge_rs232phytx_next_state ), + .X(_09580_) + ); + sky130_fd_sc_hd__a21o_2 _29031_ ( + .A1(\soc.core.uartwishbonebridge_state[2] ), + .A2(\soc.core.uartwishbonebridge_state[0] ), + .B1(_05124_), + .X(_14357_) + ); + sky130_fd_sc_hd__a31o_2 _29032_ ( + .A1(_04959_), + .A2(\soc.core.dbg_uart_bytes_count[1] ), + .A3(\soc.core.dbg_uart_bytes_count[0] ), + .B1(_14357_), + .X(_14358_) + ); + sky130_fd_sc_hd__a211oi_2 _29033_ ( + .A1(_14358_), + .A2(_13913_), + .B1(_11140_), + .C1(_13946_), + .Y(_14359_) + ); + sky130_fd_sc_hd__a21oi_2 _29034_ ( + .A1(_11140_), + .A2(_13947_), + .B1(_14359_), + .Y(_14360_) + ); + sky130_fd_sc_hd__nor3_2 _29035_ ( + .A(\soc.core.dbg_uart_length[0] ), + .B(\soc.core.dbg_uart_length[1] ), + .C(\soc.core.dbg_uart_length[2] ), + .Y(_14361_) + ); + sky130_fd_sc_hd__nor4_2 _29036_ ( + .A(\soc.core.dbg_uart_length[0] ), + .B(\soc.core.dbg_uart_length[1] ), + .C(\soc.core.dbg_uart_length[2] ), + .D(\soc.core.dbg_uart_length[3] ), + .Y(_14362_) + ); + sky130_fd_sc_hd__nand2b_2 _29037_ ( + .A_N(\soc.core.dbg_uart_length[4] ), + .B(_14362_), + .Y(_14363_) + ); + sky130_fd_sc_hd__o21a_2 _29038_ ( + .A1(\soc.core.dbg_uart_length[5] ), + .A2(_14363_), + .B1(\soc.core.dbg_uart_length[6] ), + .X(_14364_) + ); + sky130_fd_sc_hd__nor3_2 _29039_ ( + .A(\soc.core.dbg_uart_length[5] ), + .B(\soc.core.dbg_uart_length[6] ), + .C(_14363_), + .Y(_14365_) + ); + sky130_fd_sc_hd__o21a_2 _29040_ ( + .A1(_14364_), + .A2(_14365_), + .B1(\soc.core.dbg_uart_words_count[6] ), + .X(_14366_) + ); + sky130_fd_sc_hd__nor3_2 _29041_ ( + .A(\soc.core.dbg_uart_words_count[6] ), + .B(_14364_), + .C(_14365_), + .Y(_14367_) + ); + sky130_fd_sc_hd__xor2_2 _29042_ ( + .A(\soc.core.dbg_uart_length[5] ), + .B(_14363_), + .X(_14368_) + ); + sky130_fd_sc_hd__xor2_2 _29043_ ( + .A(\soc.core.dbg_uart_words_count[5] ), + .B(_14368_), + .X(_14369_) + ); + sky130_fd_sc_hd__o31a_2 _29044_ ( + .A1(\soc.core.dbg_uart_length[5] ), + .A2(\soc.core.dbg_uart_length[6] ), + .A3(_14363_), + .B1(\soc.core.dbg_uart_words_count[7] ), + .X(_14370_) + ); + sky130_fd_sc_hd__nor4_2 _29045_ ( + .A(\soc.core.dbg_uart_words_count[7] ), + .B(\soc.core.dbg_uart_length[5] ), + .C(\soc.core.dbg_uart_length[6] ), + .D(_14363_), + .Y(_14371_) + ); + sky130_fd_sc_hd__nor3_2 _29046_ ( + .A(\soc.core.dbg_uart_length[7] ), + .B(_14370_), + .C(_14371_), + .Y(_14372_) + ); + sky130_fd_sc_hd__o21a_2 _29047_ ( + .A1(_14370_), + .A2(_14371_), + .B1(\soc.core.dbg_uart_length[7] ), + .X(_14373_) + ); + sky130_fd_sc_hd__o41ai_2 _29048_ ( + .A1(\soc.core.dbg_uart_length[0] ), + .A2(\soc.core.dbg_uart_length[1] ), + .A3(\soc.core.dbg_uart_length[2] ), + .A4(\soc.core.dbg_uart_length[3] ), + .B1(\soc.core.dbg_uart_length[4] ), + .Y(_14374_) + ); + sky130_fd_sc_hd__o21a_2 _29049_ ( + .A1(\soc.core.dbg_uart_length[0] ), + .A2(\soc.core.dbg_uart_length[1] ), + .B1(\soc.core.dbg_uart_length[2] ), + .X(_14375_) + ); + sky130_fd_sc_hd__o21a_2 _29050_ ( + .A1(_14361_), + .A2(_14375_), + .B1(\soc.core.dbg_uart_words_count[2] ), + .X(_14376_) + ); + sky130_fd_sc_hd__nor3_2 _29051_ ( + .A(\soc.core.dbg_uart_words_count[2] ), + .B(_14361_), + .C(_14375_), + .Y(_14377_) + ); + sky130_fd_sc_hd__nor2_2 _29052_ ( + .A(_14376_), + .B(_14377_), + .Y(_14378_) + ); + sky130_fd_sc_hd__xnor2_2 _29053_ ( + .A(\soc.core.dbg_uart_words_count[1] ), + .B(\soc.core.dbg_uart_length[1] ), + .Y(_14379_) + ); + sky130_fd_sc_hd__nand2b_2 _29054_ ( + .A_N(\soc.core.dbg_uart_length[0] ), + .B(\soc.core.dbg_uart_words_count[0] ), + .Y(_14380_) + ); + sky130_fd_sc_hd__nand3b_2 _29055_ ( + .A_N(\soc.core.dbg_uart_words_count[0] ), + .B(\soc.core.dbg_uart_length[0] ), + .C(_14379_), + .Y(_14381_) + ); + sky130_fd_sc_hd__o21a_2 _29056_ ( + .A1(_14379_), + .A2(_14380_), + .B1(_14381_), + .X(_14382_) + ); + sky130_fd_sc_hd__a311oi_2 _29057_ ( + .A1(\soc.core.dbg_uart_words_count[4] ), + .A2(_14363_), + .A3(_14374_), + .B1(_14378_), + .C1(_14382_), + .Y(_14383_) + ); + sky130_fd_sc_hd__a21o_2 _29058_ ( + .A1(_14363_), + .A2(_14374_), + .B1(\soc.core.dbg_uart_words_count[4] ), + .X(_14384_) + ); + sky130_fd_sc_hd__o31a_2 _29059_ ( + .A1(\soc.core.dbg_uart_length[0] ), + .A2(\soc.core.dbg_uart_length[1] ), + .A3(\soc.core.dbg_uart_length[2] ), + .B1(\soc.core.dbg_uart_length[3] ), + .X(_14385_) + ); + sky130_fd_sc_hd__o21a_2 _29060_ ( + .A1(_14362_), + .A2(_14385_), + .B1(\soc.core.dbg_uart_words_count[3] ), + .X(_14386_) + ); + sky130_fd_sc_hd__nor3_2 _29061_ ( + .A(\soc.core.dbg_uart_words_count[3] ), + .B(_14362_), + .C(_14385_), + .Y(_14387_) + ); + sky130_fd_sc_hd__o21a_2 _29062_ ( + .A1(_14386_), + .A2(_14387_), + .B1(_14384_), + .X(_14388_) + ); + sky130_fd_sc_hd__o211a_2 _29063_ ( + .A1(_14372_), + .A2(_14373_), + .B1(_14383_), + .C1(_14388_), + .X(_14389_) + ); + sky130_fd_sc_hd__o211a_2 _29064_ ( + .A1(_14366_), + .A2(_14367_), + .B1(_14369_), + .C1(_14389_), + .X(_14390_) + ); + sky130_fd_sc_hd__o41a_2 _29065_ ( + .A1(_04959_), + .A2(_05124_), + .A3(\soc.core.uartwishbonebridge_state[0] ), + .A4(_14390_), + .B1(_14360_), + .X(_14391_) + ); + sky130_fd_sc_hd__nor4_2 _29066_ ( + .A(_04959_), + .B(\soc.core.uartwishbonebridge_state[1] ), + .C(\soc.core.uartwishbonebridge_state[0] ), + .D(_14390_), + .Y(_14392_) + ); + sky130_fd_sc_hd__a311oi_2 _29067_ ( + .A1(_04960_), + .A2(_13912_), + .A3(_14357_), + .B1(_13941_), + .C1(_14392_), + .Y(_14393_) + ); + sky130_fd_sc_hd__o21bai_2 _29068_ ( + .A1(\soc.core.uartwishbonebridge_state[0] ), + .A2(_14360_), + .B1_N(_13935_), + .Y(_14394_) + ); + sky130_fd_sc_hd__a21oi_2 _29069_ ( + .A1(_14391_), + .A2(_14393_), + .B1(_14394_), + .Y(_09581_) + ); + sky130_fd_sc_hd__o31ai_2 _29070_ ( + .A1(_13937_), + .A2(\soc.core.uartwishbonebridge_state[0] ), + .A3(_04829_), + .B1(_13912_), + .Y(_14395_) + ); + sky130_fd_sc_hd__o21ai_2 _29071_ ( + .A1(_14395_), + .A2(_14392_), + .B1(_14360_), + .Y(_14396_) + ); + sky130_fd_sc_hd__a2111o_2 _29072_ ( + .A1(_14358_), + .A2(_13913_), + .B1(_11140_), + .C1(_05124_), + .D1(_13946_), + .X(_14397_) + ); + sky130_fd_sc_hd__a21oi_2 _29073_ ( + .A1(_14396_), + .A2(_14397_), + .B1(_13935_), + .Y(_09582_) + ); + sky130_fd_sc_hd__o21ai_2 _29074_ ( + .A1(\soc.core.uartwishbonebridge_state[0] ), + .A2(_13939_), + .B1(_13936_), + .Y(_14398_) + ); + sky130_fd_sc_hd__a32o_2 _29075_ ( + .A1(_14391_), + .A2(_14398_), + .A3(_14073_), + .B1(_14359_), + .B2(_04959_), + .X(_14399_) + ); + sky130_fd_sc_hd__nor2_2 _29076_ ( + .A(_13935_), + .B(_14399_), + .Y(_09583_) + ); + sky130_fd_sc_hd__and2b_2 _29077_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.uartwishbonebridge_rs232phyrx_next_state ), + .X(_09584_) + ); + sky130_fd_sc_hd__and3_2 _29078_ ( + .A(_12388_), + .B(_12396_), + .C(_13882_), + .X(_09587_) + ); + sky130_fd_sc_hd__a21oi_2 _29079_ ( + .A1(\soc.core.gpioin0_pending_r ), + .A2(_10904_), + .B1(_09587_), + .Y(_14400_) + ); + sky130_fd_sc_hd__a31oi_2 _29080_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_14286_), + .B1(_14400_), + .Y(_09585_) + ); + sky130_fd_sc_hd__a21oi_2 _29081_ ( + .A1(_12654_), + .A2(_14284_), + .B1(\soc.core.gpioin0_enable_storage ), + .Y(_14401_) + ); + sky130_fd_sc_hd__a311oi_2 _29082_ ( + .A1(_12440_), + .A2(_12654_), + .A3(_14284_), + .B1(_14401_), + .C1(\soc.core.int_rst ), + .Y(_09586_) + ); + sky130_fd_sc_hd__and2b_2 _29083_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.gpioin1_pending_r ), + .X(_14402_) + ); + sky130_fd_sc_hd__and4_2 _29084_ ( + .A(_13675_), + .B(_10904_), + .C(_11014_), + .D(_14291_), + .X(_09590_) + ); + sky130_fd_sc_hd__and4_2 _29085_ ( + .A(_11014_), + .B(_13675_), + .C(_14291_), + .D(_12440_), + .X(_14403_) + ); + sky130_fd_sc_hd__o21ba_2 _29086_ ( + .A1(_14402_), + .A2(_09590_), + .B1_N(_14403_), + .X(_09588_) + ); + sky130_fd_sc_hd__a31o_2 _29087_ ( + .A1(_11014_), + .A2(_12653_), + .A3(_14291_), + .B1(\soc.core.gpioin1_enable_storage ), + .X(_14404_) + ); + sky130_fd_sc_hd__nand4_2 _29088_ ( + .A(_11014_), + .B(_12653_), + .C(_14291_), + .D(_12440_), + .Y(_14405_) + ); + sky130_fd_sc_hd__and3_2 _29089_ ( + .A(_10904_), + .B(_14404_), + .C(_14405_), + .X(_09589_) + ); + sky130_fd_sc_hd__and2b_2 _29090_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.gpioin2_pending_r ), + .X(_14406_) + ); + sky130_fd_sc_hd__and3_2 _29091_ ( + .A(_11017_), + .B(_12388_), + .C(_13882_), + .X(_09593_) + ); + sky130_fd_sc_hd__and3_2 _29092_ ( + .A(_11014_), + .B(_13675_), + .C(_14301_), + .X(_14407_) + ); + sky130_fd_sc_hd__o21ba_2 _29093_ ( + .A1(_14406_), + .A2(_09593_), + .B1_N(_14407_), + .X(_09591_) + ); + sky130_fd_sc_hd__a21oi_2 _29094_ ( + .A1(_12654_), + .A2(_14299_), + .B1(\soc.core.gpioin2_enable_storage ), + .Y(_14408_) + ); + sky130_fd_sc_hd__a311oi_2 _29095_ ( + .A1(_12440_), + .A2(_12654_), + .A3(_14299_), + .B1(_14408_), + .C1(\soc.core.int_rst ), + .Y(_09592_) + ); + sky130_fd_sc_hd__a31o_2 _29096_ ( + .A1(_11014_), + .A2(_13675_), + .A3(_14306_), + .B1(\soc.core.gpioin3_pending_r ), + .X(_14409_) + ); + sky130_fd_sc_hd__nand4_2 _29097_ ( + .A(_11014_), + .B(_13675_), + .C(_14306_), + .D(_12440_), + .Y(_14410_) + ); + sky130_fd_sc_hd__and3_2 _29098_ ( + .A(_10904_), + .B(_14409_), + .C(_14410_), + .X(_09594_) + ); + sky130_fd_sc_hd__a21oi_2 _29099_ ( + .A1(_12654_), + .A2(_14306_), + .B1(\soc.core.gpioin3_enable_storage ), + .Y(_14411_) + ); + sky130_fd_sc_hd__a311oi_2 _29100_ ( + .A1(_12440_), + .A2(_12654_), + .A3(_14306_), + .B1(_14411_), + .C1(\soc.core.int_rst ), + .Y(_09595_) + ); + sky130_fd_sc_hd__and3_2 _29101_ ( + .A(_12387_), + .B(_12389_), + .C(_13882_), + .X(_09596_) + ); + sky130_fd_sc_hd__and2b_2 _29102_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.gpioin4_pending_r ), + .X(_14412_) + ); + sky130_fd_sc_hd__and3_2 _29103_ ( + .A(_12387_), + .B(_12396_), + .C(_13882_), + .X(_09599_) + ); + sky130_fd_sc_hd__and4_2 _29104_ ( + .A(_11014_), + .B(_13675_), + .C(_14313_), + .D(_12440_), + .X(_14413_) + ); + sky130_fd_sc_hd__o21ba_2 _29105_ ( + .A1(_14412_), + .A2(_09599_), + .B1_N(_14413_), + .X(_09597_) + ); + sky130_fd_sc_hd__a21oi_2 _29106_ ( + .A1(_12654_), + .A2(_14313_), + .B1(\soc.core.gpioin4_enable_storage ), + .Y(_14414_) + ); + sky130_fd_sc_hd__a311oi_2 _29107_ ( + .A1(_12440_), + .A2(_12654_), + .A3(_14313_), + .B1(_14414_), + .C1(\soc.core.int_rst ), + .Y(_09598_) + ); + sky130_fd_sc_hd__and2b_2 _29108_ ( + .A_N(\soc.core.int_rst ), + .B(\soc.core.gpioin5_pending_r ), + .X(_14415_) + ); + sky130_fd_sc_hd__and4_2 _29109_ ( + .A(_13675_), + .B(_10904_), + .C(_11014_), + .D(_14319_), + .X(_09604_) + ); + sky130_fd_sc_hd__o2bb2a_2 _29110_ ( + .A1_N(_13675_), + .A2_N(_14321_), + .B1(_14415_), + .B2(_09604_), + .X(_09600_) + ); + sky130_fd_sc_hd__a21oi_2 _29111_ ( + .A1(_12654_), + .A2(_14319_), + .B1(\soc.core.gpioin5_enable_storage ), + .Y(_14416_) + ); + sky130_fd_sc_hd__a211oi_2 _29112_ ( + .A1(_12653_), + .A2(_14321_), + .B1(_14416_), + .C1(\soc.core.int_rst ), + .Y(_09601_) + ); + sky130_fd_sc_hd__o21a_2 _29113_ ( + .A1(\soc.core.VexRiscv.dBusWishbone_CYC ), + .A2(\soc.core.grant[1] ), + .B1(\soc.core.grant[0] ), + .X(_14417_) + ); + sky130_fd_sc_hd__a311oi_2 _29114_ ( + .A1(_04961_), + .A2(_11142_), + .A3(_04960_), + .B1(_14417_), + .C1(_11143_), + .Y(_14418_) + ); + sky130_fd_sc_hd__a21bo_2 _29115_ ( + .A1(\soc.core.grant[1] ), + .A2(_11140_), + .B1_N(_14418_), + .X(_14419_) + ); + sky130_fd_sc_hd__nand2b_2 _29116_ ( + .A_N(\soc.core.grant[0] ), + .B(_14419_), + .Y(_14420_) + ); + sky130_fd_sc_hd__o211a_2 _29117_ ( + .A1(_14419_), + .A2(_10886_), + .B1(_10904_), + .C1(_14420_), + .X(_09602_) + ); + sky130_fd_sc_hd__o221a_2 _29118_ ( + .A1(\soc.core.grant[1] ), + .A2(_14418_), + .B1(_10887_), + .B2(_14419_), + .C1(_10904_), + .X(_09603_) + ); + sky130_fd_sc_hd__and3_2 _29119_ ( + .A(_11180_), + .B(_11188_), + .C(_13384_), + .X(_14421_) + ); + sky130_fd_sc_hd__o2111ai_2 _29120_ ( + .A1(\soc.core.count[19] ), + .A2(_11176_), + .B1(_11188_), + .C1(\mgmt_buffers.mprj_stb_o_core ), + .D1(_10904_), + .Y(_14422_) + ); + sky130_fd_sc_hd__and4b_2 _29121_ ( + .A_N(\soc.core.count[0] ), + .B(_11180_), + .C(_11188_), + .D(_13384_), + .X(_09605_) + ); + sky130_fd_sc_hd__a311o_2 _29122_ ( + .A1(_11172_), + .A2(_11178_), + .A3(_10892_), + .B1(\soc.core.count[1] ), + .C1(\soc.core.count[0] ), + .X(_14423_) + ); + sky130_fd_sc_hd__o21ai_2 _29123_ ( + .A1(\soc.core.count[0] ), + .A2(_11179_), + .B1(\soc.core.count[1] ), + .Y(_14424_) + ); + sky130_fd_sc_hd__a21oi_2 _29124_ ( + .A1(_14423_), + .A2(_14424_), + .B1(_14422_), + .Y(_09606_) + ); + sky130_fd_sc_hd__a21o_2 _29125_ ( + .A1(_14423_), + .A2(\soc.core.count[2] ), + .B1(_11163_), + .X(_14425_) + ); + sky130_fd_sc_hd__and4_2 _29126_ ( + .A(_11188_), + .B(_14425_), + .C(_13384_), + .D(_11180_), + .X(_09607_) + ); + sky130_fd_sc_hd__o31a_2 _29127_ ( + .A1(\soc.core.count[2] ), + .A2(\soc.core.count[1] ), + .A3(\soc.core.count[0] ), + .B1(\soc.core.count[3] ), + .X(_14426_) + ); + sky130_fd_sc_hd__o2111a_2 _29128_ ( + .A1(_11164_), + .A2(_14426_), + .B1(_13384_), + .C1(_11188_), + .D1(_11180_), + .X(_09608_) + ); + sky130_fd_sc_hd__nand3b_2 _29129_ ( + .A_N(\soc.core.count[4] ), + .B(_11180_), + .C(_11164_), + .Y(_14427_) + ); + sky130_fd_sc_hd__a21bo_2 _29130_ ( + .A1(_11180_), + .A2(_11164_), + .B1_N(\soc.core.count[4] ), + .X(_14428_) + ); + sky130_fd_sc_hd__a21oi_2 _29131_ ( + .A1(_14427_), + .A2(_14428_), + .B1(_14422_), + .Y(_09609_) + ); + sky130_fd_sc_hd__nand2_2 _29132_ ( + .A(_14427_), + .B(\soc.core.count[5] ), + .Y(_14429_) + ); + sky130_fd_sc_hd__nand4bb_2 _29133_ ( + .A_N(\soc.core.count[5] ), + .B_N(\soc.core.count[4] ), + .C(_11180_), + .D(_11164_), + .Y(_14430_) + ); + sky130_fd_sc_hd__a21oi_2 _29134_ ( + .A1(_14429_), + .A2(_14430_), + .B1(_14422_), + .Y(_09610_) + ); + sky130_fd_sc_hd__a221o_2 _29135_ ( + .A1(_11162_), + .A2(_11164_), + .B1(_14430_), + .B2(\soc.core.count[6] ), + .C1(_14422_), + .X(_09611_) + ); + sky130_fd_sc_hd__nand2_2 _29136_ ( + .A(_11165_), + .B(\soc.core.count[7] ), + .Y(_14431_) + ); + sky130_fd_sc_hd__a21oi_2 _29137_ ( + .A1(_11166_), + .A2(_14431_), + .B1(_14422_), + .Y(_09612_) + ); + sky130_fd_sc_hd__a2111oi_2 _29138_ ( + .A1(_11174_), + .A2(_11177_), + .B1(\soc.core.count[8] ), + .C1(\soc.core.count[7] ), + .D1(_11165_), + .Y(_14432_) + ); + sky130_fd_sc_hd__a211o_2 _29139_ ( + .A1(_11174_), + .A2(_11177_), + .B1(\soc.core.count[8] ), + .C1(_11166_), + .X(_14433_) + ); + sky130_fd_sc_hd__o31a_2 _29140_ ( + .A1(\soc.core.count[7] ), + .A2(_11165_), + .A3(_11179_), + .B1(\soc.core.count[8] ), + .X(_14434_) + ); + sky130_fd_sc_hd__o2111a_2 _29141_ ( + .A1(_14432_), + .A2(_14434_), + .B1(_11180_), + .C1(_11188_), + .D1(_13384_), + .X(_09613_) + ); + sky130_fd_sc_hd__o21ai_2 _29142_ ( + .A1(\soc.core.count[9] ), + .A2(_14433_), + .B1(_14421_), + .Y(_14435_) + ); + sky130_fd_sc_hd__a21o_2 _29143_ ( + .A1(\soc.core.count[9] ), + .A2(_14433_), + .B1(_14435_), + .X(_09614_) + ); + sky130_fd_sc_hd__o41a_2 _29144_ ( + .A1(\soc.core.count[9] ), + .A2(\soc.core.count[8] ), + .A3(_11166_), + .A4(_11179_), + .B1(\soc.core.count[10] ), + .X(_14436_) + ); + sky130_fd_sc_hd__nor3_2 _29145_ ( + .A(\soc.core.count[10] ), + .B(\soc.core.count[9] ), + .C(_14433_), + .Y(_14437_) + ); + sky130_fd_sc_hd__o2111a_2 _29146_ ( + .A1(_14436_), + .A2(_14437_), + .B1(_11180_), + .C1(_11188_), + .D1(_13384_), + .X(_09615_) + ); + sky130_fd_sc_hd__o31a_2 _29147_ ( + .A1(\soc.core.count[10] ), + .A2(\soc.core.count[9] ), + .A3(_14433_), + .B1(\soc.core.count[11] ), + .X(_14438_) + ); + sky130_fd_sc_hd__nor4_2 _29148_ ( + .A(\soc.core.count[11] ), + .B(\soc.core.count[10] ), + .C(\soc.core.count[9] ), + .D(_14433_), + .Y(_14439_) + ); + sky130_fd_sc_hd__o2111a_2 _29149_ ( + .A1(_14438_), + .A2(_14439_), + .B1(_11180_), + .C1(_11188_), + .D1(_13384_), + .X(_09616_) + ); + sky130_fd_sc_hd__o41a_2 _29150_ ( + .A1(\soc.core.count[11] ), + .A2(\soc.core.count[10] ), + .A3(\soc.core.count[9] ), + .A4(_14433_), + .B1(\soc.core.count[12] ), + .X(_14440_) + ); + sky130_fd_sc_hd__and4bb_2 _29151_ ( + .A_N(\soc.core.count[12] ), + .B_N(\soc.core.count[9] ), + .C(_11168_), + .D(_14432_), + .X(_14441_) + ); + sky130_fd_sc_hd__o2111a_2 _29152_ ( + .A1(_14440_), + .A2(_14441_), + .B1(_11180_), + .C1(_11188_), + .D1(_13384_), + .X(_09617_) + ); + sky130_fd_sc_hd__a21oi_2 _29153_ ( + .A1(\soc.core.count[13] ), + .A2(_14441_), + .B1(_14422_), + .Y(_14442_) + ); + sky130_fd_sc_hd__o21a_2 _29154_ ( + .A1(\soc.core.count[13] ), + .A2(_14441_), + .B1(_14442_), + .X(_09618_) + ); + sky130_fd_sc_hd__o2111a_2 _29155_ ( + .A1(_11171_), + .A2(_10893_), + .B1(_13384_), + .C1(_11188_), + .D1(_11180_), + .X(_14443_) + ); + sky130_fd_sc_hd__o31ai_2 _29156_ ( + .A1(\soc.core.count[14] ), + .A2(_11166_), + .A3(_11170_), + .B1(_14443_), + .Y(_09619_) + ); + sky130_fd_sc_hd__a21o_2 _29157_ ( + .A1(_11171_), + .A2(_10893_), + .B1(_10892_), + .X(_14444_) + ); + sky130_fd_sc_hd__a21oi_2 _29158_ ( + .A1(_11173_), + .A2(_14444_), + .B1(_14422_), + .Y(_09620_) + ); + sky130_fd_sc_hd__nand2_2 _29159_ ( + .A(_11173_), + .B(\soc.core.count[16] ), + .Y(_14445_) + ); + sky130_fd_sc_hd__nand3b_2 _29160_ ( + .A_N(_11174_), + .B(_14421_), + .C(_14445_), + .Y(_09621_) + ); + sky130_fd_sc_hd__xnor2_2 _29161_ ( + .A(\soc.core.count[17] ), + .B(_11174_), + .Y(_14446_) + ); + sky130_fd_sc_hd__nand2_2 _29162_ ( + .A(_14421_), + .B(_14446_), + .Y(_09622_) + ); + sky130_fd_sc_hd__o31a_2 _29163_ ( + .A1(\soc.core.count[17] ), + .A2(\soc.core.count[16] ), + .A3(_11173_), + .B1(\soc.core.count[18] ), + .X(_14447_) + ); + sky130_fd_sc_hd__a211o_2 _29164_ ( + .A1(_11174_), + .A2(_11175_), + .B1(_14447_), + .C1(_14422_), + .X(_09623_) + ); + sky130_fd_sc_hd__a2111o_2 _29165_ ( + .A1(_11176_), + .A2(\soc.core.count[19] ), + .B1(_04963_), + .C1(_11189_), + .D1(\soc.core.int_rst ), + .X(_09624_) + ); + sky130_fd_sc_hd__nor2_2 _29166_ ( + .A(\soc.core.int_rst ), + .B(_13229_), + .Y(_09625_) + ); + sky130_fd_sc_hd__and4_2 _29167_ ( + .A(_04942_), + .B(_11441_), + .C(_10904_), + .D(_11439_), + .X(_09626_) + ); + sky130_fd_sc_hd__and2_4 _29168_ ( + .A(_12342_), + .B(_10904_), + .X(_09627_) + ); + sky130_fd_sc_hd__and4_2 _29169_ ( + .A(_11008_), + .B(_11363_), + .C(\mgmt_buffers.mprj_adr_o_core[28] ), + .D(_10904_), + .X(_09628_) + ); + sky130_fd_sc_hd__and4_2 _29170_ ( + .A(_10904_), + .B(_11009_), + .C(_04919_), + .D(_04922_), + .X(_09629_) + ); + sky130_fd_sc_hd__and2_4 _29171_ ( + .A(_12345_), + .B(_10904_), + .X(_09630_) + ); + sky130_fd_sc_hd__and4_2 _29172_ ( + .A(_11007_), + .B(_11008_), + .C(_11010_), + .D(_10904_), + .X(_09631_) + ); + sky130_fd_sc_hd__a22o_2 _29173_ ( + .A1(\soc.core.mgmtsoc_reset_storage[0] ), + .A2(_12392_), + .B1(_12801_), + .B2(\soc.core.mgmtsoc_bus_errors[0] ), + .X(_14448_) + ); + sky130_fd_sc_hd__a31o_2 _29174_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[0] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14448_), + .X(_14449_) + ); + sky130_fd_sc_hd__o31a_2 _29175_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14449_), + .X(_09632_) + ); + sky130_fd_sc_hd__a22o_2 _29176_ ( + .A1(\soc.core.mgmtsoc_cpu_rst ), + .A2(_12392_), + .B1(_12801_), + .B2(\soc.core.mgmtsoc_bus_errors[1] ), + .X(_14450_) + ); + sky130_fd_sc_hd__a31o_2 _29177_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[1] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14450_), + .X(_14451_) + ); + sky130_fd_sc_hd__o21a_2 _29178_ ( + .A1(_11013_), + .A2(_11438_), + .B1(_14451_), + .X(_09633_) + ); + sky130_fd_sc_hd__a32o_2 _29179_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[2] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[2] ), + .X(_14452_) + ); + sky130_fd_sc_hd__o31a_2 _29180_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14452_), + .X(_09634_) + ); + sky130_fd_sc_hd__a32o_2 _29181_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[3] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[3] ), + .X(_14453_) + ); + sky130_fd_sc_hd__o31a_2 _29182_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14453_), + .X(_09635_) + ); + sky130_fd_sc_hd__a32o_2 _29183_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[4] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[4] ), + .X(_14454_) + ); + sky130_fd_sc_hd__o31a_2 _29184_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14454_), + .X(_09636_) + ); + sky130_fd_sc_hd__a32o_2 _29185_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[5] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[5] ), + .X(_14455_) + ); + sky130_fd_sc_hd__o31a_2 _29186_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14455_), + .X(_09637_) + ); + sky130_fd_sc_hd__a32o_2 _29187_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[6] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[6] ), + .X(_14456_) + ); + sky130_fd_sc_hd__o31a_2 _29188_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14456_), + .X(_09638_) + ); + sky130_fd_sc_hd__a32o_2 _29189_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[7] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[7] ), + .X(_14457_) + ); + sky130_fd_sc_hd__o31a_2 _29190_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14457_), + .X(_09639_) + ); + sky130_fd_sc_hd__a32o_2 _29191_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[8] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[8] ), + .X(_14458_) + ); + sky130_fd_sc_hd__o31a_2 _29192_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14458_), + .X(_09640_) + ); + sky130_fd_sc_hd__a32o_2 _29193_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[9] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[9] ), + .X(_14459_) + ); + sky130_fd_sc_hd__o31a_2 _29194_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14459_), + .X(_09641_) + ); + sky130_fd_sc_hd__a32o_2 _29195_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[10] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[10] ), + .X(_14460_) + ); + sky130_fd_sc_hd__o31a_2 _29196_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14460_), + .X(_09642_) + ); + sky130_fd_sc_hd__a32o_2 _29197_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[11] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[11] ), + .X(_14461_) + ); + sky130_fd_sc_hd__o31a_2 _29198_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14461_), + .X(_09643_) + ); + sky130_fd_sc_hd__a32o_2 _29199_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[12] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[12] ), + .X(_14462_) + ); + sky130_fd_sc_hd__o31a_2 _29200_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14462_), + .X(_09644_) + ); + sky130_fd_sc_hd__a32o_2 _29201_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[13] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[13] ), + .X(_14463_) + ); + sky130_fd_sc_hd__o31a_2 _29202_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14463_), + .X(_09645_) + ); + sky130_fd_sc_hd__a32o_2 _29203_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[14] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[14] ), + .X(_14464_) + ); + sky130_fd_sc_hd__o31a_2 _29204_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14464_), + .X(_09646_) + ); + sky130_fd_sc_hd__a32o_2 _29205_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[15] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[15] ), + .X(_14465_) + ); + sky130_fd_sc_hd__o31a_2 _29206_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14465_), + .X(_09647_) + ); + sky130_fd_sc_hd__a32o_2 _29207_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[16] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[16] ), + .X(_14466_) + ); + sky130_fd_sc_hd__o31a_2 _29208_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14466_), + .X(_09648_) + ); + sky130_fd_sc_hd__a32o_2 _29209_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[17] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[17] ), + .X(_14467_) + ); + sky130_fd_sc_hd__o31a_2 _29210_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14467_), + .X(_09649_) + ); + sky130_fd_sc_hd__a32o_2 _29211_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[18] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[18] ), + .X(_14468_) + ); + sky130_fd_sc_hd__o31a_2 _29212_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14468_), + .X(_09650_) + ); + sky130_fd_sc_hd__a32o_2 _29213_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[19] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[19] ), + .X(_14469_) + ); + sky130_fd_sc_hd__o31a_2 _29214_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14469_), + .X(_09651_) + ); + sky130_fd_sc_hd__a32o_2 _29215_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[20] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[20] ), + .X(_14470_) + ); + sky130_fd_sc_hd__o31a_2 _29216_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14470_), + .X(_09652_) + ); + sky130_fd_sc_hd__a32o_2 _29217_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[21] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[21] ), + .X(_14471_) + ); + sky130_fd_sc_hd__o31a_2 _29218_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14471_), + .X(_09653_) + ); + sky130_fd_sc_hd__a32o_2 _29219_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[22] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[22] ), + .X(_14472_) + ); + sky130_fd_sc_hd__o31a_2 _29220_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14472_), + .X(_09654_) + ); + sky130_fd_sc_hd__a32o_2 _29221_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[23] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[23] ), + .X(_14473_) + ); + sky130_fd_sc_hd__o31a_2 _29222_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14473_), + .X(_09655_) + ); + sky130_fd_sc_hd__a32o_2 _29223_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[24] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[24] ), + .X(_14474_) + ); + sky130_fd_sc_hd__o31a_2 _29224_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14474_), + .X(_09656_) + ); + sky130_fd_sc_hd__a32o_2 _29225_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[25] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[25] ), + .X(_14475_) + ); + sky130_fd_sc_hd__o31a_2 _29226_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14475_), + .X(_09657_) + ); + sky130_fd_sc_hd__a32o_2 _29227_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[26] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[26] ), + .X(_14476_) + ); + sky130_fd_sc_hd__o31a_2 _29228_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14476_), + .X(_09658_) + ); + sky130_fd_sc_hd__a32o_2 _29229_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[27] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[27] ), + .X(_14477_) + ); + sky130_fd_sc_hd__o31a_2 _29230_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14477_), + .X(_09659_) + ); + sky130_fd_sc_hd__a32o_2 _29231_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[28] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[28] ), + .X(_14478_) + ); + sky130_fd_sc_hd__o31a_2 _29232_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14478_), + .X(_09660_) + ); + sky130_fd_sc_hd__a32o_2 _29233_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[29] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[29] ), + .X(_14479_) + ); + sky130_fd_sc_hd__o31a_2 _29234_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14479_), + .X(_09661_) + ); + sky130_fd_sc_hd__a32o_2 _29235_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[30] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[30] ), + .X(_14480_) + ); + sky130_fd_sc_hd__o31a_2 _29236_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14480_), + .X(_09662_) + ); + sky130_fd_sc_hd__a32o_2 _29237_ ( + .A1(\soc.core.mgmtsoc_scratch_storage[31] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_bus_errors[31] ), + .X(_14481_) + ); + sky130_fd_sc_hd__o31a_2 _29238_ ( + .A1(_11004_), + .A2(_11011_), + .A3(_11438_), + .B1(_14481_), + .X(_09663_) + ); + sky130_fd_sc_hd__a22o_2 _29239_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[0] ), + .A2(_11026_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_litespimmap_storage[0] ), + .X(_14482_) + ); + sky130_fd_sc_hd__and4_2 _29240_ ( + .A(\soc.core.mgmtsoc_master_phyconfig_storage[0] ), + .B(_05002_), + .C(_05006_), + .D(_12556_), + .X(_14483_) + ); + sky130_fd_sc_hd__a32o_2 _29241_ ( + .A1(\soc.core.mgmtsoc_master_cs_storage ), + .A2(_11023_), + .A3(_12478_), + .B1(_13468_), + .B2(_13675_), + .X(_14484_) + ); + sky130_fd_sc_hd__o31a_2 _29242_ ( + .A1(_14482_), + .A2(_14483_), + .A3(_14484_), + .B1(_11018_), + .X(_09664_) + ); + sky130_fd_sc_hd__a22o_2 _29243_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[1] ), + .A2(_12392_), + .B1(_12801_), + .B2(\soc.core.mgmtsoc_master_phyconfig_storage[1] ), + .X(_14485_) + ); + sky130_fd_sc_hd__a221o_2 _29244_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[1] ), + .A2(_11031_), + .B1(_13678_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_valid ), + .C1(_14485_), + .X(_14486_) + ); + sky130_fd_sc_hd__and3_2 _29245_ ( + .A(_14486_), + .B(_11017_), + .C(_11016_), + .X(_09665_) + ); + sky130_fd_sc_hd__a32o_2 _29246_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[2] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_master_phyconfig_storage[2] ), + .X(_14487_) + ); + sky130_fd_sc_hd__a21o_2 _29247_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[2] ), + .A2(_12392_), + .B1(_14487_), + .X(_14488_) + ); + sky130_fd_sc_hd__and3_2 _29248_ ( + .A(_14488_), + .B(_11017_), + .C(_11016_), + .X(_09666_) + ); + sky130_fd_sc_hd__a32o_2 _29249_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[3] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_master_phyconfig_storage[3] ), + .X(_14489_) + ); + sky130_fd_sc_hd__a21o_2 _29250_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[3] ), + .A2(_12392_), + .B1(_14489_), + .X(_14490_) + ); + sky130_fd_sc_hd__and3_2 _29251_ ( + .A(_14490_), + .B(_11017_), + .C(_11016_), + .X(_09667_) + ); + sky130_fd_sc_hd__a32o_2 _29252_ ( + .A1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[4] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_master_phyconfig_storage[4] ), + .X(_14491_) + ); + sky130_fd_sc_hd__a21o_2 _29253_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[4] ), + .A2(_12392_), + .B1(_14491_), + .X(_14492_) + ); + sky130_fd_sc_hd__and3_2 _29254_ ( + .A(_14492_), + .B(_11017_), + .C(_11016_), + .X(_09668_) + ); + sky130_fd_sc_hd__a22o_2 _29255_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[5] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_master_phyconfig_storage[5] ), + .X(_14493_) + ); + sky130_fd_sc_hd__a32o_2 _29256_ ( + .A1(_14493_), + .A2(_11017_), + .A3(_11016_), + .B1(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[5] ), + .B2(_11027_), + .X(_09669_) + ); + sky130_fd_sc_hd__and3_2 _29257_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[6] ), + .B(_11023_), + .C(_11025_), + .X(_14494_) + ); + sky130_fd_sc_hd__a22o_2 _29258_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[6] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_master_phyconfig_storage[6] ), + .X(_14495_) + ); + sky130_fd_sc_hd__o211a_2 _29259_ ( + .A1(_14494_), + .A2(_14495_), + .B1(_11016_), + .C1(_11017_), + .X(_09670_) + ); + sky130_fd_sc_hd__and3_2 _29260_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[7] ), + .B(_11023_), + .C(_11025_), + .X(_14496_) + ); + sky130_fd_sc_hd__a22o_2 _29261_ ( + .A1(\soc.core.mgmtsoc_litespimmap_storage[7] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_master_phyconfig_storage[7] ), + .X(_14497_) + ); + sky130_fd_sc_hd__o211a_2 _29262_ ( + .A1(_14496_), + .A2(_14497_), + .B1(_11016_), + .C1(_11017_), + .X(_09671_) + ); + sky130_fd_sc_hd__a32o_2 _29263_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[8] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[8] ), + .X(_09672_) + ); + sky130_fd_sc_hd__a32o_2 _29264_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[9] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[9] ), + .X(_09673_) + ); + sky130_fd_sc_hd__a32o_2 _29265_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[10] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[10] ), + .X(_09674_) + ); + sky130_fd_sc_hd__a32o_2 _29266_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[11] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[11] ), + .X(_09675_) + ); + sky130_fd_sc_hd__a32o_2 _29267_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[12] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[12] ), + .X(_09676_) + ); + sky130_fd_sc_hd__a32o_2 _29268_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[13] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[13] ), + .X(_09677_) + ); + sky130_fd_sc_hd__a32o_2 _29269_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[14] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[14] ), + .X(_09678_) + ); + sky130_fd_sc_hd__a32o_2 _29270_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[15] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[15] ), + .X(_09679_) + ); + sky130_fd_sc_hd__a32o_2 _29271_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[16] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[16] ), + .X(_09680_) + ); + sky130_fd_sc_hd__a32o_2 _29272_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[17] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[17] ), + .X(_09681_) + ); + sky130_fd_sc_hd__a32o_2 _29273_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[18] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[18] ), + .X(_09682_) + ); + sky130_fd_sc_hd__a32o_2 _29274_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[19] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[19] ), + .X(_09683_) + ); + sky130_fd_sc_hd__a32o_2 _29275_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[20] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[20] ), + .X(_09684_) + ); + sky130_fd_sc_hd__a32o_2 _29276_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[21] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[21] ), + .X(_09685_) + ); + sky130_fd_sc_hd__a32o_2 _29277_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[22] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[22] ), + .X(_09686_) + ); + sky130_fd_sc_hd__a32o_2 _29278_ ( + .A1(\soc.core.mgmtsoc_master_phyconfig_storage[23] ), + .A2(_11018_), + .A3(_12797_), + .B1(_11027_), + .B2(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[23] ), + .X(_09687_) + ); + sky130_fd_sc_hd__and3_2 _29279_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[24] ), + .B(_11018_), + .C(_11026_), + .X(_09688_) + ); + sky130_fd_sc_hd__and3_2 _29280_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[25] ), + .B(_11018_), + .C(_11026_), + .X(_09689_) + ); + sky130_fd_sc_hd__and3_2 _29281_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[26] ), + .B(_11018_), + .C(_11026_), + .X(_09690_) + ); + sky130_fd_sc_hd__and3_2 _29282_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[27] ), + .B(_11018_), + .C(_11026_), + .X(_09691_) + ); + sky130_fd_sc_hd__and3_2 _29283_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[28] ), + .B(_11018_), + .C(_11026_), + .X(_09692_) + ); + sky130_fd_sc_hd__and3_2 _29284_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[29] ), + .B(_11018_), + .C(_11026_), + .X(_09693_) + ); + sky130_fd_sc_hd__and3_2 _29285_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[30] ), + .B(_11018_), + .C(_11026_), + .X(_09694_) + ); + sky130_fd_sc_hd__and3_2 _29286_ ( + .A(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[31] ), + .B(_11018_), + .C(_11026_), + .X(_09695_) + ); + sky130_fd_sc_hd__and3_2 _29287_ ( + .A(\soc.core.gpio_oe_storage ), + .B(_11023_), + .C(_11025_), + .X(_14498_) + ); + sky130_fd_sc_hd__a221o_2 _29288_ ( + .A1(gpio_mode1_core), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.gpio_ien_storage ), + .C1(_14498_), + .X(_14499_) + ); + sky130_fd_sc_hd__a32o_2 _29289_ ( + .A1(gpio_mode0_core), + .A2(_11023_), + .A3(_12478_), + .B1(_12653_), + .B2(gpio_out_core), + .X(_14500_) + ); + sky130_fd_sc_hd__a31o_2 _29290_ ( + .A1(\soc.core.multiregimpl2_regs1 ), + .A2(_12652_), + .A3(_13674_), + .B1(_14500_), + .X(_14501_) + ); + sky130_fd_sc_hd__o21a_2 _29291_ ( + .A1(_14499_), + .A2(_14501_), + .B1(_14171_), + .X(_09696_) + ); + sky130_fd_sc_hd__a22o_2 _29292_ ( + .A1(\soc.core.la_ien_storage[96] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[32] ), + .X(_14502_) + ); + sky130_fd_sc_hd__a221o_2 _29293_ ( + .A1(\soc.core.la_ien_storage[0] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[32] ), + .C1(_14502_), + .X(_14503_) + ); + sky130_fd_sc_hd__and4_2 _29294_ ( + .A(_05002_), + .B(_13674_), + .C(\mgmt_buffers.mprj_adr_o_core[5] ), + .D(_11012_), + .X(_14504_) + ); + sky130_fd_sc_hd__and3_2 _29295_ ( + .A(\soc.core.la_out_storage[32] ), + .B(_12479_), + .C(_12556_), + .X(_14505_) + ); + sky130_fd_sc_hd__and4_2 _29296_ ( + .A(_05002_), + .B(_12556_), + .C(\mgmt_buffers.mprj_adr_o_core[5] ), + .D(_11012_), + .X(_14506_) + ); + sky130_fd_sc_hd__a221o_2 _29297_ ( + .A1(\soc.core.multiregimpl99_regs1 ), + .A2(_14504_), + .B1(_14506_), + .B2(\soc.core.multiregimpl35_regs1 ), + .C1(_14505_), + .X(_14507_) + ); + sky130_fd_sc_hd__and3_2 _29298_ ( + .A(_05002_), + .B(_11025_), + .C(\mgmt_buffers.mprj_adr_o_core[5] ), + .X(_14508_) + ); + sky130_fd_sc_hd__and4_2 _29299_ ( + .A(_05002_), + .B(_11025_), + .C(\mgmt_buffers.mprj_adr_o_core[5] ), + .D(_11022_), + .X(_14509_) + ); + sky130_fd_sc_hd__a221o_2 _29300_ ( + .A1(\soc.core.la_ien_storage[64] ), + .A2(_12759_), + .B1(_14509_), + .B2(\soc.core.multiregimpl3_regs1 ), + .C1(_14507_), + .X(_14510_) + ); + sky130_fd_sc_hd__a32o_2 _29301_ ( + .A1(\soc.core.la_oe_storage[96] ), + .A2(_12652_), + .A3(_13674_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[64] ), + .X(_14511_) + ); + sky130_fd_sc_hd__and4_2 _29302_ ( + .A(_05002_), + .B(_12478_), + .C(\mgmt_buffers.mprj_adr_o_core[5] ), + .D(_11022_), + .X(_14512_) + ); + sky130_fd_sc_hd__a32o_2 _29303_ ( + .A1(\soc.core.la_out_storage[96] ), + .A2(_12479_), + .A3(_13674_), + .B1(_12617_), + .B2(\soc.core.la_out_storage[0] ), + .X(_14513_) + ); + sky130_fd_sc_hd__a221o_2 _29304_ ( + .A1(\soc.core.la_oe_storage[0] ), + .A2(_12724_), + .B1(_14512_), + .B2(\soc.core.multiregimpl67_regs1 ), + .C1(_14513_), + .X(_14514_) + ); + sky130_fd_sc_hd__a211o_2 _29305_ ( + .A1(\soc.core.la_out_storage[64] ), + .A2(_12480_), + .B1(_14511_), + .C1(_14514_), + .X(_14515_) + ); + sky130_fd_sc_hd__nor3_2 _29306_ ( + .A(_14503_), + .B(_14510_), + .C(_14515_), + .Y(_14516_) + ); + sky130_fd_sc_hd__nor2_2 _29307_ ( + .A(_12482_), + .B(_14516_), + .Y(_09697_) + ); + sky130_fd_sc_hd__a32o_2 _29308_ ( + .A1(\soc.core.la_out_storage[33] ), + .A2(_12479_), + .A3(_12556_), + .B1(_11026_), + .B2(\soc.core.la_ien_storage[1] ), + .X(_14517_) + ); + sky130_fd_sc_hd__a221o_2 _29309_ ( + .A1(\soc.core.la_oe_storage[33] ), + .A2(_12688_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[33] ), + .C1(_14517_), + .X(_14518_) + ); + sky130_fd_sc_hd__a22o_2 _29310_ ( + .A1(\soc.core.la_ien_storage[97] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl36_regs1 ), + .X(_14519_) + ); + sky130_fd_sc_hd__a32o_2 _29311_ ( + .A1(\soc.core.la_oe_storage[97] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl100_regs1 ), + .X(_14520_) + ); + sky130_fd_sc_hd__a2111o_2 _29312_ ( + .A1(\soc.core.la_out_storage[1] ), + .A2(_12617_), + .B1(_14518_), + .C1(_14519_), + .D1(_14520_), + .X(_14521_) + ); + sky130_fd_sc_hd__a32o_2 _29313_ ( + .A1(\soc.core.la_ien_storage[65] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl4_regs1 ), + .X(_14522_) + ); + sky130_fd_sc_hd__a22o_2 _29314_ ( + .A1(\soc.core.la_oe_storage[1] ), + .A2(_12724_), + .B1(_14512_), + .B2(\soc.core.multiregimpl68_regs1 ), + .X(_14523_) + ); + sky130_fd_sc_hd__a221o_2 _29315_ ( + .A1(\soc.core.la_oe_storage[65] ), + .A2(_12653_), + .B1(_14245_), + .B2(\soc.core.la_out_storage[97] ), + .C1(_14523_), + .X(_14524_) + ); + sky130_fd_sc_hd__a211o_2 _29316_ ( + .A1(\soc.core.la_out_storage[65] ), + .A2(_12480_), + .B1(_14522_), + .C1(_14524_), + .X(_14525_) + ); + sky130_fd_sc_hd__nor2_2 _29317_ ( + .A(_14521_), + .B(_14525_), + .Y(_14526_) + ); + sky130_fd_sc_hd__nor2_2 _29318_ ( + .A(_12482_), + .B(_14526_), + .Y(_09698_) + ); + sky130_fd_sc_hd__a22o_2 _29319_ ( + .A1(\soc.core.la_ien_storage[98] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[34] ), + .X(_14527_) + ); + sky130_fd_sc_hd__a32o_2 _29320_ ( + .A1(\soc.core.la_out_storage[34] ), + .A2(_12479_), + .A3(_12556_), + .B1(_11026_), + .B2(\soc.core.la_ien_storage[2] ), + .X(_14528_) + ); + sky130_fd_sc_hd__a32o_2 _29321_ ( + .A1(\soc.core.la_oe_storage[34] ), + .A2(_12556_), + .A3(_12652_), + .B1(_14506_), + .B2(\soc.core.multiregimpl37_regs1 ), + .X(_14529_) + ); + sky130_fd_sc_hd__a32o_2 _29322_ ( + .A1(\soc.core.multiregimpl5_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_14512_), + .B2(\soc.core.multiregimpl69_regs1 ), + .X(_14530_) + ); + sky130_fd_sc_hd__a211o_2 _29323_ ( + .A1(\soc.core.la_oe_storage[98] ), + .A2(_13675_), + .B1(_14529_), + .C1(_14530_), + .X(_14531_) + ); + sky130_fd_sc_hd__a22o_2 _29324_ ( + .A1(\soc.core.la_out_storage[66] ), + .A2(_12480_), + .B1(_14504_), + .B2(\soc.core.multiregimpl101_regs1 ), + .X(_14532_) + ); + sky130_fd_sc_hd__a32o_2 _29325_ ( + .A1(\soc.core.la_out_storage[98] ), + .A2(_12479_), + .A3(_13674_), + .B1(_12617_), + .B2(\soc.core.la_out_storage[2] ), + .X(_14533_) + ); + sky130_fd_sc_hd__a221o_2 _29326_ ( + .A1(\soc.core.la_oe_storage[66] ), + .A2(_12653_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[66] ), + .C1(_14533_), + .X(_14534_) + ); + sky130_fd_sc_hd__a211o_2 _29327_ ( + .A1(\soc.core.la_oe_storage[2] ), + .A2(_12724_), + .B1(_14532_), + .C1(_14534_), + .X(_14535_) + ); + sky130_fd_sc_hd__nor4_2 _29328_ ( + .A(_14527_), + .B(_14528_), + .C(_14531_), + .D(_14535_), + .Y(_14536_) + ); + sky130_fd_sc_hd__nor2_2 _29329_ ( + .A(_12482_), + .B(_14536_), + .Y(_09699_) + ); + sky130_fd_sc_hd__a32o_2 _29330_ ( + .A1(\soc.core.la_out_storage[35] ), + .A2(_12479_), + .A3(_12556_), + .B1(_11026_), + .B2(\soc.core.la_ien_storage[3] ), + .X(_14537_) + ); + sky130_fd_sc_hd__a221o_2 _29331_ ( + .A1(\soc.core.la_ien_storage[35] ), + .A2(_12797_), + .B1(_14506_), + .B2(\soc.core.multiregimpl38_regs1 ), + .C1(_14537_), + .X(_14538_) + ); + sky130_fd_sc_hd__a32o_2 _29332_ ( + .A1(\soc.core.la_oe_storage[35] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[99] ), + .X(_14539_) + ); + sky130_fd_sc_hd__a32o_2 _29333_ ( + .A1(\soc.core.multiregimpl6_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_14504_), + .B2(\soc.core.multiregimpl102_regs1 ), + .X(_14540_) + ); + sky130_fd_sc_hd__a2111o_2 _29334_ ( + .A1(\soc.core.la_out_storage[3] ), + .A2(_12617_), + .B1(_14538_), + .C1(_14539_), + .D1(_14540_), + .X(_14541_) + ); + sky130_fd_sc_hd__a32o_2 _29335_ ( + .A1(\soc.core.la_oe_storage[99] ), + .A2(_12652_), + .A3(_13674_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[67] ), + .X(_14542_) + ); + sky130_fd_sc_hd__a22o_2 _29336_ ( + .A1(\soc.core.la_oe_storage[3] ), + .A2(_12724_), + .B1(_14512_), + .B2(\soc.core.multiregimpl70_regs1 ), + .X(_14543_) + ); + sky130_fd_sc_hd__a221o_2 _29337_ ( + .A1(\soc.core.la_oe_storage[67] ), + .A2(_12653_), + .B1(_14245_), + .B2(\soc.core.la_out_storage[99] ), + .C1(_14543_), + .X(_14544_) + ); + sky130_fd_sc_hd__a211o_2 _29338_ ( + .A1(\soc.core.la_out_storage[67] ), + .A2(_12480_), + .B1(_14542_), + .C1(_14544_), + .X(_14545_) + ); + sky130_fd_sc_hd__o21a_2 _29339_ ( + .A1(_14541_), + .A2(_14545_), + .B1(_12481_), + .X(_09700_) + ); + sky130_fd_sc_hd__a32o_2 _29340_ ( + .A1(\soc.core.la_out_storage[36] ), + .A2(_12479_), + .A3(_12556_), + .B1(_11026_), + .B2(\soc.core.la_ien_storage[4] ), + .X(_14546_) + ); + sky130_fd_sc_hd__a221o_2 _29341_ ( + .A1(\soc.core.la_ien_storage[36] ), + .A2(_12797_), + .B1(_14506_), + .B2(\soc.core.multiregimpl39_regs1 ), + .C1(_14546_), + .X(_14547_) + ); + sky130_fd_sc_hd__a32o_2 _29342_ ( + .A1(\soc.core.la_oe_storage[36] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[100] ), + .X(_14548_) + ); + sky130_fd_sc_hd__a32o_2 _29343_ ( + .A1(\soc.core.la_oe_storage[100] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14509_), + .B2(\soc.core.multiregimpl7_regs1 ), + .X(_14549_) + ); + sky130_fd_sc_hd__a2111o_2 _29344_ ( + .A1(\soc.core.la_out_storage[4] ), + .A2(_12617_), + .B1(_14547_), + .C1(_14548_), + .D1(_14549_), + .X(_14550_) + ); + sky130_fd_sc_hd__a32o_2 _29345_ ( + .A1(\soc.core.la_ien_storage[68] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14504_), + .B2(\soc.core.multiregimpl103_regs1 ), + .X(_14551_) + ); + sky130_fd_sc_hd__a22o_2 _29346_ ( + .A1(\soc.core.la_oe_storage[4] ), + .A2(_12724_), + .B1(_14512_), + .B2(\soc.core.multiregimpl71_regs1 ), + .X(_14552_) + ); + sky130_fd_sc_hd__a221o_2 _29347_ ( + .A1(\soc.core.la_oe_storage[68] ), + .A2(_12653_), + .B1(_14245_), + .B2(\soc.core.la_out_storage[100] ), + .C1(_14552_), + .X(_14553_) + ); + sky130_fd_sc_hd__a211o_2 _29348_ ( + .A1(\soc.core.la_out_storage[68] ), + .A2(_12480_), + .B1(_14551_), + .C1(_14553_), + .X(_14554_) + ); + sky130_fd_sc_hd__o21a_2 _29349_ ( + .A1(_14550_), + .A2(_14554_), + .B1(_12481_), + .X(_09701_) + ); + sky130_fd_sc_hd__a32o_2 _29350_ ( + .A1(\soc.core.la_out_storage[37] ), + .A2(_12479_), + .A3(_12556_), + .B1(_14506_), + .B2(\soc.core.multiregimpl40_regs1 ), + .X(_14555_) + ); + sky130_fd_sc_hd__a221o_2 _29351_ ( + .A1(\soc.core.la_ien_storage[5] ), + .A2(_11026_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[101] ), + .C1(_14555_), + .X(_14556_) + ); + sky130_fd_sc_hd__and3_2 _29352_ ( + .A(\soc.core.la_oe_storage[37] ), + .B(_12556_), + .C(_12652_), + .X(_14557_) + ); + sky130_fd_sc_hd__a221o_2 _29353_ ( + .A1(\soc.core.la_out_storage[5] ), + .A2(_12617_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[37] ), + .C1(_14557_), + .X(_14558_) + ); + sky130_fd_sc_hd__a221o_2 _29354_ ( + .A1(\soc.core.la_oe_storage[101] ), + .A2(_13675_), + .B1(_14509_), + .B2(\soc.core.multiregimpl8_regs1 ), + .C1(_14558_), + .X(_14559_) + ); + sky130_fd_sc_hd__a22o_2 _29355_ ( + .A1(\soc.core.la_out_storage[69] ), + .A2(_12480_), + .B1(_14504_), + .B2(\soc.core.multiregimpl104_regs1 ), + .X(_14560_) + ); + sky130_fd_sc_hd__a32o_2 _29356_ ( + .A1(\soc.core.la_out_storage[101] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14512_), + .B2(\soc.core.multiregimpl72_regs1 ), + .X(_14561_) + ); + sky130_fd_sc_hd__a221o_2 _29357_ ( + .A1(\soc.core.la_oe_storage[69] ), + .A2(_12653_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[69] ), + .C1(_14561_), + .X(_14562_) + ); + sky130_fd_sc_hd__a211o_2 _29358_ ( + .A1(\soc.core.la_oe_storage[5] ), + .A2(_12724_), + .B1(_14560_), + .C1(_14562_), + .X(_14563_) + ); + sky130_fd_sc_hd__nor3_2 _29359_ ( + .A(_14556_), + .B(_14559_), + .C(_14563_), + .Y(_14564_) + ); + sky130_fd_sc_hd__nor2_2 _29360_ ( + .A(_12482_), + .B(_14564_), + .Y(_09702_) + ); + sky130_fd_sc_hd__a32o_2 _29361_ ( + .A1(\soc.core.la_out_storage[38] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[38] ), + .X(_14565_) + ); + sky130_fd_sc_hd__a221o_2 _29362_ ( + .A1(\soc.core.la_ien_storage[6] ), + .A2(_11026_), + .B1(_14506_), + .B2(\soc.core.multiregimpl41_regs1 ), + .C1(_14565_), + .X(_14566_) + ); + sky130_fd_sc_hd__a22o_2 _29363_ ( + .A1(\soc.core.la_ien_storage[102] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[38] ), + .X(_14567_) + ); + sky130_fd_sc_hd__a22o_2 _29364_ ( + .A1(\soc.core.multiregimpl105_regs1 ), + .A2(_14504_), + .B1(_14512_), + .B2(\soc.core.multiregimpl73_regs1 ), + .X(_14568_) + ); + sky130_fd_sc_hd__a211o_2 _29365_ ( + .A1(\soc.core.la_out_storage[70] ), + .A2(_12480_), + .B1(_14567_), + .C1(_14568_), + .X(_14569_) + ); + sky130_fd_sc_hd__a32o_2 _29366_ ( + .A1(\soc.core.multiregimpl9_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[70] ), + .X(_14570_) + ); + sky130_fd_sc_hd__a32o_2 _29367_ ( + .A1(\soc.core.la_out_storage[102] ), + .A2(_12486_), + .A3(_13677_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[102] ), + .X(_14571_) + ); + sky130_fd_sc_hd__a221o_2 _29368_ ( + .A1(\soc.core.la_out_storage[6] ), + .A2(_12617_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[6] ), + .C1(_14571_), + .X(_14572_) + ); + sky130_fd_sc_hd__a311o_2 _29369_ ( + .A1(\soc.core.la_ien_storage[70] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14570_), + .C1(_14572_), + .X(_14573_) + ); + sky130_fd_sc_hd__nor3_2 _29370_ ( + .A(_14566_), + .B(_14569_), + .C(_14573_), + .Y(_14574_) + ); + sky130_fd_sc_hd__nor2_2 _29371_ ( + .A(_12482_), + .B(_14574_), + .Y(_09703_) + ); + sky130_fd_sc_hd__a32o_2 _29372_ ( + .A1(\soc.core.la_ien_storage[7] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[103] ), + .X(_14575_) + ); + sky130_fd_sc_hd__and3_2 _29373_ ( + .A(\soc.core.la_out_storage[39] ), + .B(_12479_), + .C(_12556_), + .X(_14576_) + ); + sky130_fd_sc_hd__a32o_2 _29374_ ( + .A1(\soc.core.la_oe_storage[39] ), + .A2(_12556_), + .A3(_12652_), + .B1(_14506_), + .B2(\soc.core.multiregimpl42_regs1 ), + .X(_14577_) + ); + sky130_fd_sc_hd__a22o_2 _29375_ ( + .A1(\soc.core.multiregimpl106_regs1 ), + .A2(_14504_), + .B1(_14512_), + .B2(\soc.core.multiregimpl74_regs1 ), + .X(_14578_) + ); + sky130_fd_sc_hd__a211o_2 _29376_ ( + .A1(\soc.core.la_out_storage[71] ), + .A2(_12480_), + .B1(_14577_), + .C1(_14578_), + .X(_14579_) + ); + sky130_fd_sc_hd__a2111o_2 _29377_ ( + .A1(\soc.core.la_ien_storage[39] ), + .A2(_12797_), + .B1(_14575_), + .C1(_14576_), + .D1(_14579_), + .X(_14580_) + ); + sky130_fd_sc_hd__a32o_2 _29378_ ( + .A1(\soc.core.la_oe_storage[103] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14509_), + .B2(\soc.core.multiregimpl10_regs1 ), + .X(_14581_) + ); + sky130_fd_sc_hd__a32o_2 _29379_ ( + .A1(\soc.core.la_out_storage[103] ), + .A2(_12479_), + .A3(_13674_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[71] ), + .X(_14582_) + ); + sky130_fd_sc_hd__a221o_2 _29380_ ( + .A1(\soc.core.la_oe_storage[7] ), + .A2(_12724_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[71] ), + .C1(_14582_), + .X(_14583_) + ); + sky130_fd_sc_hd__a211o_2 _29381_ ( + .A1(\soc.core.la_out_storage[7] ), + .A2(_12617_), + .B1(_14581_), + .C1(_14583_), + .X(_14584_) + ); + sky130_fd_sc_hd__o21a_2 _29382_ ( + .A1(_14580_), + .A2(_14584_), + .B1(_12481_), + .X(_09704_) + ); + sky130_fd_sc_hd__a22o_2 _29383_ ( + .A1(\soc.core.la_ien_storage[104] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[40] ), + .X(_14585_) + ); + sky130_fd_sc_hd__and3_2 _29384_ ( + .A(_05002_), + .B(_12478_), + .C(\mgmt_buffers.mprj_adr_o_core[5] ), + .X(_14586_) + ); + sky130_fd_sc_hd__a31o_2 _29385_ ( + .A1(\soc.core.multiregimpl75_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14585_), + .X(_14587_) + ); + sky130_fd_sc_hd__a32o_2 _29386_ ( + .A1(\soc.core.la_out_storage[40] ), + .A2(_12479_), + .A3(_12556_), + .B1(_14506_), + .B2(\soc.core.multiregimpl43_regs1 ), + .X(_14588_) + ); + sky130_fd_sc_hd__a221o_2 _29387_ ( + .A1(\soc.core.la_ien_storage[8] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[40] ), + .C1(_14588_), + .X(_14589_) + ); + sky130_fd_sc_hd__a221o_2 _29388_ ( + .A1(\soc.core.la_out_storage[72] ), + .A2(_12480_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[72] ), + .C1(_14589_), + .X(_14590_) + ); + sky130_fd_sc_hd__a32o_2 _29389_ ( + .A1(\soc.core.la_out_storage[104] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl107_regs1 ), + .X(_14591_) + ); + sky130_fd_sc_hd__a32o_2 _29390_ ( + .A1(\soc.core.la_ien_storage[72] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl11_regs1 ), + .X(_14592_) + ); + sky130_fd_sc_hd__a221o_2 _29391_ ( + .A1(\soc.core.la_out_storage[8] ), + .A2(_12617_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[104] ), + .C1(_14592_), + .X(_14593_) + ); + sky130_fd_sc_hd__a211o_2 _29392_ ( + .A1(\soc.core.la_oe_storage[8] ), + .A2(_12724_), + .B1(_14591_), + .C1(_14593_), + .X(_14594_) + ); + sky130_fd_sc_hd__nor3_2 _29393_ ( + .A(_14587_), + .B(_14590_), + .C(_14594_), + .Y(_14595_) + ); + sky130_fd_sc_hd__nor2_2 _29394_ ( + .A(_12482_), + .B(_14595_), + .Y(_09705_) + ); + sky130_fd_sc_hd__a22o_2 _29395_ ( + .A1(\soc.core.la_ien_storage[105] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[41] ), + .X(_14596_) + ); + sky130_fd_sc_hd__a32o_2 _29396_ ( + .A1(\soc.core.la_out_storage[41] ), + .A2(_12479_), + .A3(_12556_), + .B1(_14506_), + .B2(\soc.core.multiregimpl44_regs1 ), + .X(_14597_) + ); + sky130_fd_sc_hd__a221o_2 _29397_ ( + .A1(\soc.core.la_ien_storage[9] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[41] ), + .C1(_14597_), + .X(_14598_) + ); + sky130_fd_sc_hd__a221o_2 _29398_ ( + .A1(\soc.core.la_out_storage[73] ), + .A2(_12480_), + .B1(_14509_), + .B2(\soc.core.multiregimpl12_regs1 ), + .C1(_14598_), + .X(_14599_) + ); + sky130_fd_sc_hd__a311oi_2 _29399_ ( + .A1(\soc.core.multiregimpl76_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14596_), + .C1(_14599_), + .Y(_14600_) + ); + sky130_fd_sc_hd__a32o_2 _29400_ ( + .A1(\soc.core.la_out_storage[105] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl108_regs1 ), + .X(_14601_) + ); + sky130_fd_sc_hd__a32o_2 _29401_ ( + .A1(\soc.core.la_oe_storage[105] ), + .A2(_12652_), + .A3(_13674_), + .B1(\soc.core.la_out_storage[9] ), + .B2(_12617_), + .X(_14602_) + ); + sky130_fd_sc_hd__a221o_2 _29402_ ( + .A1(\soc.core.la_oe_storage[73] ), + .A2(_12653_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[73] ), + .C1(_14602_), + .X(_14603_) + ); + sky130_fd_sc_hd__a211oi_2 _29403_ ( + .A1(\soc.core.la_oe_storage[9] ), + .A2(_12724_), + .B1(_14601_), + .C1(_14603_), + .Y(_14604_) + ); + sky130_fd_sc_hd__a21oi_2 _29404_ ( + .A1(_14600_), + .A2(_14604_), + .B1(_12482_), + .Y(_09706_) + ); + sky130_fd_sc_hd__a22o_2 _29405_ ( + .A1(\soc.core.la_ien_storage[106] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[42] ), + .X(_14605_) + ); + sky130_fd_sc_hd__a32o_2 _29406_ ( + .A1(\soc.core.la_out_storage[42] ), + .A2(_12479_), + .A3(_12556_), + .B1(_14506_), + .B2(\soc.core.multiregimpl45_regs1 ), + .X(_14606_) + ); + sky130_fd_sc_hd__a221o_2 _29407_ ( + .A1(\soc.core.la_ien_storage[10] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[42] ), + .C1(_14606_), + .X(_14607_) + ); + sky130_fd_sc_hd__a221o_2 _29408_ ( + .A1(\soc.core.la_out_storage[74] ), + .A2(_12480_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[74] ), + .C1(_14607_), + .X(_14608_) + ); + sky130_fd_sc_hd__a311oi_2 _29409_ ( + .A1(\soc.core.multiregimpl77_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14605_), + .C1(_14608_), + .Y(_14609_) + ); + sky130_fd_sc_hd__a32o_2 _29410_ ( + .A1(\soc.core.la_out_storage[106] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl109_regs1 ), + .X(_14610_) + ); + sky130_fd_sc_hd__a32o_2 _29411_ ( + .A1(\soc.core.la_ien_storage[74] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl13_regs1 ), + .X(_14611_) + ); + sky130_fd_sc_hd__a221o_2 _29412_ ( + .A1(\soc.core.la_out_storage[10] ), + .A2(_12617_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[106] ), + .C1(_14611_), + .X(_14612_) + ); + sky130_fd_sc_hd__a211oi_2 _29413_ ( + .A1(\soc.core.la_oe_storage[10] ), + .A2(_12724_), + .B1(_14610_), + .C1(_14612_), + .Y(_14613_) + ); + sky130_fd_sc_hd__a21oi_2 _29414_ ( + .A1(_14609_), + .A2(_14613_), + .B1(_12482_), + .Y(_09707_) + ); + sky130_fd_sc_hd__a22o_2 _29415_ ( + .A1(\soc.core.multiregimpl14_regs1 ), + .A2(_14508_), + .B1(_14586_), + .B2(\soc.core.multiregimpl78_regs1 ), + .X(_14614_) + ); + sky130_fd_sc_hd__a32o_2 _29416_ ( + .A1(\soc.core.la_oe_storage[43] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[107] ), + .X(_14615_) + ); + sky130_fd_sc_hd__a221o_2 _29417_ ( + .A1(\soc.core.la_out_storage[43] ), + .A2(_12557_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[43] ), + .C1(_14615_), + .X(_14616_) + ); + sky130_fd_sc_hd__a32o_2 _29418_ ( + .A1(\soc.core.la_ien_storage[11] ), + .A2(_11023_), + .A3(_11025_), + .B1(_14506_), + .B2(\soc.core.multiregimpl46_regs1 ), + .X(_14617_) + ); + sky130_fd_sc_hd__a311o_2 _29419_ ( + .A1(\soc.core.la_ien_storage[75] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14616_), + .C1(_14617_), + .X(_14618_) + ); + sky130_fd_sc_hd__a32o_2 _29420_ ( + .A1(\soc.core.la_oe_storage[107] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl110_regs1 ), + .X(_14619_) + ); + sky130_fd_sc_hd__a22o_2 _29421_ ( + .A1(\soc.core.la_out_storage[75] ), + .A2(_12480_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[11] ), + .X(_14620_) + ); + sky130_fd_sc_hd__a221o_2 _29422_ ( + .A1(\soc.core.la_out_storage[11] ), + .A2(_12617_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[75] ), + .C1(_14620_), + .X(_14621_) + ); + sky130_fd_sc_hd__a211o_2 _29423_ ( + .A1(\soc.core.la_out_storage[107] ), + .A2(_14245_), + .B1(_14619_), + .C1(_14621_), + .X(_14622_) + ); + sky130_fd_sc_hd__a211o_2 _29424_ ( + .A1(_11022_), + .A2(_14614_), + .B1(_14618_), + .C1(_14622_), + .X(_14623_) + ); + sky130_fd_sc_hd__and3_2 _29425_ ( + .A(_14623_), + .B(_12487_), + .C(_12391_), + .X(_09708_) + ); + sky130_fd_sc_hd__a22o_2 _29426_ ( + .A1(\soc.core.la_ien_storage[108] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[44] ), + .X(_14624_) + ); + sky130_fd_sc_hd__a31o_2 _29427_ ( + .A1(\soc.core.multiregimpl79_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14624_), + .X(_14625_) + ); + sky130_fd_sc_hd__a32o_2 _29428_ ( + .A1(\soc.core.la_out_storage[44] ), + .A2(_12479_), + .A3(_12556_), + .B1(_14506_), + .B2(\soc.core.multiregimpl47_regs1 ), + .X(_14626_) + ); + sky130_fd_sc_hd__a221o_2 _29429_ ( + .A1(\soc.core.la_ien_storage[12] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[44] ), + .C1(_14626_), + .X(_14627_) + ); + sky130_fd_sc_hd__a221o_2 _29430_ ( + .A1(\soc.core.la_out_storage[76] ), + .A2(_12480_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[76] ), + .C1(_14627_), + .X(_14628_) + ); + sky130_fd_sc_hd__a32o_2 _29431_ ( + .A1(\soc.core.la_out_storage[108] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl111_regs1 ), + .X(_14629_) + ); + sky130_fd_sc_hd__a32o_2 _29432_ ( + .A1(\soc.core.la_ien_storage[76] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl15_regs1 ), + .X(_14630_) + ); + sky130_fd_sc_hd__a221o_2 _29433_ ( + .A1(\soc.core.la_out_storage[12] ), + .A2(_12617_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[108] ), + .C1(_14630_), + .X(_14631_) + ); + sky130_fd_sc_hd__a211o_2 _29434_ ( + .A1(\soc.core.la_oe_storage[12] ), + .A2(_12724_), + .B1(_14629_), + .C1(_14631_), + .X(_14632_) + ); + sky130_fd_sc_hd__nor3_2 _29435_ ( + .A(_14625_), + .B(_14628_), + .C(_14632_), + .Y(_14633_) + ); + sky130_fd_sc_hd__nor2_2 _29436_ ( + .A(_12482_), + .B(_14633_), + .Y(_09709_) + ); + sky130_fd_sc_hd__a32o_2 _29437_ ( + .A1(\soc.core.la_out_storage[13] ), + .A2(_11025_), + .A3(_12479_), + .B1(_14586_), + .B2(\soc.core.multiregimpl80_regs1 ), + .X(_14634_) + ); + sky130_fd_sc_hd__a32o_2 _29438_ ( + .A1(\soc.core.la_ien_storage[13] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[109] ), + .X(_14635_) + ); + sky130_fd_sc_hd__a221o_2 _29439_ ( + .A1(\soc.core.la_ien_storage[45] ), + .A2(_12797_), + .B1(_14506_), + .B2(\soc.core.multiregimpl48_regs1 ), + .C1(_14635_), + .X(_14636_) + ); + sky130_fd_sc_hd__a32o_2 _29440_ ( + .A1(\soc.core.la_out_storage[45] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[45] ), + .X(_14637_) + ); + sky130_fd_sc_hd__a211o_2 _29441_ ( + .A1(\soc.core.la_oe_storage[109] ), + .A2(_13675_), + .B1(_14636_), + .C1(_14637_), + .X(_14638_) + ); + sky130_fd_sc_hd__a32o_2 _29442_ ( + .A1(\soc.core.la_out_storage[109] ), + .A2(_12479_), + .A3(_13674_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[13] ), + .X(_14639_) + ); + sky130_fd_sc_hd__a32o_2 _29443_ ( + .A1(\soc.core.multiregimpl16_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_14504_), + .B2(\soc.core.multiregimpl112_regs1 ), + .X(_14640_) + ); + sky130_fd_sc_hd__a221o_2 _29444_ ( + .A1(\soc.core.la_oe_storage[77] ), + .A2(_12653_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[77] ), + .C1(_14640_), + .X(_14641_) + ); + sky130_fd_sc_hd__a211o_2 _29445_ ( + .A1(\soc.core.la_out_storage[77] ), + .A2(_12480_), + .B1(_14639_), + .C1(_14641_), + .X(_14642_) + ); + sky130_fd_sc_hd__a211o_2 _29446_ ( + .A1(_11022_), + .A2(_14634_), + .B1(_14638_), + .C1(_14642_), + .X(_14643_) + ); + sky130_fd_sc_hd__and3_2 _29447_ ( + .A(_14643_), + .B(_12487_), + .C(_12391_), + .X(_09710_) + ); + sky130_fd_sc_hd__a22o_2 _29448_ ( + .A1(\soc.core.la_ien_storage[110] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl49_regs1 ), + .X(_14644_) + ); + sky130_fd_sc_hd__a31o_2 _29449_ ( + .A1(\soc.core.multiregimpl17_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_14644_), + .X(_14645_) + ); + sky130_fd_sc_hd__a32o_2 _29450_ ( + .A1(\soc.core.la_out_storage[46] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[46] ), + .X(_14646_) + ); + sky130_fd_sc_hd__a221o_2 _29451_ ( + .A1(\soc.core.la_ien_storage[14] ), + .A2(_11026_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[46] ), + .C1(_14646_), + .X(_14647_) + ); + sky130_fd_sc_hd__a221o_2 _29452_ ( + .A1(\soc.core.la_oe_storage[78] ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[110] ), + .C1(_14647_), + .X(_14648_) + ); + sky130_fd_sc_hd__a22o_2 _29453_ ( + .A1(\soc.core.la_out_storage[78] ), + .A2(_12480_), + .B1(_12617_), + .B2(\soc.core.la_out_storage[14] ), + .X(_14649_) + ); + sky130_fd_sc_hd__a32o_2 _29454_ ( + .A1(\soc.core.la_ien_storage[78] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14512_), + .B2(\soc.core.multiregimpl81_regs1 ), + .X(_14650_) + ); + sky130_fd_sc_hd__a221o_2 _29455_ ( + .A1(\soc.core.la_oe_storage[14] ), + .A2(_12724_), + .B1(_14504_), + .B2(\soc.core.multiregimpl113_regs1 ), + .C1(_14650_), + .X(_14651_) + ); + sky130_fd_sc_hd__a311o_2 _29456_ ( + .A1(\soc.core.la_out_storage[110] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14649_), + .C1(_14651_), + .X(_14652_) + ); + sky130_fd_sc_hd__nor3_2 _29457_ ( + .A(_14645_), + .B(_14648_), + .C(_14652_), + .Y(_14653_) + ); + sky130_fd_sc_hd__nor2_2 _29458_ ( + .A(_12482_), + .B(_14653_), + .Y(_09711_) + ); + sky130_fd_sc_hd__a32o_2 _29459_ ( + .A1(\soc.core.multiregimpl82_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[47] ), + .X(_14654_) + ); + sky130_fd_sc_hd__a31o_2 _29460_ ( + .A1(\soc.core.la_ien_storage[15] ), + .A2(_11023_), + .A3(_11025_), + .B1(_14654_), + .X(_14655_) + ); + sky130_fd_sc_hd__a22o_2 _29461_ ( + .A1(\soc.core.la_ien_storage[111] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[47] ), + .X(_14656_) + ); + sky130_fd_sc_hd__a221o_2 _29462_ ( + .A1(\soc.core.la_out_storage[47] ), + .A2(_12557_), + .B1(_14506_), + .B2(\soc.core.multiregimpl50_regs1 ), + .C1(_14656_), + .X(_14657_) + ); + sky130_fd_sc_hd__a221o_2 _29463_ ( + .A1(\soc.core.la_out_storage[15] ), + .A2(_12617_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[111] ), + .C1(_14657_), + .X(_14658_) + ); + sky130_fd_sc_hd__a32o_2 _29464_ ( + .A1(\soc.core.multiregimpl18_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[79] ), + .X(_14659_) + ); + sky130_fd_sc_hd__a32o_2 _29465_ ( + .A1(\soc.core.la_out_storage[111] ), + .A2(_12479_), + .A3(_13674_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[15] ), + .X(_14660_) + ); + sky130_fd_sc_hd__a221o_2 _29466_ ( + .A1(\soc.core.la_out_storage[79] ), + .A2(_12480_), + .B1(_14504_), + .B2(\soc.core.multiregimpl114_regs1 ), + .C1(_14660_), + .X(_14661_) + ); + sky130_fd_sc_hd__a311o_2 _29467_ ( + .A1(\soc.core.la_ien_storage[79] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14659_), + .C1(_14661_), + .X(_14662_) + ); + sky130_fd_sc_hd__nor3_2 _29468_ ( + .A(_14655_), + .B(_14658_), + .C(_14662_), + .Y(_14663_) + ); + sky130_fd_sc_hd__nor2_2 _29469_ ( + .A(_12482_), + .B(_14663_), + .Y(_09712_) + ); + sky130_fd_sc_hd__a32o_2 _29470_ ( + .A1(\soc.core.multiregimpl83_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_12557_), + .B2(\soc.core.la_out_storage[48] ), + .X(_14664_) + ); + sky130_fd_sc_hd__a32o_2 _29471_ ( + .A1(\soc.core.la_oe_storage[48] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[48] ), + .X(_14665_) + ); + sky130_fd_sc_hd__a221o_2 _29472_ ( + .A1(\soc.core.la_ien_storage[112] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl51_regs1 ), + .C1(_14665_), + .X(_14666_) + ); + sky130_fd_sc_hd__a221o_2 _29473_ ( + .A1(\soc.core.la_out_storage[80] ), + .A2(_12480_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[16] ), + .C1(_14666_), + .X(_14667_) + ); + sky130_fd_sc_hd__a211o_2 _29474_ ( + .A1(\soc.core.la_ien_storage[16] ), + .A2(_11026_), + .B1(_14664_), + .C1(_14667_), + .X(_14668_) + ); + sky130_fd_sc_hd__a32o_2 _29475_ ( + .A1(\soc.core.la_ien_storage[80] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl19_regs1 ), + .X(_14669_) + ); + sky130_fd_sc_hd__a32o_2 _29476_ ( + .A1(\soc.core.la_out_storage[112] ), + .A2(_12479_), + .A3(_13674_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[112] ), + .X(_14670_) + ); + sky130_fd_sc_hd__a221o_2 _29477_ ( + .A1(\soc.core.la_out_storage[16] ), + .A2(_12617_), + .B1(_14504_), + .B2(\soc.core.multiregimpl115_regs1 ), + .C1(_14670_), + .X(_14671_) + ); + sky130_fd_sc_hd__a211o_2 _29478_ ( + .A1(\soc.core.la_oe_storage[80] ), + .A2(_12653_), + .B1(_14669_), + .C1(_14671_), + .X(_14672_) + ); + sky130_fd_sc_hd__o21a_2 _29479_ ( + .A1(_14668_), + .A2(_14672_), + .B1(_12481_), + .X(_09713_) + ); + sky130_fd_sc_hd__a32o_2 _29480_ ( + .A1(\soc.core.multiregimpl84_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_12557_), + .B2(\soc.core.la_out_storage[49] ), + .X(_14673_) + ); + sky130_fd_sc_hd__a32o_2 _29481_ ( + .A1(\soc.core.la_oe_storage[49] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[49] ), + .X(_14674_) + ); + sky130_fd_sc_hd__a221o_2 _29482_ ( + .A1(\soc.core.la_ien_storage[113] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl52_regs1 ), + .C1(_14674_), + .X(_14675_) + ); + sky130_fd_sc_hd__a221o_2 _29483_ ( + .A1(\soc.core.la_out_storage[81] ), + .A2(_12480_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[17] ), + .C1(_14675_), + .X(_14676_) + ); + sky130_fd_sc_hd__a211o_2 _29484_ ( + .A1(\soc.core.la_ien_storage[17] ), + .A2(_11026_), + .B1(_14673_), + .C1(_14676_), + .X(_14677_) + ); + sky130_fd_sc_hd__a32o_2 _29485_ ( + .A1(\soc.core.la_ien_storage[81] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl20_regs1 ), + .X(_14678_) + ); + sky130_fd_sc_hd__a32o_2 _29486_ ( + .A1(\soc.core.la_out_storage[113] ), + .A2(_12479_), + .A3(_13674_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[113] ), + .X(_14679_) + ); + sky130_fd_sc_hd__a221o_2 _29487_ ( + .A1(\soc.core.la_out_storage[17] ), + .A2(_12617_), + .B1(_14504_), + .B2(\soc.core.multiregimpl116_regs1 ), + .C1(_14679_), + .X(_14680_) + ); + sky130_fd_sc_hd__a211o_2 _29488_ ( + .A1(\soc.core.la_oe_storage[81] ), + .A2(_12653_), + .B1(_14678_), + .C1(_14680_), + .X(_14681_) + ); + sky130_fd_sc_hd__o21a_2 _29489_ ( + .A1(_14677_), + .A2(_14681_), + .B1(_12481_), + .X(_09714_) + ); + sky130_fd_sc_hd__a32o_2 _29490_ ( + .A1(\soc.core.multiregimpl85_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[50] ), + .X(_14682_) + ); + sky130_fd_sc_hd__a32o_2 _29491_ ( + .A1(\soc.core.la_out_storage[50] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[114] ), + .X(_14683_) + ); + sky130_fd_sc_hd__a221o_2 _29492_ ( + .A1(\soc.core.la_ien_storage[18] ), + .A2(_11026_), + .B1(_14506_), + .B2(\soc.core.multiregimpl53_regs1 ), + .C1(_14683_), + .X(_14684_) + ); + sky130_fd_sc_hd__a221o_2 _29493_ ( + .A1(\soc.core.la_out_storage[18] ), + .A2(_12619_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[114] ), + .C1(_14684_), + .X(_14685_) + ); + sky130_fd_sc_hd__a211o_2 _29494_ ( + .A1(\soc.core.la_ien_storage[50] ), + .A2(_12797_), + .B1(_14682_), + .C1(_14685_), + .X(_14686_) + ); + sky130_fd_sc_hd__a32o_2 _29495_ ( + .A1(\soc.core.multiregimpl21_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[82] ), + .X(_14687_) + ); + sky130_fd_sc_hd__a32o_2 _29496_ ( + .A1(\soc.core.la_out_storage[114] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl117_regs1 ), + .X(_14688_) + ); + sky130_fd_sc_hd__a221o_2 _29497_ ( + .A1(\soc.core.la_out_storage[82] ), + .A2(_12480_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[18] ), + .C1(_14688_), + .X(_14689_) + ); + sky130_fd_sc_hd__a311o_2 _29498_ ( + .A1(\soc.core.la_ien_storage[82] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14687_), + .C1(_14689_), + .X(_14690_) + ); + sky130_fd_sc_hd__nor2_2 _29499_ ( + .A(_14686_), + .B(_14690_), + .Y(_14691_) + ); + sky130_fd_sc_hd__nor2_2 _29500_ ( + .A(_12482_), + .B(_14691_), + .Y(_09715_) + ); + sky130_fd_sc_hd__a32o_2 _29501_ ( + .A1(\soc.core.multiregimpl22_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[51] ), + .X(_14692_) + ); + sky130_fd_sc_hd__a32o_2 _29502_ ( + .A1(\soc.core.la_out_storage[51] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[115] ), + .X(_14693_) + ); + sky130_fd_sc_hd__a221o_2 _29503_ ( + .A1(\soc.core.la_ien_storage[19] ), + .A2(_11026_), + .B1(_14506_), + .B2(\soc.core.multiregimpl54_regs1 ), + .C1(_14693_), + .X(_14694_) + ); + sky130_fd_sc_hd__a221o_2 _29504_ ( + .A1(\soc.core.la_out_storage[19] ), + .A2(_12617_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[115] ), + .C1(_14694_), + .X(_14695_) + ); + sky130_fd_sc_hd__a211o_2 _29505_ ( + .A1(\soc.core.la_ien_storage[51] ), + .A2(_12797_), + .B1(_14692_), + .C1(_14695_), + .X(_14696_) + ); + sky130_fd_sc_hd__a22o_2 _29506_ ( + .A1(\soc.core.la_oe_storage[83] ), + .A2(_12653_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[19] ), + .X(_14697_) + ); + sky130_fd_sc_hd__a32o_2 _29507_ ( + .A1(\soc.core.la_out_storage[115] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl118_regs1 ), + .X(_14698_) + ); + sky130_fd_sc_hd__a221o_2 _29508_ ( + .A1(\soc.core.la_out_storage[83] ), + .A2(_12480_), + .B1(_14512_), + .B2(\soc.core.multiregimpl86_regs1 ), + .C1(_14698_), + .X(_14699_) + ); + sky130_fd_sc_hd__a311o_2 _29509_ ( + .A1(\soc.core.la_ien_storage[83] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14697_), + .C1(_14699_), + .X(_14700_) + ); + sky130_fd_sc_hd__nor2_2 _29510_ ( + .A(_14696_), + .B(_14700_), + .Y(_14701_) + ); + sky130_fd_sc_hd__nor2_2 _29511_ ( + .A(_12482_), + .B(_14701_), + .Y(_09716_) + ); + sky130_fd_sc_hd__a22o_2 _29512_ ( + .A1(\soc.core.la_ien_storage[116] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[52] ), + .X(_14702_) + ); + sky130_fd_sc_hd__a31o_2 _29513_ ( + .A1(\soc.core.multiregimpl87_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14702_), + .X(_14703_) + ); + sky130_fd_sc_hd__a32o_2 _29514_ ( + .A1(\soc.core.la_out_storage[52] ), + .A2(_12479_), + .A3(_12556_), + .B1(_11026_), + .B2(\soc.core.la_ien_storage[20] ), + .X(_14704_) + ); + sky130_fd_sc_hd__a221o_2 _29515_ ( + .A1(\soc.core.la_oe_storage[52] ), + .A2(_12688_), + .B1(_14506_), + .B2(\soc.core.multiregimpl55_regs1 ), + .C1(_14704_), + .X(_14705_) + ); + sky130_fd_sc_hd__a221o_2 _29516_ ( + .A1(\soc.core.la_oe_storage[84] ), + .A2(_12653_), + .B1(_14504_), + .B2(\soc.core.multiregimpl119_regs1 ), + .C1(_14705_), + .X(_14706_) + ); + sky130_fd_sc_hd__a32o_2 _29517_ ( + .A1(\soc.core.la_out_storage[116] ), + .A2(_12479_), + .A3(_13674_), + .B1(_12617_), + .B2(\soc.core.la_out_storage[20] ), + .X(_14707_) + ); + sky130_fd_sc_hd__a32o_2 _29518_ ( + .A1(\soc.core.la_ien_storage[84] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl23_regs1 ), + .X(_14708_) + ); + sky130_fd_sc_hd__a221o_2 _29519_ ( + .A1(\soc.core.la_out_storage[84] ), + .A2(_12480_), + .B1(_12726_), + .B2(\soc.core.la_oe_storage[20] ), + .C1(_14708_), + .X(_14709_) + ); + sky130_fd_sc_hd__a211o_2 _29520_ ( + .A1(\soc.core.la_oe_storage[116] ), + .A2(_13675_), + .B1(_14707_), + .C1(_14709_), + .X(_14710_) + ); + sky130_fd_sc_hd__nor3_2 _29521_ ( + .A(_14703_), + .B(_14706_), + .C(_14710_), + .Y(_14711_) + ); + sky130_fd_sc_hd__nor2_2 _29522_ ( + .A(_12482_), + .B(_14711_), + .Y(_09717_) + ); + sky130_fd_sc_hd__a22o_2 _29523_ ( + .A1(\soc.core.la_ien_storage[117] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[53] ), + .X(_14712_) + ); + sky130_fd_sc_hd__a31o_2 _29524_ ( + .A1(\soc.core.multiregimpl88_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14712_), + .X(_14713_) + ); + sky130_fd_sc_hd__a32o_2 _29525_ ( + .A1(\soc.core.la_out_storage[53] ), + .A2(_12479_), + .A3(_12556_), + .B1(_14506_), + .B2(\soc.core.multiregimpl56_regs1 ), + .X(_14714_) + ); + sky130_fd_sc_hd__a221o_2 _29526_ ( + .A1(\soc.core.la_ien_storage[21] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[53] ), + .C1(_14714_), + .X(_14715_) + ); + sky130_fd_sc_hd__a221o_2 _29527_ ( + .A1(\soc.core.la_out_storage[85] ), + .A2(_12480_), + .B1(_12653_), + .B2(\soc.core.la_oe_storage[85] ), + .C1(_14715_), + .X(_14716_) + ); + sky130_fd_sc_hd__a32o_2 _29528_ ( + .A1(\soc.core.la_out_storage[117] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl120_regs1 ), + .X(_14717_) + ); + sky130_fd_sc_hd__a32o_2 _29529_ ( + .A1(\soc.core.la_ien_storage[85] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl24_regs1 ), + .X(_14718_) + ); + sky130_fd_sc_hd__a221o_2 _29530_ ( + .A1(\soc.core.la_out_storage[21] ), + .A2(_12617_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[117] ), + .C1(_14718_), + .X(_14719_) + ); + sky130_fd_sc_hd__a211o_2 _29531_ ( + .A1(\soc.core.la_oe_storage[21] ), + .A2(_12724_), + .B1(_14717_), + .C1(_14719_), + .X(_14720_) + ); + sky130_fd_sc_hd__nor3_2 _29532_ ( + .A(_14713_), + .B(_14716_), + .C(_14720_), + .Y(_14721_) + ); + sky130_fd_sc_hd__nor2_2 _29533_ ( + .A(_12482_), + .B(_14721_), + .Y(_09718_) + ); + sky130_fd_sc_hd__a32o_2 _29534_ ( + .A1(\soc.core.la_out_storage[22] ), + .A2(_11025_), + .A3(_12479_), + .B1(_14586_), + .B2(\soc.core.multiregimpl89_regs1 ), + .X(_14722_) + ); + sky130_fd_sc_hd__a32o_2 _29535_ ( + .A1(\soc.core.la_ien_storage[22] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[118] ), + .X(_14723_) + ); + sky130_fd_sc_hd__a221o_2 _29536_ ( + .A1(\soc.core.la_ien_storage[54] ), + .A2(_12797_), + .B1(_14506_), + .B2(\soc.core.multiregimpl57_regs1 ), + .C1(_14723_), + .X(_14724_) + ); + sky130_fd_sc_hd__a32o_2 _29537_ ( + .A1(\soc.core.la_out_storage[54] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[54] ), + .X(_14725_) + ); + sky130_fd_sc_hd__a211o_2 _29538_ ( + .A1(\soc.core.la_oe_storage[118] ), + .A2(_13675_), + .B1(_14724_), + .C1(_14725_), + .X(_14726_) + ); + sky130_fd_sc_hd__a41o_2 _29539_ ( + .A1(_05009_), + .A2(_05012_), + .A3(_11019_), + .A4(_14722_), + .B1(_14726_), + .X(_14727_) + ); + sky130_fd_sc_hd__a22o_2 _29540_ ( + .A1(\soc.core.la_oe_storage[22] ), + .A2(_12724_), + .B1(_14504_), + .B2(\soc.core.multiregimpl121_regs1 ), + .X(_14728_) + ); + sky130_fd_sc_hd__a32o_2 _29541_ ( + .A1(\soc.core.la_out_storage[118] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14509_), + .B2(\soc.core.multiregimpl25_regs1 ), + .X(_14729_) + ); + sky130_fd_sc_hd__a221o_2 _29542_ ( + .A1(\soc.core.la_out_storage[86] ), + .A2(_12480_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[86] ), + .C1(_14729_), + .X(_14730_) + ); + sky130_fd_sc_hd__a211o_2 _29543_ ( + .A1(\soc.core.la_oe_storage[86] ), + .A2(_12653_), + .B1(_14728_), + .C1(_14730_), + .X(_14731_) + ); + sky130_fd_sc_hd__o21a_2 _29544_ ( + .A1(_14727_), + .A2(_14731_), + .B1(_12481_), + .X(_09719_) + ); + sky130_fd_sc_hd__a22o_2 _29545_ ( + .A1(\soc.core.la_ien_storage[119] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl58_regs1 ), + .X(_14732_) + ); + sky130_fd_sc_hd__a32o_2 _29546_ ( + .A1(\soc.core.la_out_storage[55] ), + .A2(_12479_), + .A3(_12556_), + .B1(_11026_), + .B2(\soc.core.la_ien_storage[23] ), + .X(_14733_) + ); + sky130_fd_sc_hd__a221o_2 _29547_ ( + .A1(\soc.core.la_oe_storage[55] ), + .A2(_12688_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[55] ), + .C1(_14733_), + .X(_14734_) + ); + sky130_fd_sc_hd__a221o_2 _29548_ ( + .A1(\soc.core.la_out_storage[119] ), + .A2(_14245_), + .B1(_14509_), + .B2(\soc.core.multiregimpl26_regs1 ), + .C1(_14734_), + .X(_14735_) + ); + sky130_fd_sc_hd__a311oi_2 _29549_ ( + .A1(\soc.core.multiregimpl90_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14732_), + .C1(_14735_), + .Y(_14736_) + ); + sky130_fd_sc_hd__a22o_2 _29550_ ( + .A1(\soc.core.la_out_storage[23] ), + .A2(_12617_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[23] ), + .X(_14737_) + ); + sky130_fd_sc_hd__a22o_2 _29551_ ( + .A1(\soc.core.la_out_storage[87] ), + .A2(_12480_), + .B1(_14504_), + .B2(\soc.core.multiregimpl122_regs1 ), + .X(_14738_) + ); + sky130_fd_sc_hd__a221o_2 _29552_ ( + .A1(\soc.core.la_oe_storage[87] ), + .A2(_12653_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[87] ), + .C1(_14738_), + .X(_14739_) + ); + sky130_fd_sc_hd__a311oi_2 _29553_ ( + .A1(\soc.core.la_oe_storage[119] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14737_), + .C1(_14739_), + .Y(_14740_) + ); + sky130_fd_sc_hd__a21oi_2 _29554_ ( + .A1(_14736_), + .A2(_14740_), + .B1(_12482_), + .Y(_09720_) + ); + sky130_fd_sc_hd__a32o_2 _29555_ ( + .A1(\soc.core.multiregimpl91_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_12557_), + .B2(\soc.core.la_out_storage[56] ), + .X(_14741_) + ); + sky130_fd_sc_hd__a31o_2 _29556_ ( + .A1(\soc.core.la_ien_storage[24] ), + .A2(_11023_), + .A3(_11025_), + .B1(_14741_), + .X(_14742_) + ); + sky130_fd_sc_hd__a32o_2 _29557_ ( + .A1(\soc.core.la_oe_storage[56] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[56] ), + .X(_14743_) + ); + sky130_fd_sc_hd__a221o_2 _29558_ ( + .A1(\soc.core.la_ien_storage[120] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl59_regs1 ), + .C1(_14743_), + .X(_14744_) + ); + sky130_fd_sc_hd__a221o_2 _29559_ ( + .A1(\soc.core.la_out_storage[88] ), + .A2(_12480_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[24] ), + .C1(_14744_), + .X(_14745_) + ); + sky130_fd_sc_hd__a32o_2 _29560_ ( + .A1(\soc.core.la_out_storage[120] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14509_), + .B2(\soc.core.multiregimpl27_regs1 ), + .X(_14746_) + ); + sky130_fd_sc_hd__a22o_2 _29561_ ( + .A1(\soc.core.la_out_storage[24] ), + .A2(_12617_), + .B1(_14504_), + .B2(\soc.core.multiregimpl123_regs1 ), + .X(_14747_) + ); + sky130_fd_sc_hd__a221o_2 _29562_ ( + .A1(\soc.core.la_oe_storage[88] ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[120] ), + .C1(_14747_), + .X(_14748_) + ); + sky130_fd_sc_hd__a311o_2 _29563_ ( + .A1(\soc.core.la_ien_storage[88] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14746_), + .C1(_14748_), + .X(_14749_) + ); + sky130_fd_sc_hd__nor3_2 _29564_ ( + .A(_14742_), + .B(_14745_), + .C(_14749_), + .Y(_14750_) + ); + sky130_fd_sc_hd__nor2_2 _29565_ ( + .A(_12482_), + .B(_14750_), + .Y(_09721_) + ); + sky130_fd_sc_hd__a22o_2 _29566_ ( + .A1(\soc.core.la_ien_storage[121] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl60_regs1 ), + .X(_14751_) + ); + sky130_fd_sc_hd__a32o_2 _29567_ ( + .A1(\soc.core.la_out_storage[57] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[57] ), + .X(_14752_) + ); + sky130_fd_sc_hd__a221o_2 _29568_ ( + .A1(\soc.core.la_ien_storage[25] ), + .A2(_11026_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[57] ), + .C1(_14752_), + .X(_14753_) + ); + sky130_fd_sc_hd__a221o_2 _29569_ ( + .A1(\soc.core.la_oe_storage[89] ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[121] ), + .C1(_14753_), + .X(_14754_) + ); + sky130_fd_sc_hd__a311o_2 _29570_ ( + .A1(\soc.core.multiregimpl92_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14751_), + .C1(_14754_), + .X(_14755_) + ); + sky130_fd_sc_hd__a22o_2 _29571_ ( + .A1(\soc.core.la_out_storage[25] ), + .A2(_12617_), + .B1(_14504_), + .B2(\soc.core.multiregimpl124_regs1 ), + .X(_14756_) + ); + sky130_fd_sc_hd__a32o_2 _29572_ ( + .A1(\soc.core.la_out_storage[121] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14509_), + .B2(\soc.core.multiregimpl28_regs1 ), + .X(_14757_) + ); + sky130_fd_sc_hd__a221o_2 _29573_ ( + .A1(\soc.core.la_out_storage[89] ), + .A2(_12480_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[89] ), + .C1(_14757_), + .X(_14758_) + ); + sky130_fd_sc_hd__a211o_2 _29574_ ( + .A1(\soc.core.la_oe_storage[25] ), + .A2(_12724_), + .B1(_14756_), + .C1(_14758_), + .X(_14759_) + ); + sky130_fd_sc_hd__o21a_2 _29575_ ( + .A1(_14755_), + .A2(_14759_), + .B1(_12481_), + .X(_09722_) + ); + sky130_fd_sc_hd__a32o_2 _29576_ ( + .A1(\soc.core.multiregimpl93_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_12557_), + .B2(\soc.core.la_out_storage[58] ), + .X(_14760_) + ); + sky130_fd_sc_hd__a32o_2 _29577_ ( + .A1(\soc.core.la_oe_storage[58] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[58] ), + .X(_14761_) + ); + sky130_fd_sc_hd__a221o_2 _29578_ ( + .A1(\soc.core.la_ien_storage[122] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl61_regs1 ), + .C1(_14761_), + .X(_14762_) + ); + sky130_fd_sc_hd__a221o_2 _29579_ ( + .A1(\soc.core.la_out_storage[90] ), + .A2(_12480_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[26] ), + .C1(_14762_), + .X(_14763_) + ); + sky130_fd_sc_hd__a211o_2 _29580_ ( + .A1(\soc.core.la_ien_storage[26] ), + .A2(_11026_), + .B1(_14760_), + .C1(_14763_), + .X(_14764_) + ); + sky130_fd_sc_hd__a32o_2 _29581_ ( + .A1(\soc.core.la_ien_storage[90] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl29_regs1 ), + .X(_14765_) + ); + sky130_fd_sc_hd__a32o_2 _29582_ ( + .A1(\soc.core.la_out_storage[122] ), + .A2(_12479_), + .A3(_13674_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[122] ), + .X(_14766_) + ); + sky130_fd_sc_hd__a221o_2 _29583_ ( + .A1(\soc.core.la_out_storage[26] ), + .A2(_12617_), + .B1(_14504_), + .B2(\soc.core.multiregimpl125_regs1 ), + .C1(_14766_), + .X(_14767_) + ); + sky130_fd_sc_hd__a211o_2 _29584_ ( + .A1(\soc.core.la_oe_storage[90] ), + .A2(_12653_), + .B1(_14765_), + .C1(_14767_), + .X(_14768_) + ); + sky130_fd_sc_hd__o21a_2 _29585_ ( + .A1(_14764_), + .A2(_14768_), + .B1(_12481_), + .X(_09723_) + ); + sky130_fd_sc_hd__a22o_2 _29586_ ( + .A1(\soc.core.la_ien_storage[123] ), + .A2(_12392_), + .B1(_14506_), + .B2(\soc.core.multiregimpl62_regs1 ), + .X(_14769_) + ); + sky130_fd_sc_hd__a31o_2 _29587_ ( + .A1(\soc.core.multiregimpl30_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_14769_), + .X(_14770_) + ); + sky130_fd_sc_hd__a32o_2 _29588_ ( + .A1(\soc.core.la_ien_storage[27] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[59] ), + .X(_14771_) + ); + sky130_fd_sc_hd__a221o_2 _29589_ ( + .A1(\soc.core.la_out_storage[59] ), + .A2(_12557_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[59] ), + .C1(_14771_), + .X(_14772_) + ); + sky130_fd_sc_hd__a221o_2 _29590_ ( + .A1(\soc.core.la_oe_storage[91] ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[123] ), + .C1(_14772_), + .X(_14773_) + ); + sky130_fd_sc_hd__a22o_2 _29591_ ( + .A1(\soc.core.la_out_storage[91] ), + .A2(_12480_), + .B1(_12617_), + .B2(\soc.core.la_out_storage[27] ), + .X(_14774_) + ); + sky130_fd_sc_hd__a32o_2 _29592_ ( + .A1(\soc.core.la_ien_storage[91] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14512_), + .B2(\soc.core.multiregimpl94_regs1 ), + .X(_14775_) + ); + sky130_fd_sc_hd__a221o_2 _29593_ ( + .A1(\soc.core.la_oe_storage[27] ), + .A2(_12724_), + .B1(_14245_), + .B2(\soc.core.la_out_storage[123] ), + .C1(_14775_), + .X(_14776_) + ); + sky130_fd_sc_hd__a211o_2 _29594_ ( + .A1(\soc.core.multiregimpl126_regs1 ), + .A2(_14504_), + .B1(_14774_), + .C1(_14776_), + .X(_14777_) + ); + sky130_fd_sc_hd__nor3_2 _29595_ ( + .A(_14770_), + .B(_14773_), + .C(_14777_), + .Y(_14778_) + ); + sky130_fd_sc_hd__nor2_2 _29596_ ( + .A(_12482_), + .B(_14778_), + .Y(_09724_) + ); + sky130_fd_sc_hd__a32o_2 _29597_ ( + .A1(\soc.core.multiregimpl31_regs1 ), + .A2(_11022_), + .A3(_14508_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[60] ), + .X(_14779_) + ); + sky130_fd_sc_hd__a32o_2 _29598_ ( + .A1(\soc.core.la_out_storage[60] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[124] ), + .X(_14780_) + ); + sky130_fd_sc_hd__a221o_2 _29599_ ( + .A1(\soc.core.la_ien_storage[28] ), + .A2(_11026_), + .B1(_14506_), + .B2(\soc.core.multiregimpl63_regs1 ), + .C1(_14780_), + .X(_14781_) + ); + sky130_fd_sc_hd__a221o_2 _29600_ ( + .A1(\soc.core.la_out_storage[28] ), + .A2(_12617_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[124] ), + .C1(_14781_), + .X(_14782_) + ); + sky130_fd_sc_hd__a211o_2 _29601_ ( + .A1(\soc.core.la_ien_storage[60] ), + .A2(_12797_), + .B1(_14779_), + .C1(_14782_), + .X(_14783_) + ); + sky130_fd_sc_hd__a22o_2 _29602_ ( + .A1(\soc.core.la_oe_storage[92] ), + .A2(_12653_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[28] ), + .X(_14784_) + ); + sky130_fd_sc_hd__a32o_2 _29603_ ( + .A1(\soc.core.la_out_storage[124] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14504_), + .B2(\soc.core.multiregimpl127_regs1 ), + .X(_14785_) + ); + sky130_fd_sc_hd__a221o_2 _29604_ ( + .A1(\soc.core.la_out_storage[92] ), + .A2(_12480_), + .B1(_14512_), + .B2(\soc.core.multiregimpl95_regs1 ), + .C1(_14785_), + .X(_14786_) + ); + sky130_fd_sc_hd__a311o_2 _29605_ ( + .A1(\soc.core.la_ien_storage[92] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14784_), + .C1(_14786_), + .X(_14787_) + ); + sky130_fd_sc_hd__nor2_2 _29606_ ( + .A(_14783_), + .B(_14787_), + .Y(_14788_) + ); + sky130_fd_sc_hd__nor2_2 _29607_ ( + .A(_12482_), + .B(_14788_), + .Y(_09725_) + ); + sky130_fd_sc_hd__a32o_2 _29608_ ( + .A1(\soc.core.la_out_storage[29] ), + .A2(_11025_), + .A3(_12479_), + .B1(_14586_), + .B2(\soc.core.multiregimpl96_regs1 ), + .X(_14789_) + ); + sky130_fd_sc_hd__a32o_2 _29609_ ( + .A1(\soc.core.la_ien_storage[29] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[125] ), + .X(_14790_) + ); + sky130_fd_sc_hd__a221o_2 _29610_ ( + .A1(\soc.core.la_ien_storage[61] ), + .A2(_12797_), + .B1(_14506_), + .B2(\soc.core.multiregimpl64_regs1 ), + .C1(_14790_), + .X(_14791_) + ); + sky130_fd_sc_hd__a32o_2 _29611_ ( + .A1(\soc.core.la_out_storage[61] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[61] ), + .X(_14792_) + ); + sky130_fd_sc_hd__a211o_2 _29612_ ( + .A1(\soc.core.la_oe_storage[125] ), + .A2(_13675_), + .B1(_14791_), + .C1(_14792_), + .X(_14793_) + ); + sky130_fd_sc_hd__a22o_2 _29613_ ( + .A1(\soc.core.la_oe_storage[29] ), + .A2(_12724_), + .B1(_14504_), + .B2(\soc.core.multiregimpl128_regs1 ), + .X(_14794_) + ); + sky130_fd_sc_hd__a32o_2 _29614_ ( + .A1(\soc.core.la_out_storage[125] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14509_), + .B2(\soc.core.multiregimpl32_regs1 ), + .X(_14795_) + ); + sky130_fd_sc_hd__a221o_2 _29615_ ( + .A1(\soc.core.la_oe_storage[93] ), + .A2(_12653_), + .B1(_12759_), + .B2(\soc.core.la_ien_storage[93] ), + .C1(_14795_), + .X(_14796_) + ); + sky130_fd_sc_hd__a211o_2 _29616_ ( + .A1(\soc.core.la_out_storage[93] ), + .A2(_12480_), + .B1(_14794_), + .C1(_14796_), + .X(_14797_) + ); + sky130_fd_sc_hd__a211o_2 _29617_ ( + .A1(_11022_), + .A2(_14789_), + .B1(_14793_), + .C1(_14797_), + .X(_14798_) + ); + sky130_fd_sc_hd__and3_2 _29618_ ( + .A(_14798_), + .B(_12487_), + .C(_12391_), + .X(_09726_) + ); + sky130_fd_sc_hd__a32o_2 _29619_ ( + .A1(\soc.core.multiregimpl97_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_12392_), + .B2(\soc.core.la_ien_storage[126] ), + .X(_14799_) + ); + sky130_fd_sc_hd__a32o_2 _29620_ ( + .A1(\soc.core.la_out_storage[62] ), + .A2(_12479_), + .A3(_12556_), + .B1(_12688_), + .B2(\soc.core.la_oe_storage[62] ), + .X(_14800_) + ); + sky130_fd_sc_hd__a221o_2 _29621_ ( + .A1(\soc.core.la_ien_storage[62] ), + .A2(_12797_), + .B1(_14506_), + .B2(\soc.core.multiregimpl65_regs1 ), + .C1(_14800_), + .X(_14801_) + ); + sky130_fd_sc_hd__a221o_2 _29622_ ( + .A1(\soc.core.la_out_storage[94] ), + .A2(_12480_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[30] ), + .C1(_14801_), + .X(_14802_) + ); + sky130_fd_sc_hd__a311o_2 _29623_ ( + .A1(\soc.core.la_ien_storage[30] ), + .A2(_11023_), + .A3(_11025_), + .B1(_14799_), + .C1(_14802_), + .X(_14803_) + ); + sky130_fd_sc_hd__a32o_2 _29624_ ( + .A1(\soc.core.la_out_storage[126] ), + .A2(_12479_), + .A3(_13674_), + .B1(_14509_), + .B2(\soc.core.multiregimpl33_regs1 ), + .X(_14804_) + ); + sky130_fd_sc_hd__a22o_2 _29625_ ( + .A1(\soc.core.la_out_storage[30] ), + .A2(_12617_), + .B1(_14504_), + .B2(\soc.core.multiregimpl129_regs1 ), + .X(_14805_) + ); + sky130_fd_sc_hd__a221o_2 _29626_ ( + .A1(\soc.core.la_oe_storage[94] ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.la_oe_storage[126] ), + .C1(_14805_), + .X(_14806_) + ); + sky130_fd_sc_hd__a311o_2 _29627_ ( + .A1(\soc.core.la_ien_storage[94] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14804_), + .C1(_14806_), + .X(_14807_) + ); + sky130_fd_sc_hd__o21a_2 _29628_ ( + .A1(_14803_), + .A2(_14807_), + .B1(_12481_), + .X(_09727_) + ); + sky130_fd_sc_hd__a22o_2 _29629_ ( + .A1(\soc.core.la_ien_storage[127] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.la_ien_storage[63] ), + .X(_14808_) + ); + sky130_fd_sc_hd__a32o_2 _29630_ ( + .A1(\soc.core.la_out_storage[63] ), + .A2(_12479_), + .A3(_12556_), + .B1(_11026_), + .B2(\soc.core.la_ien_storage[31] ), + .X(_14809_) + ); + sky130_fd_sc_hd__a221o_2 _29631_ ( + .A1(\soc.core.la_oe_storage[63] ), + .A2(_12688_), + .B1(_14506_), + .B2(\soc.core.multiregimpl66_regs1 ), + .C1(_14809_), + .X(_14810_) + ); + sky130_fd_sc_hd__a221o_2 _29632_ ( + .A1(\soc.core.la_oe_storage[95] ), + .A2(_12653_), + .B1(_14245_), + .B2(\soc.core.la_out_storage[127] ), + .C1(_14810_), + .X(_14811_) + ); + sky130_fd_sc_hd__a311oi_2 _29633_ ( + .A1(\soc.core.multiregimpl98_regs1 ), + .A2(_11022_), + .A3(_14586_), + .B1(_14808_), + .C1(_14811_), + .Y(_14812_) + ); + sky130_fd_sc_hd__a22o_2 _29634_ ( + .A1(\soc.core.la_out_storage[31] ), + .A2(_12617_), + .B1(_14504_), + .B2(\soc.core.multiregimpl130_regs1 ), + .X(_14813_) + ); + sky130_fd_sc_hd__a32o_2 _29635_ ( + .A1(\soc.core.la_ien_storage[95] ), + .A2(_11023_), + .A3(_12478_), + .B1(_14509_), + .B2(\soc.core.multiregimpl34_regs1 ), + .X(_14814_) + ); + sky130_fd_sc_hd__a221o_2 _29636_ ( + .A1(\soc.core.la_out_storage[95] ), + .A2(_12480_), + .B1(_12724_), + .B2(\soc.core.la_oe_storage[31] ), + .C1(_14814_), + .X(_14815_) + ); + sky130_fd_sc_hd__a311oi_2 _29637_ ( + .A1(\soc.core.la_oe_storage[127] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14813_), + .C1(_14815_), + .Y(_14816_) + ); + sky130_fd_sc_hd__a21oi_2 _29638_ ( + .A1(_14812_), + .A2(_14816_), + .B1(_12482_), + .Y(_09728_) + ); + sky130_fd_sc_hd__a32o_2 _29639_ ( + .A1(\soc.core.spimaster_storage[0] ), + .A2(_12556_), + .A3(_12652_), + .B1(\soc.core.spi_master_control_storage[0] ), + .B2(_12392_), + .X(_14817_) + ); + sky130_fd_sc_hd__a221o_2 _29640_ ( + .A1(\soc.core.spi_master_miso[0] ), + .A2(_11026_), + .B1(_12797_), + .B2(\soc.core.spi_master_mosi_storage[0] ), + .C1(_14817_), + .X(_14818_) + ); + sky130_fd_sc_hd__a2111oi_2 _29641_ ( + .A1(\soc.core.spi_master_control_storage[0] ), + .A2(\soc.core.spi_master_control_re ), + .B1(\soc.core.spimaster_state[1] ), + .C1(\soc.core.spimaster_state[0] ), + .D1(_12760_), + .Y(_14819_) + ); + sky130_fd_sc_hd__a221o_2 _29642_ ( + .A1(\soc.core.spi_master_loopback_storage ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.spi_master_cs ), + .C1(_14819_), + .X(_14820_) + ); + sky130_fd_sc_hd__o211a_2 _29643_ ( + .A1(_14818_), + .A2(_14820_), + .B1(_12390_), + .C1(_12396_), + .X(_09729_) + ); + sky130_fd_sc_hd__a22o_2 _29644_ ( + .A1(\soc.core.spi_master_control_storage[1] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.spi_master_mosi_storage[1] ), + .X(_14821_) + ); + sky130_fd_sc_hd__a32o_2 _29645_ ( + .A1(\soc.core.spimaster_storage[1] ), + .A2(_12556_), + .A3(_12652_), + .B1(\soc.core.spi_master_miso[1] ), + .B2(_11026_), + .X(_14822_) + ); + sky130_fd_sc_hd__a211o_2 _29646_ ( + .A1(\soc.core.spi_master_cs_storage[1] ), + .A2(_13675_), + .B1(_14821_), + .C1(_14822_), + .X(_14823_) + ); + sky130_fd_sc_hd__and3_2 _29647_ ( + .A(_14823_), + .B(_12396_), + .C(_12390_), + .X(_09730_) + ); + sky130_fd_sc_hd__a32o_2 _29648_ ( + .A1(\soc.core.spimaster_storage[2] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12797_), + .B2(\soc.core.spi_master_mosi_storage[2] ), + .X(_14824_) + ); + sky130_fd_sc_hd__a32o_2 _29649_ ( + .A1(\soc.core.spi_master_miso[2] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12392_), + .B2(\soc.core.spi_master_control_storage[2] ), + .X(_14825_) + ); + sky130_fd_sc_hd__a211o_2 _29650_ ( + .A1(\soc.core.spi_master_cs_storage[2] ), + .A2(_13675_), + .B1(_14824_), + .C1(_14825_), + .X(_14826_) + ); + sky130_fd_sc_hd__and3_2 _29651_ ( + .A(_14826_), + .B(_12396_), + .C(_12390_), + .X(_09731_) + ); + sky130_fd_sc_hd__a32o_2 _29652_ ( + .A1(\soc.core.spi_master_miso[3] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12392_), + .B2(\soc.core.spi_master_control_storage[3] ), + .X(_14827_) + ); + sky130_fd_sc_hd__a221o_2 _29653_ ( + .A1(\soc.core.spimaster_storage[3] ), + .A2(_12688_), + .B1(_12797_), + .B2(\soc.core.spi_master_mosi_storage[3] ), + .C1(_14827_), + .X(_14828_) + ); + sky130_fd_sc_hd__and3_2 _29654_ ( + .A(\soc.core.spi_master_cs_storage[3] ), + .B(_12652_), + .C(_13674_), + .X(_14829_) + ); + sky130_fd_sc_hd__o211a_2 _29655_ ( + .A1(_14829_), + .A2(_14828_), + .B1(_12396_), + .C1(_12390_), + .X(_09732_) + ); + sky130_fd_sc_hd__a32o_2 _29656_ ( + .A1(\soc.core.spimaster_storage[4] ), + .A2(_12556_), + .A3(_12652_), + .B1(_12797_), + .B2(\soc.core.spi_master_mosi_storage[4] ), + .X(_14830_) + ); + sky130_fd_sc_hd__a32o_2 _29657_ ( + .A1(\soc.core.spi_master_miso[4] ), + .A2(_11023_), + .A3(_11025_), + .B1(_12392_), + .B2(\soc.core.spi_master_control_storage[4] ), + .X(_14831_) + ); + sky130_fd_sc_hd__a211o_2 _29658_ ( + .A1(\soc.core.spi_master_cs_storage[4] ), + .A2(_13675_), + .B1(_14830_), + .C1(_14831_), + .X(_14832_) + ); + sky130_fd_sc_hd__and3_2 _29659_ ( + .A(_14832_), + .B(_12396_), + .C(_12390_), + .X(_09733_) + ); + sky130_fd_sc_hd__a22o_2 _29660_ ( + .A1(\soc.core.spi_master_control_storage[5] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.spi_master_mosi_storage[5] ), + .X(_14833_) + ); + sky130_fd_sc_hd__a221o_2 _29661_ ( + .A1(\soc.core.spi_master_miso[5] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.spimaster_storage[5] ), + .C1(_14833_), + .X(_14834_) + ); + sky130_fd_sc_hd__a31o_2 _29662_ ( + .A1(\soc.core.spi_master_cs_storage[5] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14834_), + .X(_14835_) + ); + sky130_fd_sc_hd__and3_2 _29663_ ( + .A(_14835_), + .B(_12396_), + .C(_12390_), + .X(_09734_) + ); + sky130_fd_sc_hd__a22o_2 _29664_ ( + .A1(\soc.core.spi_master_control_storage[6] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.spi_master_mosi_storage[6] ), + .X(_14836_) + ); + sky130_fd_sc_hd__a221o_2 _29665_ ( + .A1(\soc.core.spi_master_miso[6] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.spimaster_storage[6] ), + .C1(_14836_), + .X(_14837_) + ); + sky130_fd_sc_hd__a31o_2 _29666_ ( + .A1(\soc.core.spi_master_cs_storage[6] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14837_), + .X(_14838_) + ); + sky130_fd_sc_hd__and3_2 _29667_ ( + .A(_14838_), + .B(_12396_), + .C(_12390_), + .X(_09735_) + ); + sky130_fd_sc_hd__a22o_2 _29668_ ( + .A1(\soc.core.spi_master_control_storage[7] ), + .A2(_12392_), + .B1(_12797_), + .B2(\soc.core.spi_master_mosi_storage[7] ), + .X(_14839_) + ); + sky130_fd_sc_hd__a221o_2 _29669_ ( + .A1(\soc.core.spi_master_miso[7] ), + .A2(_11026_), + .B1(_12688_), + .B2(\soc.core.spimaster_storage[7] ), + .C1(_14839_), + .X(_14840_) + ); + sky130_fd_sc_hd__a31o_2 _29670_ ( + .A1(\soc.core.spi_master_cs_storage[7] ), + .A2(_12652_), + .A3(_13674_), + .B1(_14840_), + .X(_14841_) + ); + sky130_fd_sc_hd__and3_2 _29671_ ( + .A(_14841_), + .B(_12396_), + .C(_12390_), + .X(_09736_) + ); + sky130_fd_sc_hd__a32o_2 _29672_ ( + .A1(\soc.core.spimaster_storage[8] ), + .A2(_12556_), + .A3(_12652_), + .B1(\soc.core.spi_master_control_storage[8] ), + .B2(_12392_), + .X(_14842_) + ); + sky130_fd_sc_hd__a21o_2 _29673_ ( + .A1(\soc.core.spi_master_cs_storage[8] ), + .A2(_13675_), + .B1(_14842_), + .X(_14843_) + ); + sky130_fd_sc_hd__and3_2 _29674_ ( + .A(_14843_), + .B(_12396_), + .C(_12390_), + .X(_09737_) + ); + sky130_fd_sc_hd__a22o_2 _29675_ ( + .A1(\soc.core.spi_master_control_storage[9] ), + .A2(_12392_), + .B1(_12688_), + .B2(\soc.core.spimaster_storage[9] ), + .X(_14844_) + ); + sky130_fd_sc_hd__and3_2 _29676_ ( + .A(\soc.core.spi_master_cs_storage[9] ), + .B(_12652_), + .C(_13674_), + .X(_14845_) + ); + sky130_fd_sc_hd__o211a_2 _29677_ ( + .A1(_14845_), + .A2(_14844_), + .B1(_12396_), + .C1(_12390_), + .X(_09738_) + ); + sky130_fd_sc_hd__a22o_2 _29678_ ( + .A1(\soc.core.spi_master_control_storage[10] ), + .A2(_12392_), + .B1(_12688_), + .B2(\soc.core.spimaster_storage[10] ), + .X(_14846_) + ); + sky130_fd_sc_hd__and3_2 _29679_ ( + .A(\soc.core.spi_master_cs_storage[10] ), + .B(_12652_), + .C(_13674_), + .X(_14847_) + ); + sky130_fd_sc_hd__o211a_2 _29680_ ( + .A1(_14847_), + .A2(_14846_), + .B1(_12396_), + .C1(_12390_), + .X(_09739_) + ); + sky130_fd_sc_hd__a32o_2 _29681_ ( + .A1(\soc.core.spimaster_storage[11] ), + .A2(_12556_), + .A3(_12652_), + .B1(\soc.core.spi_master_control_storage[11] ), + .B2(_12392_), + .X(_14848_) + ); + sky130_fd_sc_hd__a21o_2 _29682_ ( + .A1(\soc.core.spi_master_cs_storage[11] ), + .A2(_13675_), + .B1(_14848_), + .X(_14849_) + ); + sky130_fd_sc_hd__and3_2 _29683_ ( + .A(_14849_), + .B(_12396_), + .C(_12390_), + .X(_09740_) + ); + sky130_fd_sc_hd__a22o_2 _29684_ ( + .A1(\soc.core.spi_master_control_storage[12] ), + .A2(_12392_), + .B1(_12688_), + .B2(\soc.core.spimaster_storage[12] ), + .X(_14850_) + ); + sky130_fd_sc_hd__and3_2 _29685_ ( + .A(\soc.core.spi_master_cs_storage[12] ), + .B(_12652_), + .C(_13674_), + .X(_14851_) + ); + sky130_fd_sc_hd__o211a_2 _29686_ ( + .A1(_14851_), + .A2(_14850_), + .B1(_12396_), + .C1(_12390_), + .X(_09741_) + ); + sky130_fd_sc_hd__a22o_2 _29687_ ( + .A1(\soc.core.spi_master_control_storage[13] ), + .A2(_12392_), + .B1(_12688_), + .B2(\soc.core.spimaster_storage[13] ), + .X(_14852_) + ); + sky130_fd_sc_hd__and3_2 _29688_ ( + .A(\soc.core.spi_master_cs_storage[13] ), + .B(_12652_), + .C(_13674_), + .X(_14853_) + ); + sky130_fd_sc_hd__o211a_2 _29689_ ( + .A1(_14853_), + .A2(_14852_), + .B1(_12396_), + .C1(_12390_), + .X(_09742_) + ); + sky130_fd_sc_hd__a32o_2 _29690_ ( + .A1(\soc.core.spimaster_storage[14] ), + .A2(_12556_), + .A3(_12652_), + .B1(\soc.core.spi_master_control_storage[14] ), + .B2(_12392_), + .X(_14854_) + ); + sky130_fd_sc_hd__a21o_2 _29691_ ( + .A1(\soc.core.spi_master_cs_storage[14] ), + .A2(_13675_), + .B1(_14854_), + .X(_14855_) + ); + sky130_fd_sc_hd__and3_2 _29692_ ( + .A(_14855_), + .B(_12396_), + .C(_12390_), + .X(_09743_) + ); + sky130_fd_sc_hd__a22o_2 _29693_ ( + .A1(\soc.core.spi_master_control_storage[15] ), + .A2(_12392_), + .B1(_12688_), + .B2(\soc.core.spimaster_storage[15] ), + .X(_14856_) + ); + sky130_fd_sc_hd__and3_2 _29694_ ( + .A(\soc.core.spi_master_cs_storage[15] ), + .B(_12652_), + .C(_13674_), + .X(_14857_) + ); + sky130_fd_sc_hd__o211a_2 _29695_ ( + .A1(_14857_), + .A2(_14856_), + .B1(_12396_), + .C1(_12390_), + .X(_09744_) + ); + sky130_fd_sc_hd__and3_2 _29696_ ( + .A(\soc.core.spi_master_cs_mode ), + .B(_13557_), + .C(_13675_), + .X(_09745_) + ); + sky130_fd_sc_hd__a32o_2 _29697_ ( + .A1(\soc.core.mgmtsoc_update_value_storage ), + .A2(_11023_), + .A3(_11025_), + .B1(_12797_), + .B2(\soc.core.mgmtsoc_en_storage ), + .X(_14858_) + ); + sky130_fd_sc_hd__a32o_2 _29698_ ( + .A1(\soc.core.mgmtsoc_zero_pending ), + .A2(_12556_), + .A3(_12652_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[0] ), + .X(_14859_) + ); + sky130_fd_sc_hd__a221o_2 _29699_ ( + .A1(\soc.core.mgmtsoc_enable_storage ), + .A2(_12724_), + .B1(_13675_), + .B2(\soc.core.mgmtsoc_value_status[0] ), + .C1(_14859_), + .X(_14860_) + ); + sky130_fd_sc_hd__a211o_2 _29700_ ( + .A1(\soc.core.mgmtsoc_load_storage[0] ), + .A2(_12386_), + .B1(_14858_), + .C1(_14860_), + .X(_14861_) + ); + sky130_fd_sc_hd__a21o_2 _29701_ ( + .A1(\soc.core.csrbank10_ev_status_w ), + .A2(_12653_), + .B1(_14861_), + .X(_14862_) + ); + sky130_fd_sc_hd__and2_4 _29702_ ( + .A(_14862_), + .B(_13276_), + .X(_09746_) + ); + sky130_fd_sc_hd__a22o_2 _29703_ ( + .A1(\soc.core.mgmtsoc_load_storage[1] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[1] ), + .X(_14863_) + ); + sky130_fd_sc_hd__and3_2 _29704_ ( + .A(\soc.core.mgmtsoc_value_status[1] ), + .B(_12652_), + .C(_13674_), + .X(_14864_) + ); + sky130_fd_sc_hd__o21a_2 _29705_ ( + .A1(_14864_), + .A2(_14863_), + .B1(_13276_), + .X(_09747_) + ); + sky130_fd_sc_hd__a22o_2 _29706_ ( + .A1(\soc.core.mgmtsoc_load_storage[2] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[2] ), + .X(_14865_) + ); + sky130_fd_sc_hd__and3_2 _29707_ ( + .A(\soc.core.mgmtsoc_value_status[2] ), + .B(_12652_), + .C(_13674_), + .X(_14866_) + ); + sky130_fd_sc_hd__o21a_2 _29708_ ( + .A1(_14866_), + .A2(_14865_), + .B1(_13276_), + .X(_09748_) + ); + sky130_fd_sc_hd__a22o_2 _29709_ ( + .A1(\soc.core.mgmtsoc_load_storage[3] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[3] ), + .X(_14867_) + ); + sky130_fd_sc_hd__and3_2 _29710_ ( + .A(\soc.core.mgmtsoc_value_status[3] ), + .B(_12652_), + .C(_13674_), + .X(_14868_) + ); + sky130_fd_sc_hd__o21a_2 _29711_ ( + .A1(_14868_), + .A2(_14867_), + .B1(_13276_), + .X(_09749_) + ); + sky130_fd_sc_hd__a22o_2 _29712_ ( + .A1(\soc.core.mgmtsoc_load_storage[4] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[4] ), + .X(_14869_) + ); + sky130_fd_sc_hd__and3_2 _29713_ ( + .A(\soc.core.mgmtsoc_value_status[4] ), + .B(_12652_), + .C(_13674_), + .X(_14870_) + ); + sky130_fd_sc_hd__o21a_2 _29714_ ( + .A1(_14870_), + .A2(_14869_), + .B1(_13276_), + .X(_09750_) + ); + sky130_fd_sc_hd__a22o_2 _29715_ ( + .A1(\soc.core.mgmtsoc_load_storage[5] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[5] ), + .X(_14871_) + ); + sky130_fd_sc_hd__and3_2 _29716_ ( + .A(\soc.core.mgmtsoc_value_status[5] ), + .B(_12652_), + .C(_13674_), + .X(_14872_) + ); + sky130_fd_sc_hd__o21a_2 _29717_ ( + .A1(_14872_), + .A2(_14871_), + .B1(_13276_), + .X(_09751_) + ); + sky130_fd_sc_hd__a22o_2 _29718_ ( + .A1(\soc.core.mgmtsoc_load_storage[6] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[6] ), + .X(_14873_) + ); + sky130_fd_sc_hd__and3_2 _29719_ ( + .A(\soc.core.mgmtsoc_value_status[6] ), + .B(_12652_), + .C(_13674_), + .X(_14874_) + ); + sky130_fd_sc_hd__o21a_2 _29720_ ( + .A1(_14874_), + .A2(_14873_), + .B1(_13276_), + .X(_09752_) + ); + sky130_fd_sc_hd__a22o_2 _29721_ ( + .A1(\soc.core.mgmtsoc_load_storage[7] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[7] ), + .X(_14875_) + ); + sky130_fd_sc_hd__and3_2 _29722_ ( + .A(\soc.core.mgmtsoc_value_status[7] ), + .B(_12652_), + .C(_13674_), + .X(_14876_) + ); + sky130_fd_sc_hd__o21a_2 _29723_ ( + .A1(_14876_), + .A2(_14875_), + .B1(_13276_), + .X(_09753_) + ); + sky130_fd_sc_hd__a22o_2 _29724_ ( + .A1(\soc.core.mgmtsoc_load_storage[8] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[8] ), + .X(_14877_) + ); + sky130_fd_sc_hd__and3_2 _29725_ ( + .A(\soc.core.mgmtsoc_value_status[8] ), + .B(_12652_), + .C(_13674_), + .X(_14878_) + ); + sky130_fd_sc_hd__o21a_2 _29726_ ( + .A1(_14878_), + .A2(_14877_), + .B1(_13276_), + .X(_09754_) + ); + sky130_fd_sc_hd__a22o_2 _29727_ ( + .A1(\soc.core.mgmtsoc_load_storage[9] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[9] ), + .X(_14879_) + ); + sky130_fd_sc_hd__and3_2 _29728_ ( + .A(\soc.core.mgmtsoc_value_status[9] ), + .B(_12652_), + .C(_13674_), + .X(_14880_) + ); + sky130_fd_sc_hd__o21a_2 _29729_ ( + .A1(_14880_), + .A2(_14879_), + .B1(_13276_), + .X(_09755_) + ); + sky130_fd_sc_hd__a22o_2 _29730_ ( + .A1(\soc.core.mgmtsoc_load_storage[10] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[10] ), + .X(_14881_) + ); + sky130_fd_sc_hd__and3_2 _29731_ ( + .A(\soc.core.mgmtsoc_value_status[10] ), + .B(_12652_), + .C(_13674_), + .X(_14882_) + ); + sky130_fd_sc_hd__o21a_2 _29732_ ( + .A1(_14882_), + .A2(_14881_), + .B1(_13276_), + .X(_09756_) + ); + sky130_fd_sc_hd__a22o_2 _29733_ ( + .A1(\soc.core.mgmtsoc_load_storage[11] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[11] ), + .X(_14883_) + ); + sky130_fd_sc_hd__and3_2 _29734_ ( + .A(\soc.core.mgmtsoc_value_status[11] ), + .B(_12652_), + .C(_13674_), + .X(_14884_) + ); + sky130_fd_sc_hd__o21a_2 _29735_ ( + .A1(_14884_), + .A2(_14883_), + .B1(_13276_), + .X(_09757_) + ); + sky130_fd_sc_hd__a22o_2 _29736_ ( + .A1(\soc.core.mgmtsoc_load_storage[12] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[12] ), + .X(_14885_) + ); + sky130_fd_sc_hd__and3_2 _29737_ ( + .A(\soc.core.mgmtsoc_value_status[12] ), + .B(_12652_), + .C(_13674_), + .X(_14886_) + ); + sky130_fd_sc_hd__o21a_2 _29738_ ( + .A1(_14886_), + .A2(_14885_), + .B1(_13276_), + .X(_09758_) + ); + sky130_fd_sc_hd__a22o_2 _29739_ ( + .A1(\soc.core.mgmtsoc_load_storage[13] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[13] ), + .X(_14887_) + ); + sky130_fd_sc_hd__and3_2 _29740_ ( + .A(\soc.core.mgmtsoc_value_status[13] ), + .B(_12652_), + .C(_13674_), + .X(_14888_) + ); + sky130_fd_sc_hd__o21a_2 _29741_ ( + .A1(_14888_), + .A2(_14887_), + .B1(_13276_), + .X(_09759_) + ); + sky130_fd_sc_hd__a22o_2 _29742_ ( + .A1(\soc.core.mgmtsoc_load_storage[14] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[14] ), + .X(_14889_) + ); + sky130_fd_sc_hd__and3_2 _29743_ ( + .A(\soc.core.mgmtsoc_value_status[14] ), + .B(_12652_), + .C(_13674_), + .X(_14890_) + ); + sky130_fd_sc_hd__o21a_2 _29744_ ( + .A1(_14890_), + .A2(_14889_), + .B1(_13276_), + .X(_09760_) + ); + sky130_fd_sc_hd__a22o_2 _29745_ ( + .A1(\soc.core.mgmtsoc_load_storage[15] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[15] ), + .X(_14891_) + ); + sky130_fd_sc_hd__and3_2 _29746_ ( + .A(\soc.core.mgmtsoc_value_status[15] ), + .B(_12652_), + .C(_13674_), + .X(_14892_) + ); + sky130_fd_sc_hd__o21a_2 _29747_ ( + .A1(_14892_), + .A2(_14891_), + .B1(_13276_), + .X(_09761_) + ); + sky130_fd_sc_hd__a22o_2 _29748_ ( + .A1(\soc.core.mgmtsoc_load_storage[16] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[16] ), + .X(_14893_) + ); + sky130_fd_sc_hd__and3_2 _29749_ ( + .A(\soc.core.mgmtsoc_value_status[16] ), + .B(_12652_), + .C(_13674_), + .X(_14894_) + ); + sky130_fd_sc_hd__o21a_2 _29750_ ( + .A1(_14894_), + .A2(_14893_), + .B1(_13276_), + .X(_09762_) + ); + sky130_fd_sc_hd__and3_2 _29751_ ( + .A(\soc.core.mgmtsoc_value_status[17] ), + .B(_12652_), + .C(_13674_), + .X(_14895_) + ); + sky130_fd_sc_hd__a32o_2 _29752_ ( + .A1(\soc.core.mgmtsoc_reload_storage[17] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_load_storage[17] ), + .X(_14896_) + ); + sky130_fd_sc_hd__o21a_2 _29753_ ( + .A1(_14895_), + .A2(_14896_), + .B1(_13276_), + .X(_09763_) + ); + sky130_fd_sc_hd__and3_2 _29754_ ( + .A(\soc.core.mgmtsoc_value_status[18] ), + .B(_12652_), + .C(_13674_), + .X(_14897_) + ); + sky130_fd_sc_hd__a32o_2 _29755_ ( + .A1(\soc.core.mgmtsoc_reload_storage[18] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_load_storage[18] ), + .X(_14898_) + ); + sky130_fd_sc_hd__o21a_2 _29756_ ( + .A1(_14897_), + .A2(_14898_), + .B1(_13276_), + .X(_09764_) + ); + sky130_fd_sc_hd__a22o_2 _29757_ ( + .A1(\soc.core.mgmtsoc_load_storage[19] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[19] ), + .X(_14899_) + ); + sky130_fd_sc_hd__and3_2 _29758_ ( + .A(\soc.core.mgmtsoc_value_status[19] ), + .B(_12652_), + .C(_13674_), + .X(_14900_) + ); + sky130_fd_sc_hd__o21a_2 _29759_ ( + .A1(_14900_), + .A2(_14899_), + .B1(_13276_), + .X(_09765_) + ); + sky130_fd_sc_hd__and3_2 _29760_ ( + .A(\soc.core.mgmtsoc_value_status[20] ), + .B(_12652_), + .C(_13674_), + .X(_14901_) + ); + sky130_fd_sc_hd__a32o_2 _29761_ ( + .A1(\soc.core.mgmtsoc_reload_storage[20] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_load_storage[20] ), + .X(_14902_) + ); + sky130_fd_sc_hd__o21a_2 _29762_ ( + .A1(_14901_), + .A2(_14902_), + .B1(_13276_), + .X(_09766_) + ); + sky130_fd_sc_hd__and3_2 _29763_ ( + .A(\soc.core.mgmtsoc_value_status[21] ), + .B(_12652_), + .C(_13674_), + .X(_14903_) + ); + sky130_fd_sc_hd__a32o_2 _29764_ ( + .A1(\soc.core.mgmtsoc_reload_storage[21] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_load_storage[21] ), + .X(_14904_) + ); + sky130_fd_sc_hd__o21a_2 _29765_ ( + .A1(_14903_), + .A2(_14904_), + .B1(_13276_), + .X(_09767_) + ); + sky130_fd_sc_hd__a22o_2 _29766_ ( + .A1(\soc.core.mgmtsoc_load_storage[22] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[22] ), + .X(_14905_) + ); + sky130_fd_sc_hd__and3_2 _29767_ ( + .A(\soc.core.mgmtsoc_value_status[22] ), + .B(_12652_), + .C(_13674_), + .X(_14906_) + ); + sky130_fd_sc_hd__o21a_2 _29768_ ( + .A1(_14906_), + .A2(_14905_), + .B1(_13276_), + .X(_09768_) + ); + sky130_fd_sc_hd__a22o_2 _29769_ ( + .A1(\soc.core.mgmtsoc_load_storage[23] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[23] ), + .X(_14907_) + ); + sky130_fd_sc_hd__and3_2 _29770_ ( + .A(\soc.core.mgmtsoc_value_status[23] ), + .B(_12652_), + .C(_13674_), + .X(_14908_) + ); + sky130_fd_sc_hd__o21a_2 _29771_ ( + .A1(_14908_), + .A2(_14907_), + .B1(_13276_), + .X(_09769_) + ); + sky130_fd_sc_hd__a22o_2 _29772_ ( + .A1(\soc.core.mgmtsoc_load_storage[24] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[24] ), + .X(_14909_) + ); + sky130_fd_sc_hd__and3_2 _29773_ ( + .A(\soc.core.mgmtsoc_value_status[24] ), + .B(_12652_), + .C(_13674_), + .X(_14910_) + ); + sky130_fd_sc_hd__o21a_2 _29774_ ( + .A1(_14910_), + .A2(_14909_), + .B1(_13276_), + .X(_09770_) + ); + sky130_fd_sc_hd__a22o_2 _29775_ ( + .A1(\soc.core.mgmtsoc_load_storage[25] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[25] ), + .X(_14911_) + ); + sky130_fd_sc_hd__and3_2 _29776_ ( + .A(\soc.core.mgmtsoc_value_status[25] ), + .B(_12652_), + .C(_13674_), + .X(_14912_) + ); + sky130_fd_sc_hd__o21a_2 _29777_ ( + .A1(_14912_), + .A2(_14911_), + .B1(_13276_), + .X(_09771_) + ); + sky130_fd_sc_hd__and3_2 _29778_ ( + .A(\soc.core.mgmtsoc_value_status[26] ), + .B(_12652_), + .C(_13674_), + .X(_14913_) + ); + sky130_fd_sc_hd__a32o_2 _29779_ ( + .A1(\soc.core.mgmtsoc_reload_storage[26] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_load_storage[26] ), + .X(_14914_) + ); + sky130_fd_sc_hd__o21a_2 _29780_ ( + .A1(_14913_), + .A2(_14914_), + .B1(_13276_), + .X(_09772_) + ); + sky130_fd_sc_hd__and3_2 _29781_ ( + .A(\soc.core.mgmtsoc_value_status[27] ), + .B(_12652_), + .C(_13674_), + .X(_14915_) + ); + sky130_fd_sc_hd__a32o_2 _29782_ ( + .A1(\soc.core.mgmtsoc_reload_storage[27] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_load_storage[27] ), + .X(_14916_) + ); + sky130_fd_sc_hd__o21a_2 _29783_ ( + .A1(_14915_), + .A2(_14916_), + .B1(_13276_), + .X(_09773_) + ); + sky130_fd_sc_hd__a22o_2 _29784_ ( + .A1(\soc.core.mgmtsoc_load_storage[28] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[28] ), + .X(_14917_) + ); + sky130_fd_sc_hd__and3_2 _29785_ ( + .A(\soc.core.mgmtsoc_value_status[28] ), + .B(_12652_), + .C(_13674_), + .X(_14918_) + ); + sky130_fd_sc_hd__o21a_2 _29786_ ( + .A1(_14918_), + .A2(_14917_), + .B1(_13276_), + .X(_09774_) + ); + sky130_fd_sc_hd__a22o_2 _29787_ ( + .A1(\soc.core.mgmtsoc_load_storage[29] ), + .A2(_12392_), + .B1(_12759_), + .B2(\soc.core.mgmtsoc_reload_storage[29] ), + .X(_14919_) + ); + sky130_fd_sc_hd__and3_2 _29788_ ( + .A(\soc.core.mgmtsoc_value_status[29] ), + .B(_12652_), + .C(_13674_), + .X(_14920_) + ); + sky130_fd_sc_hd__o21a_2 _29789_ ( + .A1(_14920_), + .A2(_14919_), + .B1(_13276_), + .X(_09775_) + ); + sky130_fd_sc_hd__and3_2 _29790_ ( + .A(\soc.core.mgmtsoc_value_status[30] ), + .B(_12652_), + .C(_13674_), + .X(_14921_) + ); + sky130_fd_sc_hd__a32o_2 _29791_ ( + .A1(\soc.core.mgmtsoc_reload_storage[30] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_load_storage[30] ), + .X(_14922_) + ); + sky130_fd_sc_hd__o21a_2 _29792_ ( + .A1(_14921_), + .A2(_14922_), + .B1(_13276_), + .X(_09776_) + ); + sky130_fd_sc_hd__and3_2 _29793_ ( + .A(\soc.core.mgmtsoc_value_status[31] ), + .B(_12652_), + .C(_13674_), + .X(_14923_) + ); + sky130_fd_sc_hd__a32o_2 _29794_ ( + .A1(\soc.core.mgmtsoc_reload_storage[31] ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.mgmtsoc_load_storage[31] ), + .X(_14924_) + ); + sky130_fd_sc_hd__o21a_2 _29795_ ( + .A1(_14923_), + .A2(_14924_), + .B1(_13276_), + .X(_09777_) + ); + sky130_fd_sc_hd__a2bb2o_2 _29796_ ( + .A1_N(\soc.core.uart_rx_fifo_readable ), + .A2_N(_12798_), + .B1(_12431_), + .B2(_11026_), + .X(_14925_) + ); + sky130_fd_sc_hd__a32o_2 _29797_ ( + .A1(_12652_), + .A2(_03493_), + .A3(_12556_), + .B1(\soc.core.memdat_3[0] ), + .B2(_12392_), + .X(_14926_) + ); + sky130_fd_sc_hd__a32o_2 _29798_ ( + .A1(\soc.core.uart_rx_fifo_level0[4] ), + .A2(_12724_), + .A3(_13004_), + .B1(_13675_), + .B2(\soc.core.uart_tx_pending ), + .X(_14927_) + ); + sky130_fd_sc_hd__a221o_2 _29799_ ( + .A1(\soc.core.uart_tx2 ), + .A2(_12653_), + .B1(_12759_), + .B2(_12430_), + .C1(_14927_), + .X(_14928_) + ); + sky130_fd_sc_hd__o31a_2 _29800_ ( + .A1(_14925_), + .A2(_14926_), + .A3(_14928_), + .B1(_12427_), + .X(_09778_) + ); + sky130_fd_sc_hd__a22o_2 _29801_ ( + .A1(\soc.core.uart_rx_fifo_readable ), + .A2(_11026_), + .B1(_12392_), + .B2(\soc.core.memdat_3[1] ), + .X(_14929_) + ); + sky130_fd_sc_hd__a21o_2 _29802_ ( + .A1(\soc.core.uart_rx_pending ), + .A2(_13675_), + .B1(_14929_), + .X(_14930_) + ); + sky130_fd_sc_hd__a21o_2 _29803_ ( + .A1(\soc.core.uart_rx2 ), + .A2(_12653_), + .B1(_14930_), + .X(_14931_) + ); + sky130_fd_sc_hd__and3_2 _29804_ ( + .A(_14931_), + .B(_12390_), + .C(_11017_), + .X(_09779_) + ); + sky130_fd_sc_hd__and3_2 _29805_ ( + .A(\soc.core.memdat_3[2] ), + .B(_12392_), + .C(_12427_), + .X(_09780_) + ); + sky130_fd_sc_hd__and3_2 _29806_ ( + .A(\soc.core.memdat_3[3] ), + .B(_12392_), + .C(_12427_), + .X(_09781_) + ); + sky130_fd_sc_hd__and3_2 _29807_ ( + .A(\soc.core.memdat_3[4] ), + .B(_12392_), + .C(_12427_), + .X(_09782_) + ); + sky130_fd_sc_hd__and3_2 _29808_ ( + .A(\soc.core.memdat_3[5] ), + .B(_12392_), + .C(_12427_), + .X(_09783_) + ); + sky130_fd_sc_hd__and3_2 _29809_ ( + .A(\soc.core.memdat_3[6] ), + .B(_12392_), + .C(_12427_), + .X(_09784_) + ); + sky130_fd_sc_hd__and3_2 _29810_ ( + .A(\soc.core.memdat_3[7] ), + .B(_12392_), + .C(_12427_), + .X(_09785_) + ); + sky130_fd_sc_hd__a32o_2 _29811_ ( + .A1(_11023_), + .A2(_11025_), + .A3(_14289_), + .B1(_12392_), + .B2(\soc.core.multiregimpl131_regs1 ), + .X(_14932_) + ); + sky130_fd_sc_hd__a221o_2 _29812_ ( + .A1(\soc.core.gpioin0_gpioin0_mode_storage ), + .A2(_12759_), + .B1(_12797_), + .B2(\soc.core.gpioin0_gpioin0_edge_storage ), + .C1(_14932_), + .X(_14933_) + ); + sky130_fd_sc_hd__a221o_2 _29813_ ( + .A1(\soc.core.gpioin0_enable_storage ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.gpioin0_gpioin0_pending ), + .C1(_14933_), + .X(_14934_) + ); + sky130_fd_sc_hd__and3_2 _29814_ ( + .A(_14934_), + .B(_12396_), + .C(_12388_), + .X(_09786_) + ); + sky130_fd_sc_hd__a32o_2 _29815_ ( + .A1(_11023_), + .A2(_11025_), + .A3(_14297_), + .B1(_12392_), + .B2(\soc.core.multiregimpl132_regs1 ), + .X(_14935_) + ); + sky130_fd_sc_hd__a221o_2 _29816_ ( + .A1(\soc.core.gpioin1_gpioin1_mode_storage ), + .A2(_12759_), + .B1(_12797_), + .B2(\soc.core.gpioin1_gpioin1_edge_storage ), + .C1(_14935_), + .X(_14936_) + ); + sky130_fd_sc_hd__a221o_2 _29817_ ( + .A1(\soc.core.gpioin1_enable_storage ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.gpioin1_gpioin1_pending ), + .C1(_14936_), + .X(_14937_) + ); + sky130_fd_sc_hd__and2_4 _29818_ ( + .A(_14937_), + .B(_14291_), + .X(_09787_) + ); + sky130_fd_sc_hd__a22o_2 _29819_ ( + .A1(\soc.core.gpioin2_enable_storage ), + .A2(_12653_), + .B1(_13678_), + .B2(\soc.core.gpioin2_gpioin2_pending ), + .X(_14938_) + ); + sky130_fd_sc_hd__a221o_2 _29820_ ( + .A1(\soc.core.gpioin2_gpioin2_mode_storage ), + .A2(_12765_), + .B1(_14304_), + .B2(_11031_), + .C1(_14938_), + .X(_14939_) + ); + sky130_fd_sc_hd__a221o_2 _29821_ ( + .A1(\soc.core.multiregimpl133_regs1 ), + .A2(_12386_), + .B1(_12801_), + .B2(\soc.core.gpioin2_gpioin2_edge_storage ), + .C1(_14939_), + .X(_14940_) + ); + sky130_fd_sc_hd__and3_2 _29822_ ( + .A(_14940_), + .B(_12388_), + .C(_11017_), + .X(_09788_) + ); + sky130_fd_sc_hd__a32o_2 _29823_ ( + .A1(_11023_), + .A2(_11025_), + .A3(_14311_), + .B1(_12392_), + .B2(\soc.core.multiregimpl134_regs1 ), + .X(_14941_) + ); + sky130_fd_sc_hd__a221o_2 _29824_ ( + .A1(\soc.core.gpioin3_gpioin3_mode_storage ), + .A2(_12759_), + .B1(_12797_), + .B2(\soc.core.gpioin3_gpioin3_edge_storage ), + .C1(_14941_), + .X(_14942_) + ); + sky130_fd_sc_hd__a221o_2 _29825_ ( + .A1(\soc.core.gpioin3_enable_storage ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.gpioin3_gpioin3_pending ), + .C1(_14942_), + .X(_14943_) + ); + sky130_fd_sc_hd__and3_2 _29826_ ( + .A(_14943_), + .B(_12389_), + .C(_12387_), + .X(_09789_) + ); + sky130_fd_sc_hd__a32o_2 _29827_ ( + .A1(\soc.core.gpioin4_gpioin4_mode_storage ), + .A2(_11023_), + .A3(_12478_), + .B1(_12392_), + .B2(\soc.core.multiregimpl135_regs1 ), + .X(_14944_) + ); + sky130_fd_sc_hd__a221o_2 _29828_ ( + .A1(\soc.core.gpioin4_gpioin4_edge_storage ), + .A2(_12797_), + .B1(_14317_), + .B2(_11026_), + .C1(_14944_), + .X(_14945_) + ); + sky130_fd_sc_hd__a221o_2 _29829_ ( + .A1(\soc.core.gpioin4_enable_storage ), + .A2(_12653_), + .B1(_13675_), + .B2(\soc.core.gpioin4_gpioin4_pending ), + .C1(_14945_), + .X(_14946_) + ); + sky130_fd_sc_hd__and3_2 _29830_ ( + .A(_14946_), + .B(_12396_), + .C(_12387_), + .X(_09790_) + ); + sky130_fd_sc_hd__a32o_2 _29831_ ( + .A1(_11023_), + .A2(_11025_), + .A3(_14324_), + .B1(_12392_), + .B2(\soc.core.multiregimpl136_regs1 ), + .X(_14947_) + ); + sky130_fd_sc_hd__a221o_2 _29832_ ( + .A1(\soc.core.gpioin5_gpioin5_mode_storage ), + .A2(_12759_), + .B1(_12797_), + .B2(\soc.core.gpioin5_gpioin5_edge_storage ), + .C1(_14947_), + .X(_14948_) + ); + sky130_fd_sc_hd__a32o_2 _29833_ ( + .A1(\soc.core.gpioin5_gpioin5_pending ), + .A2(_12652_), + .A3(_13674_), + .B1(_12653_), + .B2(\soc.core.gpioin5_enable_storage ), + .X(_14949_) + ); + sky130_fd_sc_hd__o21a_2 _29834_ ( + .A1(_14948_), + .A2(_14949_), + .B1(_14319_), + .X(_09791_) + ); + sky130_fd_sc_hd__nor4_2 _29835_ ( + .A(\soc.core.state ), + .B(_04963_), + .C(\soc.core.int_rst ), + .D(_11011_), + .Y(_09792_) + ); + sky130_fd_sc_hd__and2b_2 _29836_ ( + .A_N(\soc.core.debug_in ), + .B(\soc.core.serial_rx ), + .X(_09793_) + ); + sky130_fd_sc_hd__and4bb_2 _29837_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12349_), + .D(_12408_), + .X(_14950_) + ); + sky130_fd_sc_hd__mux2_1 _29838_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][0] ), + .A1(_12352_), + .S(_14950_), + .X(_09794_) + ); + sky130_fd_sc_hd__mux2_1 _29839_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][1] ), + .A1(_12353_), + .S(_14950_), + .X(_09795_) + ); + sky130_fd_sc_hd__mux2_1 _29840_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][2] ), + .A1(_12354_), + .S(_14950_), + .X(_09796_) + ); + sky130_fd_sc_hd__mux2_1 _29841_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][3] ), + .A1(_12355_), + .S(_14950_), + .X(_09797_) + ); + sky130_fd_sc_hd__mux2_1 _29842_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][4] ), + .A1(_12356_), + .S(_14950_), + .X(_09798_) + ); + sky130_fd_sc_hd__mux2_1 _29843_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][5] ), + .A1(_12357_), + .S(_14950_), + .X(_09799_) + ); + sky130_fd_sc_hd__mux2_1 _29844_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][6] ), + .A1(_12358_), + .S(_14950_), + .X(_09800_) + ); + sky130_fd_sc_hd__mux2_1 _29845_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][7] ), + .A1(_12359_), + .S(_14950_), + .X(_09801_) + ); + sky130_fd_sc_hd__mux2_1 _29846_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][8] ), + .A1(_12360_), + .S(_14950_), + .X(_09802_) + ); + sky130_fd_sc_hd__mux2_1 _29847_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][9] ), + .A1(_12361_), + .S(_14950_), + .X(_09803_) + ); + sky130_fd_sc_hd__mux2_1 _29848_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][10] ), + .A1(_12362_), + .S(_14950_), + .X(_09804_) + ); + sky130_fd_sc_hd__mux2_1 _29849_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][11] ), + .A1(_12363_), + .S(_14950_), + .X(_09805_) + ); + sky130_fd_sc_hd__mux2_1 _29850_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][12] ), + .A1(_12364_), + .S(_14950_), + .X(_09806_) + ); + sky130_fd_sc_hd__mux2_1 _29851_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][13] ), + .A1(_12365_), + .S(_14950_), + .X(_09807_) + ); + sky130_fd_sc_hd__mux2_1 _29852_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][14] ), + .A1(_12366_), + .S(_14950_), + .X(_09808_) + ); + sky130_fd_sc_hd__mux2_1 _29853_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][15] ), + .A1(_12367_), + .S(_14950_), + .X(_09809_) + ); + sky130_fd_sc_hd__mux2_1 _29854_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][16] ), + .A1(_12368_), + .S(_14950_), + .X(_09810_) + ); + sky130_fd_sc_hd__mux2_1 _29855_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][17] ), + .A1(_12369_), + .S(_14950_), + .X(_09811_) + ); + sky130_fd_sc_hd__mux2_1 _29856_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][18] ), + .A1(_12370_), + .S(_14950_), + .X(_09812_) + ); + sky130_fd_sc_hd__mux2_1 _29857_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][19] ), + .A1(_12371_), + .S(_14950_), + .X(_09813_) + ); + sky130_fd_sc_hd__mux2_1 _29858_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][20] ), + .A1(_12372_), + .S(_14950_), + .X(_09814_) + ); + sky130_fd_sc_hd__mux2_1 _29859_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][21] ), + .A1(_12373_), + .S(_14950_), + .X(_09815_) + ); + sky130_fd_sc_hd__mux2_1 _29860_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][22] ), + .A1(_12374_), + .S(_14950_), + .X(_09816_) + ); + sky130_fd_sc_hd__mux2_1 _29861_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][23] ), + .A1(_12375_), + .S(_14950_), + .X(_09817_) + ); + sky130_fd_sc_hd__mux2_1 _29862_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][24] ), + .A1(_12376_), + .S(_14950_), + .X(_09818_) + ); + sky130_fd_sc_hd__mux2_1 _29863_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][25] ), + .A1(_12377_), + .S(_14950_), + .X(_09819_) + ); + sky130_fd_sc_hd__mux2_1 _29864_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][26] ), + .A1(_12378_), + .S(_14950_), + .X(_09820_) + ); + sky130_fd_sc_hd__mux2_1 _29865_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][27] ), + .A1(_12379_), + .S(_14950_), + .X(_09821_) + ); + sky130_fd_sc_hd__mux2_1 _29866_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][28] ), + .A1(_12380_), + .S(_14950_), + .X(_09822_) + ); + sky130_fd_sc_hd__mux2_1 _29867_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][29] ), + .A1(_12381_), + .S(_14950_), + .X(_09823_) + ); + sky130_fd_sc_hd__mux2_1 _29868_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][30] ), + .A1(_12382_), + .S(_14950_), + .X(_09824_) + ); + sky130_fd_sc_hd__mux2_1 _29869_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[18][31] ), + .A1(_12383_), + .S(_14950_), + .X(_09825_) + ); + sky130_fd_sc_hd__and3_2 _29870_ ( + .A(_11189_), + .B(_00347_), + .C(_11143_), + .X(_09826_) + ); + sky130_fd_sc_hd__and2_4 _29871_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[0] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .X(_14951_) + ); + sky130_fd_sc_hd__o21ba_2 _29872_ ( + .A1(_12995_), + .A2(_14951_), + .B1_N(_12994_), + .X(_09827_) + ); + sky130_fd_sc_hd__mux2_1 _29873_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[2] ), + .S(_11285_), + .X(_09828_) + ); + sky130_fd_sc_hd__mux2_1 _29874_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[3] ), + .S(_11285_), + .X(_09829_) + ); + sky130_fd_sc_hd__mux2_1 _29875_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[4] ), + .S(_11285_), + .X(_09830_) + ); + sky130_fd_sc_hd__mux2_1 _29876_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[5] ), + .S(_11285_), + .X(_09831_) + ); + sky130_fd_sc_hd__mux2_1 _29877_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[6] ), + .S(_11285_), + .X(_09832_) + ); + sky130_fd_sc_hd__mux2_1 _29878_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[7] ), + .S(_11285_), + .X(_09833_) + ); + sky130_fd_sc_hd__mux2_1 _29879_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[8] ), + .S(_11285_), + .X(_09834_) + ); + sky130_fd_sc_hd__mux2_1 _29880_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[9] ), + .S(_11285_), + .X(_09835_) + ); + sky130_fd_sc_hd__mux2_1 _29881_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[10] ), + .S(_11285_), + .X(_09836_) + ); + sky130_fd_sc_hd__mux2_1 _29882_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[11] ), + .S(_11285_), + .X(_09837_) + ); + sky130_fd_sc_hd__mux2_1 _29883_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[12] ), + .S(_11285_), + .X(_09838_) + ); + sky130_fd_sc_hd__mux2_1 _29884_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[13] ), + .S(_11285_), + .X(_09839_) + ); + sky130_fd_sc_hd__mux2_1 _29885_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[14] ), + .S(_11285_), + .X(_09840_) + ); + sky130_fd_sc_hd__mux2_1 _29886_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[15] ), + .S(_11285_), + .X(_09841_) + ); + sky130_fd_sc_hd__mux2_1 _29887_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[16] ), + .S(_11285_), + .X(_09842_) + ); + sky130_fd_sc_hd__mux2_1 _29888_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[17] ), + .S(_11285_), + .X(_09843_) + ); + sky130_fd_sc_hd__mux2_1 _29889_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[18] ), + .S(_11285_), + .X(_09844_) + ); + sky130_fd_sc_hd__mux2_1 _29890_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[19] ), + .S(_11285_), + .X(_09845_) + ); + sky130_fd_sc_hd__mux2_1 _29891_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[20] ), + .S(_11285_), + .X(_09846_) + ); + sky130_fd_sc_hd__mux2_1 _29892_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[21] ), + .S(_11285_), + .X(_09847_) + ); + sky130_fd_sc_hd__mux2_1 _29893_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[22] ), + .S(_11285_), + .X(_09848_) + ); + sky130_fd_sc_hd__mux2_1 _29894_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[23] ), + .S(_11285_), + .X(_09849_) + ); + sky130_fd_sc_hd__mux2_1 _29895_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[24] ), + .S(_11285_), + .X(_09850_) + ); + sky130_fd_sc_hd__mux2_1 _29896_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[25] ), + .S(_11285_), + .X(_09851_) + ); + sky130_fd_sc_hd__mux2_1 _29897_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[26] ), + .S(_11285_), + .X(_09852_) + ); + sky130_fd_sc_hd__mux2_1 _29898_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] ), + .S(_11285_), + .X(_09853_) + ); + sky130_fd_sc_hd__mux2_1 _29899_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] ), + .S(_11285_), + .X(_09854_) + ); + sky130_fd_sc_hd__mux2_1 _29900_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[29] ), + .S(_11285_), + .X(_09855_) + ); + sky130_fd_sc_hd__mux2_1 _29901_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[30] ), + .S(_11285_), + .X(_09856_) + ); + sky130_fd_sc_hd__mux2_1 _29902_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[31] ), + .S(_11285_), + .X(_09857_) + ); + sky130_fd_sc_hd__a311o_2 _29903_ ( + .A1(_11285_), + .A2(_11305_), + .A3(_10929_), + .B1(_05132_), + .C1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .X(_14952_) + ); + sky130_fd_sc_hd__mux2_1 _29904_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] ), + .A1(_00357_), + .S(_14952_), + .X(_09858_) + ); + sky130_fd_sc_hd__mux2_1 _29905_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[1] ), + .A1(_00368_), + .S(_14952_), + .X(_09859_) + ); + sky130_fd_sc_hd__mux2_1 _29906_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .A1(_00379_), + .S(_14952_), + .X(_09860_) + ); + sky130_fd_sc_hd__mux2_1 _29907_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .A1(_00382_), + .S(_14952_), + .X(_09861_) + ); + sky130_fd_sc_hd__mux2_1 _29908_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .A1(_00383_), + .S(_14952_), + .X(_09862_) + ); + sky130_fd_sc_hd__mux2_1 _29909_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .A1(_00384_), + .S(_14952_), + .X(_09863_) + ); + sky130_fd_sc_hd__mux2_1 _29910_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .A1(_00385_), + .S(_14952_), + .X(_09864_) + ); + sky130_fd_sc_hd__mux2_1 _29911_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[7] ), + .A1(_00386_), + .S(_14952_), + .X(_09865_) + ); + sky130_fd_sc_hd__mux2_1 _29912_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[8] ), + .A1(_00387_), + .S(_14952_), + .X(_09866_) + ); + sky130_fd_sc_hd__mux2_1 _29913_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[9] ), + .A1(_00388_), + .S(_14952_), + .X(_09867_) + ); + sky130_fd_sc_hd__mux2_1 _29914_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[10] ), + .A1(_00358_), + .S(_14952_), + .X(_09868_) + ); + sky130_fd_sc_hd__mux2_1 _29915_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[11] ), + .A1(_00359_), + .S(_14952_), + .X(_09869_) + ); + sky130_fd_sc_hd__mux2_1 _29916_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .A1(_00360_), + .S(_14952_), + .X(_09870_) + ); + sky130_fd_sc_hd__mux2_1 _29917_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .A1(_00361_), + .S(_14952_), + .X(_09871_) + ); + sky130_fd_sc_hd__mux2_1 _29918_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .A1(_00362_), + .S(_14952_), + .X(_09872_) + ); + sky130_fd_sc_hd__mux2_1 _29919_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ), + .A1(_00363_), + .S(_14952_), + .X(_09873_) + ); + sky130_fd_sc_hd__mux2_1 _29920_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[16] ), + .A1(_00364_), + .S(_14952_), + .X(_09874_) + ); + sky130_fd_sc_hd__mux2_1 _29921_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ), + .A1(_00365_), + .S(_14952_), + .X(_09875_) + ); + sky130_fd_sc_hd__mux2_1 _29922_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ), + .A1(_00366_), + .S(_14952_), + .X(_09876_) + ); + sky130_fd_sc_hd__mux2_1 _29923_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[19] ), + .A1(_00367_), + .S(_14952_), + .X(_09877_) + ); + sky130_fd_sc_hd__mux2_1 _29924_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .A1(_00369_), + .S(_14952_), + .X(_09878_) + ); + sky130_fd_sc_hd__mux2_1 _29925_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .A1(_00370_), + .S(_14952_), + .X(_09879_) + ); + sky130_fd_sc_hd__mux2_1 _29926_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .A1(_00371_), + .S(_14952_), + .X(_09880_) + ); + sky130_fd_sc_hd__mux2_1 _29927_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .A1(_00372_), + .S(_14952_), + .X(_09881_) + ); + sky130_fd_sc_hd__mux2_1 _29928_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[24] ), + .A1(_00373_), + .S(_14952_), + .X(_09882_) + ); + sky130_fd_sc_hd__mux2_1 _29929_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[25] ), + .A1(_00374_), + .S(_14952_), + .X(_09883_) + ); + sky130_fd_sc_hd__mux2_1 _29930_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[26] ), + .A1(_00375_), + .S(_14952_), + .X(_09884_) + ); + sky130_fd_sc_hd__mux2_1 _29931_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ), + .A1(_00376_), + .S(_14952_), + .X(_09885_) + ); + sky130_fd_sc_hd__mux2_1 _29932_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .A1(_00377_), + .S(_14952_), + .X(_09886_) + ); + sky130_fd_sc_hd__mux2_1 _29933_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ), + .A1(_00378_), + .S(_14952_), + .X(_09887_) + ); + sky130_fd_sc_hd__mux2_1 _29934_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .A1(_00380_), + .S(_14952_), + .X(_09888_) + ); + sky130_fd_sc_hd__mux2_1 _29935_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ), + .A1(_00381_), + .S(_14952_), + .X(_09889_) + ); + sky130_fd_sc_hd__nand2b_2 _29936_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[18] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[22] ), + .Y(_14953_) + ); + sky130_fd_sc_hd__nand2b_2 _29937_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[12] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .Y(_14954_) + ); + sky130_fd_sc_hd__nand2b_2 _29938_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[19] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[15] ), + .Y(_14955_) + ); + sky130_fd_sc_hd__nand2b_2 _29939_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[5] ), + .Y(_14956_) + ); + sky130_fd_sc_hd__nand2b_2 _29940_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[23] ), + .Y(_14957_) + ); + sky130_fd_sc_hd__nand2b_2 _29941_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[20] ), + .Y(_14958_) + ); + sky130_fd_sc_hd__nand2b_2 _29942_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[6] ), + .Y(_14959_) + ); + sky130_fd_sc_hd__and2b_2 _29943_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[11] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ), + .X(_14960_) + ); + sky130_fd_sc_hd__and2b_2 _29944_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[21] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ), + .X(_14961_) + ); + sky130_fd_sc_hd__nand2b_2 _29945_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[23] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ), + .Y(_14962_) + ); + sky130_fd_sc_hd__nand2b_2 _29946_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[21] ), + .Y(_14963_) + ); + sky130_fd_sc_hd__nand2b_2 _29947_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[25] ), + .Y(_14964_) + ); + sky130_fd_sc_hd__nand2b_2 _29948_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[11] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[7] ), + .Y(_14965_) + ); + sky130_fd_sc_hd__nand2b_2 _29949_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[22] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ), + .Y(_14966_) + ); + sky130_fd_sc_hd__nand2b_2 _29950_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[8] ), + .Y(_14967_) + ); + sky130_fd_sc_hd__nand2b_2 _29951_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[22] ), + .Y(_14968_) + ); + sky130_fd_sc_hd__nand2b_2 _29952_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[20] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .Y(_14969_) + ); + sky130_fd_sc_hd__nand2b_2 _29953_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[8] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .Y(_14970_) + ); + sky130_fd_sc_hd__and2b_2 _29954_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[13] ), + .X(_14971_) + ); + sky130_fd_sc_hd__nand2b_2 _29955_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[27] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_isIoAccess ), + .Y(_14972_) + ); + sky130_fd_sc_hd__nand2b_2 _29956_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[26] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[30] ), + .Y(_14973_) + ); + sky130_fd_sc_hd__nand2b_2 _29957_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[16] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ), + .Y(_14974_) + ); + sky130_fd_sc_hd__nand2b_2 _29958_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[15] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[19] ), + .Y(_14975_) + ); + sky130_fd_sc_hd__nand2b_2 _29959_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[17] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ), + .Y(_14976_) + ); + sky130_fd_sc_hd__nand2b_2 _29960_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[19] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .Y(_14977_) + ); + sky130_fd_sc_hd__nand2b_2 _29961_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[7] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[11] ), + .Y(_14978_) + ); + sky130_fd_sc_hd__nand2b_2 _29962_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[9] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ), + .Y(_14979_) + ); + sky130_fd_sc_hd__nand2b_2 _29963_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[5] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ), + .Y(_14980_) + ); + sky130_fd_sc_hd__nand2b_2 _29964_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[9] ), + .Y(_14981_) + ); + sky130_fd_sc_hd__nand2b_2 _29965_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[30] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[26] ), + .Y(_14982_) + ); + sky130_fd_sc_hd__nand2b_2 _29966_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[6] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ), + .Y(_14983_) + ); + sky130_fd_sc_hd__and2b_2 _29967_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[25] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ), + .X(_14984_) + ); + sky130_fd_sc_hd__nand2b_2 _29968_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_isIoAccess ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[27] ), + .Y(_14985_) + ); + sky130_fd_sc_hd__nand2b_2 _29969_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[11] ), + .Y(_14986_) + ); + sky130_fd_sc_hd__nand2b_2 _29970_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[17] ), + .Y(_14987_) + ); + sky130_fd_sc_hd__nand2b_2 _29971_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[13] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ), + .Y(_14988_) + ); + sky130_fd_sc_hd__nand2b_2 _29972_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[22] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[18] ), + .Y(_14989_) + ); + sky130_fd_sc_hd__and2b_2 _29973_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[12] ), + .X(_14990_) + ); + sky130_fd_sc_hd__nand2b_2 _29974_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[16] ), + .Y(_14991_) + ); + sky130_fd_sc_hd__nand2b_2 _29975_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[19] ), + .Y(_14992_) + ); + sky130_fd_sc_hd__and2_4 _29976_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[14] ), + .X(_14993_) + ); + sky130_fd_sc_hd__nor2_2 _29977_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[14] ), + .Y(_14994_) + ); + sky130_fd_sc_hd__o211a_2 _29978_ ( + .A1(_14993_), + .A2(_14994_), + .B1(_14955_), + .C1(_14968_), + .X(_14995_) + ); + sky130_fd_sc_hd__o2111a_2 _29979_ ( + .A1(_10889_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[3] ), + .B1(_14969_), + .C1(_14985_), + .D1(_14995_), + .X(_14996_) + ); + sky130_fd_sc_hd__xor2_2 _29980_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[6] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[2] ), + .X(_14997_) + ); + sky130_fd_sc_hd__a2111oi_2 _29981_ ( + .A1(_10888_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[4] ), + .B1(_14971_), + .C1(_14984_), + .D1(_14997_), + .Y(_14998_) + ); + sky130_fd_sc_hd__and3_2 _29982_ ( + .A(_14998_), + .B(_14992_), + .C(_14957_), + .X(_14999_) + ); + sky130_fd_sc_hd__and3_2 _29983_ ( + .A(_14999_), + .B(_14954_), + .C(_14996_), + .X(_15000_) + ); + sky130_fd_sc_hd__and2_4 _29984_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[10] ), + .X(_15001_) + ); + sky130_fd_sc_hd__nor2_2 _29985_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[10] ), + .Y(_15002_) + ); + sky130_fd_sc_hd__a2111oi_2 _29986_ ( + .A1(_10889_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[3] ), + .B1(_14960_), + .C1(_14961_), + .D1(_14990_), + .Y(_15003_) + ); + sky130_fd_sc_hd__o2111a_2 _29987_ ( + .A1(_15001_), + .A2(_15002_), + .B1(_14959_), + .C1(_14989_), + .D1(_15003_), + .X(_15004_) + ); + sky130_fd_sc_hd__and3_2 _29988_ ( + .A(_14958_), + .B(_14962_), + .C(_14991_), + .X(_15005_) + ); + sky130_fd_sc_hd__and4_2 _29989_ ( + .A(_15004_), + .B(_15005_), + .C(_14953_), + .D(_14974_), + .X(_15006_) + ); + sky130_fd_sc_hd__o21a_2 _29990_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[4] ), + .A2(_10888_), + .B1(_14966_), + .X(_15007_) + ); + sky130_fd_sc_hd__and2_4 _29991_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[28] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[24] ), + .X(_15008_) + ); + sky130_fd_sc_hd__nor2_2 _29992_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[28] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[24] ), + .Y(_15009_) + ); + sky130_fd_sc_hd__o2111a_2 _29993_ ( + .A1(_15008_), + .A2(_15009_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[0] ), + .C1(_14977_), + .D1(_15007_), + .X(_15010_) + ); + sky130_fd_sc_hd__and4_2 _29994_ ( + .A(_15010_), + .B(_14967_), + .C(_14964_), + .D(_14956_), + .X(_15011_) + ); + sky130_fd_sc_hd__and3_2 _29995_ ( + .A(_14981_), + .B(_14986_), + .C(_14987_), + .X(_15012_) + ); + sky130_fd_sc_hd__and3_2 _29996_ ( + .A(_14965_), + .B(_14970_), + .C(_14982_), + .X(_15013_) + ); + sky130_fd_sc_hd__and3_2 _29997_ ( + .A(_14978_), + .B(_14979_), + .C(_14980_), + .X(_15014_) + ); + sky130_fd_sc_hd__and4_2 _29998_ ( + .A(_15014_), + .B(_14976_), + .C(_14975_), + .D(_14973_), + .X(_15015_) + ); + sky130_fd_sc_hd__and4_2 _29999_ ( + .A(_15015_), + .B(_14972_), + .C(_14963_), + .D(_15013_), + .X(_15016_) + ); + sky130_fd_sc_hd__and4_2 _30000_ ( + .A(_15016_), + .B(_14988_), + .C(_14983_), + .D(_15012_), + .X(_15017_) + ); + sky130_fd_sc_hd__and4_2 _30001_ ( + .A(_12982_), + .B(_15006_), + .C(_15011_), + .D(_15017_), + .X(_15018_) + ); + sky130_fd_sc_hd__a22o_2 _30002_ ( + .A1(_05087_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_hit_valid ), + .B1(_15018_), + .B2(_15000_), + .X(_09890_) + ); + sky130_fd_sc_hd__and3_2 _30003_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_valid ), + .B(_00347_), + .C(_12464_), + .X(_15019_) + ); + sky130_fd_sc_hd__a21o_2 _30004_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .A2(_00347_), + .B1(_15019_), + .X(_09891_) + ); + sky130_fd_sc_hd__and4b_2 _30005_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B(_11293_), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .X(_15020_) + ); + sky130_fd_sc_hd__nor2_2 _30006_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushPending ), + .B(_15020_), + .Y(_15021_) + ); + sky130_fd_sc_hd__o21ai_2 _30007_ ( + .A1(_12994_), + .A2(_15021_), + .B1(_00347_), + .Y(_09892_) + ); + sky130_fd_sc_hd__and3_2 _30008_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_cmdSent ), + .B(_00347_), + .C(_12464_), + .X(_15022_) + ); + sky130_fd_sc_hd__a31o_2 _30009_ ( + .A1(_11189_), + .A2(_15019_), + .A3(_11122_), + .B1(_15022_), + .X(_09893_) + ); + sky130_fd_sc_hd__a21oi_2 _30010_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .Y(_15023_) + ); + sky130_fd_sc_hd__o21a_2 _30011_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .B1(_15023_), + .X(_09894_) + ); + sky130_fd_sc_hd__a21boi_2 _30012_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .B1_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .Y(_15024_) + ); + sky130_fd_sc_hd__o21a_2 _30013_ ( + .A1(_12466_), + .A2(_15024_), + .B1(_00347_), + .X(_09895_) + ); + sky130_fd_sc_hd__a31o_2 _30014_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ), + .A3(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ), + .X(_15025_) + ); + sky130_fd_sc_hd__and3_2 _30015_ ( + .A(_00347_), + .B(_12464_), + .C(_15025_), + .X(_09896_) + ); + sky130_fd_sc_hd__o21a_2 _30016_ ( + .A1(\soc.core.VexRiscv.DebugPlugin_debugUsed ), + .A2(\soc.core.mgmtsoc_vexriscv_i_cmd_valid ), + .B1(_10904_), + .X(_09897_) + ); + sky130_fd_sc_hd__and2b_2 _30017_ ( + .A_N(\soc.core.int_rst ), + .B(_00349_), + .X(_09898_) + ); + sky130_fd_sc_hd__and2b_2 _30018_ ( + .A_N(\soc.core.int_rst ), + .B(_00350_), + .X(_09899_) + ); + sky130_fd_sc_hd__and4b_2 _30019_ ( + .A_N(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[2] ), + .B(\soc.core.mgmtsoc_vexriscv_i_cmd_valid ), + .C(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_wr ), + .D(_11359_), + .X(_15026_) + ); + sky130_fd_sc_hd__nand2b_2 _30020_ ( + .A_N(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[4] ), + .B(_15026_), + .Y(_15027_) + ); + sky130_fd_sc_hd__o211a_2 _30021_ ( + .A1(\soc.core.VexRiscv.DebugPlugin_stepIt ), + .A2(_15026_), + .B1(_15027_), + .C1(_10904_), + .X(_09900_) + ); + sky130_fd_sc_hd__and2_4 _30022_ ( + .A(\soc.core.debug_in ), + .B(\soc.core.serial_rx ), + .X(_09901_) + ); + sky130_fd_sc_hd__a21oi_2 _30023_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l260 ), + .A2(_15026_), + .B1(\soc.core.VexRiscv.DebugPlugin_resetIt ), + .Y(_15028_) + ); + sky130_fd_sc_hd__a211oi_2 _30024_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l260_1 ), + .A2(_15026_), + .B1(_15028_), + .C1(\soc.core.int_rst ), + .Y(_09902_) + ); + sky130_fd_sc_hd__nand2b_2 _30025_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[0] ), + .B(\soc.core.VexRiscv.CsrPlugin_hadException ), + .Y(_15029_) + ); + sky130_fd_sc_hd__o31a_2 _30026_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_hadException ), + .A2(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[0] ), + .A3(_11308_), + .B1(_15029_), + .X(_09903_) + ); + sky130_fd_sc_hd__nand2b_2 _30027_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[1] ), + .B(\soc.core.VexRiscv.CsrPlugin_hadException ), + .Y(_15030_) + ); + sky130_fd_sc_hd__o31a_2 _30028_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_hadException ), + .A2(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[1] ), + .A3(_11308_), + .B1(_15030_), + .X(_09904_) + ); + sky130_fd_sc_hd__a22o_2 _30029_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[2] ), + .A2(\soc.core.VexRiscv.CsrPlugin_hadException ), + .B1(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[2] ), + .B2(_05092_), + .X(_09905_) + ); + sky130_fd_sc_hd__and2_4 _30030_ ( + .A(_00356_), + .B(_00347_), + .X(_09906_) + ); + sky130_fd_sc_hd__nand2_2 _30031_ ( + .A(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ), + .B(_05101_), + .Y(_15031_) + ); + sky130_fd_sc_hd__a41o_2 _30032_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv.CsrPlugin_mie_MSIE ), + .X(_15032_) + ); + sky130_fd_sc_hd__o211a_2 _30033_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[3] ), + .A2(_15031_), + .B1(_15032_), + .C1(_00347_), + .X(_09907_) + ); + sky130_fd_sc_hd__o2111a_2 _30034_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .C1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .D1(_11285_), + .X(_15033_) + ); + sky130_fd_sc_hd__a21o_2 _30035_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_IS_CSR ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15033_), + .X(_09908_) + ); + sky130_fd_sc_hd__o2111a_2 _30036_ ( + .A1(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[1] ), + .A2(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[0] ), + .B1(_11204_), + .C1(_11284_), + .D1(\soc.core.VexRiscv.execute_arbitration_isValid ), + .X(_15034_) + ); + sky130_fd_sc_hd__o2111ai_2 _30037_ ( + .A1(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ), + .A2(_15034_), + .B1(_11311_), + .C1(_00347_), + .D1(_05088_), + .Y(_15035_) + ); + sky130_fd_sc_hd__a21oi_2 _30038_ ( + .A1(_11281_), + .A2(_15034_), + .B1(_15035_), + .Y(_09909_) + ); + sky130_fd_sc_hd__nand3_2 _30039_ ( + .A(_11355_), + .B(_11311_), + .C(_05090_), + .Y(_15036_) + ); + sky130_fd_sc_hd__and4bb_2 _30040_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .B_N(_15036_), + .C(_05087_), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isValid ), + .X(_15037_) + ); + sky130_fd_sc_hd__nor4b_2 _30041_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushPending ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_valid ), + .C(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .D_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .Y(_15038_) + ); + sky130_fd_sc_hd__and4bb_2 _30042_ ( + .A_N(_05098_), + .B_N(_05099_), + .C(_15038_), + .D(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_booted ), + .X(_15039_) + ); + sky130_fd_sc_hd__and4b_2 _30043_ ( + .A_N(_15020_), + .B(_15039_), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_InstructionCache_l342 ), + .D(_12982_), + .X(_15040_) + ); + sky130_fd_sc_hd__o21a_2 _30044_ ( + .A1(_15037_), + .A2(_15040_), + .B1(_00347_), + .X(_09910_) + ); + sky130_fd_sc_hd__nor4b_2 _30045_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fill_valid ), + .B(_05087_), + .C(_15036_), + .D_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isValid ), + .Y(_15041_) + ); + sky130_fd_sc_hd__a211oi_2 _30046_ ( + .A1(_11285_), + .A2(_11305_), + .B1(_15036_), + .C1(_11291_), + .Y(_15042_) + ); + sky130_fd_sc_hd__o21a_2 _30047_ ( + .A1(_15041_), + .A2(_15042_), + .B1(_00347_), + .X(_09911_) + ); + sky130_fd_sc_hd__and3_2 _30048_ ( + .A(_10929_), + .B(_05087_), + .C(_05100_), + .X(_15043_) + ); + sky130_fd_sc_hd__and4_2 _30049_ ( + .A(_10929_), + .B(_05087_), + .C(_05100_), + .D(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ), + .X(_15044_) + ); + sky130_fd_sc_hd__o21a_2 _30050_ ( + .A1(_15040_), + .A2(_15044_), + .B1(_00347_), + .X(_09912_) + ); + sky130_fd_sc_hd__and3_2 _30051_ ( + .A(_11313_), + .B(_01854_), + .C(_01850_), + .X(_15045_) + ); + sky130_fd_sc_hd__and4bb_2 _30052_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[24] ), + .B_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[25] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[26] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ), + .X(_15046_) + ); + sky130_fd_sc_hd__and4_2 _30053_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .C(_15045_), + .D(_15046_), + .X(_15047_) + ); + sky130_fd_sc_hd__and3_2 _30054_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ), + .B(_11285_), + .C(_15047_), + .X(_15048_) + ); + sky130_fd_sc_hd__and4b_2 _30055_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ), + .C(_11285_), + .D(_15047_), + .X(_15049_) + ); + sky130_fd_sc_hd__a21o_2 _30056_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15049_), + .X(_09913_) + ); + sky130_fd_sc_hd__and2b_2 _30057_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_hadException ), + .B(\soc.core.VexRiscv.CsrPlugin_mcause_interrupt ), + .X(_15050_) + ); + sky130_fd_sc_hd__a31o_2 _30058_ ( + .A1(_11302_), + .A2(_11306_), + .A3(_11307_), + .B1(_15050_), + .X(_09914_) + ); + sky130_fd_sc_hd__mux2_1 _30059_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[3] ), + .A1(\soc.core.VexRiscv.CsrPlugin_trapCause[3] ), + .S(_11309_), + .X(_09915_) + ); + sky130_fd_sc_hd__and2_4 _30060_ ( + .A(_00352_), + .B(_00347_), + .X(_09916_) + ); + sky130_fd_sc_hd__and4_2 _30061_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ), + .B(_11285_), + .C(_11321_), + .D(_11338_), + .X(_15051_) + ); + sky130_fd_sc_hd__a22o_2 _30062_ ( + .A1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ), + .B1(_15051_), + .B2(_15045_), + .X(_09917_) + ); + sky130_fd_sc_hd__and4_2 _30063_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .C(_11285_), + .D(_11295_), + .X(_15052_) + ); + sky130_fd_sc_hd__nor4_2 _30064_ ( + .A(\soc.core.VexRiscv.DebugPlugin_disableEbreak ), + .B(\soc.core.VexRiscv.DebugPlugin_haltIt ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .D(_01850_), + .Y(_15053_) + ); + sky130_fd_sc_hd__a32o_2 _30065_ ( + .A1(\soc.core.VexRiscv.DebugPlugin_debugUsed ), + .A2(_15052_), + .A3(_15053_), + .B1(\soc.core.VexRiscv.decode_to_execute_DO_EBREAK ), + .B2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .X(_09918_) + ); + sky130_fd_sc_hd__nand2_2 _30066_ ( + .A(\soc.core.uart_phy_tx_phase[5] ), + .B(\soc.core.rs232phy_rs232phytx_state ), + .Y(_09919_) + ); + sky130_fd_sc_hd__o21ai_2 _30067_ ( + .A1(\soc.core.uart_phy_tx_phase[5] ), + .A2(\soc.core.uart_phy_tx_phase[6] ), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15054_) + ); + sky130_fd_sc_hd__a21oi_2 _30068_ ( + .A1(\soc.core.uart_phy_tx_phase[5] ), + .A2(\soc.core.uart_phy_tx_phase[6] ), + .B1(_15054_), + .Y(_09920_) + ); + sky130_fd_sc_hd__a21o_2 _30069_ ( + .A1(\soc.core.uart_phy_tx_phase[5] ), + .A2(\soc.core.uart_phy_tx_phase[6] ), + .B1(\soc.core.uart_phy_tx_phase[7] ), + .X(_15055_) + ); + sky130_fd_sc_hd__and3b_2 _30070_ ( + .A_N(_13758_), + .B(_15055_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .X(_09921_) + ); + sky130_fd_sc_hd__a31o_2 _30071_ ( + .A1(\soc.core.uart_phy_tx_phase[5] ), + .A2(\soc.core.uart_phy_tx_phase[6] ), + .A3(\soc.core.uart_phy_tx_phase[7] ), + .B1(\soc.core.uart_phy_tx_phase[8] ), + .X(_15056_) + ); + sky130_fd_sc_hd__and3_2 _30072_ ( + .A(_15056_), + .B(\soc.core.rs232phy_rs232phytx_state ), + .C(_13760_), + .X(_09922_) + ); + sky130_fd_sc_hd__o21ai_2 _30073_ ( + .A1(\soc.core.uart_phy_tx_phase[9] ), + .A2(_13759_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15057_) + ); + sky130_fd_sc_hd__a31o_2 _30074_ ( + .A1(\soc.core.uart_phy_tx_phase[8] ), + .A2(\soc.core.uart_phy_tx_phase[9] ), + .A3(_13758_), + .B1(_15057_), + .X(_09923_) + ); + sky130_fd_sc_hd__o21ai_2 _30075_ ( + .A1(\soc.core.uart_phy_tx_phase[10] ), + .A2(_13761_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15058_) + ); + sky130_fd_sc_hd__a21oi_2 _30076_ ( + .A1(\soc.core.uart_phy_tx_phase[10] ), + .A2(_13761_), + .B1(_15058_), + .Y(_09924_) + ); + sky130_fd_sc_hd__o211ai_2 _30077_ ( + .A1(\soc.core.uart_phy_tx_phase[9] ), + .A2(_13759_), + .B1(\soc.core.uart_phy_tx_phase[11] ), + .C1(\soc.core.uart_phy_tx_phase[10] ), + .Y(_15059_) + ); + sky130_fd_sc_hd__nand3b_2 _30078_ ( + .A_N(_13762_), + .B(_15059_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .Y(_09925_) + ); + sky130_fd_sc_hd__a211o_2 _30079_ ( + .A1(_13761_), + .A2(\soc.core.uart_phy_tx_phase[10] ), + .B1(\soc.core.uart_phy_tx_phase[11] ), + .C1(\soc.core.uart_phy_tx_phase[12] ), + .X(_15060_) + ); + sky130_fd_sc_hd__and3b_2 _30080_ ( + .A_N(_13763_), + .B(_15060_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .X(_09926_) + ); + sky130_fd_sc_hd__o21ai_2 _30081_ ( + .A1(\soc.core.uart_phy_tx_phase[13] ), + .A2(_13763_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15061_) + ); + sky130_fd_sc_hd__a21o_2 _30082_ ( + .A1(\soc.core.uart_phy_tx_phase[13] ), + .A2(_13763_), + .B1(_15061_), + .X(_09927_) + ); + sky130_fd_sc_hd__o21ai_2 _30083_ ( + .A1(\soc.core.uart_phy_tx_phase[13] ), + .A2(_13763_), + .B1(\soc.core.uart_phy_tx_phase[14] ), + .Y(_15062_) + ); + sky130_fd_sc_hd__o31a_2 _30084_ ( + .A1(\soc.core.uart_phy_tx_phase[13] ), + .A2(\soc.core.uart_phy_tx_phase[14] ), + .A3(_13763_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .X(_15063_) + ); + sky130_fd_sc_hd__nand2_2 _30085_ ( + .A(_15063_), + .B(_15062_), + .Y(_09928_) + ); + sky130_fd_sc_hd__o31ai_2 _30086_ ( + .A1(\soc.core.uart_phy_tx_phase[13] ), + .A2(\soc.core.uart_phy_tx_phase[14] ), + .A3(_13763_), + .B1(\soc.core.uart_phy_tx_phase[15] ), + .Y(_15064_) + ); + sky130_fd_sc_hd__nand3b_2 _30087_ ( + .A_N(_13764_), + .B(_15064_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .Y(_09929_) + ); + sky130_fd_sc_hd__nand2b_2 _30088_ ( + .A_N(\soc.core.uart_phy_tx_phase[16] ), + .B(_13764_), + .Y(_15065_) + ); + sky130_fd_sc_hd__and3_2 _30089_ ( + .A(_15065_), + .B(\soc.core.rs232phy_rs232phytx_state ), + .C(_13766_), + .X(_09930_) + ); + sky130_fd_sc_hd__o21ai_2 _30090_ ( + .A1(\soc.core.uart_phy_tx_phase[17] ), + .A2(_13765_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15066_) + ); + sky130_fd_sc_hd__a21o_2 _30091_ ( + .A1(\soc.core.uart_phy_tx_phase[17] ), + .A2(_13765_), + .B1(_15066_), + .X(_09931_) + ); + sky130_fd_sc_hd__o21ai_2 _30092_ ( + .A1(\soc.core.uart_phy_tx_phase[17] ), + .A2(_13765_), + .B1(\soc.core.uart_phy_tx_phase[18] ), + .Y(_15067_) + ); + sky130_fd_sc_hd__nand3_2 _30093_ ( + .A(_15067_), + .B(\soc.core.rs232phy_rs232phytx_state ), + .C(_13768_), + .Y(_09932_) + ); + sky130_fd_sc_hd__o21ai_2 _30094_ ( + .A1(\soc.core.uart_phy_tx_phase[19] ), + .A2(_13768_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15068_) + ); + sky130_fd_sc_hd__a21o_2 _30095_ ( + .A1(\soc.core.uart_phy_tx_phase[19] ), + .A2(_13768_), + .B1(_15068_), + .X(_09933_) + ); + sky130_fd_sc_hd__o31a_2 _30096_ ( + .A1(\soc.core.uart_phy_tx_phase[19] ), + .A2(\soc.core.uart_phy_tx_phase[20] ), + .A3(_13768_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .X(_15069_) + ); + sky130_fd_sc_hd__a21bo_2 _30097_ ( + .A1(\soc.core.uart_phy_tx_phase[20] ), + .A2(_13769_), + .B1_N(_15069_), + .X(_09934_) + ); + sky130_fd_sc_hd__o21ai_2 _30098_ ( + .A1(\soc.core.uart_phy_tx_phase[20] ), + .A2(_13769_), + .B1(\soc.core.uart_phy_tx_phase[21] ), + .Y(_15070_) + ); + sky130_fd_sc_hd__nand3b_2 _30099_ ( + .A_N(_13770_), + .B(_15070_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .Y(_09935_) + ); + sky130_fd_sc_hd__nor4_2 _30100_ ( + .A(\soc.core.uart_phy_tx_phase[20] ), + .B(\soc.core.uart_phy_tx_phase[21] ), + .C(\soc.core.uart_phy_tx_phase[22] ), + .D(_13769_), + .Y(_15071_) + ); + sky130_fd_sc_hd__and3b_2 _30101_ ( + .A_N(_15071_), + .B(\soc.core.rs232phy_rs232phytx_state ), + .C(_13771_), + .X(_09936_) + ); + sky130_fd_sc_hd__nand2b_2 _30102_ ( + .A_N(\soc.core.uart_phy_tx_phase[23] ), + .B(_13771_), + .Y(_15072_) + ); + sky130_fd_sc_hd__and3b_2 _30103_ ( + .A_N(_13772_), + .B(_15072_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .X(_09937_) + ); + sky130_fd_sc_hd__o21ai_2 _30104_ ( + .A1(\soc.core.uart_phy_tx_phase[24] ), + .A2(_13772_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15073_) + ); + sky130_fd_sc_hd__a21oi_2 _30105_ ( + .A1(\soc.core.uart_phy_tx_phase[24] ), + .A2(_13772_), + .B1(_15073_), + .Y(_09938_) + ); + sky130_fd_sc_hd__a21o_2 _30106_ ( + .A1(\soc.core.uart_phy_tx_phase[24] ), + .A2(_13772_), + .B1(\soc.core.uart_phy_tx_phase[25] ), + .X(_15074_) + ); + sky130_fd_sc_hd__and3b_2 _30107_ ( + .A_N(_13774_), + .B(_15074_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .X(_09939_) + ); + sky130_fd_sc_hd__o21ai_2 _30108_ ( + .A1(\soc.core.uart_phy_tx_phase[26] ), + .A2(_13774_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15075_) + ); + sky130_fd_sc_hd__a21oi_2 _30109_ ( + .A1(\soc.core.uart_phy_tx_phase[26] ), + .A2(_13774_), + .B1(_15075_), + .Y(_09940_) + ); + sky130_fd_sc_hd__a41o_2 _30110_ ( + .A1(\soc.core.uart_phy_tx_phase[24] ), + .A2(\soc.core.uart_phy_tx_phase[25] ), + .A3(\soc.core.uart_phy_tx_phase[26] ), + .A4(_13772_), + .B1(\soc.core.uart_phy_tx_phase[27] ), + .X(_15076_) + ); + sky130_fd_sc_hd__and3b_2 _30111_ ( + .A_N(_13775_), + .B(_15076_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .X(_09941_) + ); + sky130_fd_sc_hd__o21ai_2 _30112_ ( + .A1(\soc.core.uart_phy_tx_phase[28] ), + .A2(_13775_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .Y(_15077_) + ); + sky130_fd_sc_hd__a21oi_2 _30113_ ( + .A1(\soc.core.uart_phy_tx_phase[28] ), + .A2(_13775_), + .B1(_15077_), + .Y(_09942_) + ); + sky130_fd_sc_hd__a41o_2 _30114_ ( + .A1(\soc.core.uart_phy_tx_phase[26] ), + .A2(\soc.core.uart_phy_tx_phase[27] ), + .A3(\soc.core.uart_phy_tx_phase[28] ), + .A4(_13774_), + .B1(\soc.core.uart_phy_tx_phase[29] ), + .X(_15078_) + ); + sky130_fd_sc_hd__and3_2 _30115_ ( + .A(_13776_), + .B(_15078_), + .C(\soc.core.rs232phy_rs232phytx_state ), + .X(_09943_) + ); + sky130_fd_sc_hd__a31o_2 _30116_ ( + .A1(\soc.core.uart_phy_tx_phase[28] ), + .A2(\soc.core.uart_phy_tx_phase[29] ), + .A3(_13775_), + .B1(\soc.core.uart_phy_tx_phase[30] ), + .X(_15079_) + ); + sky130_fd_sc_hd__and3_2 _30117_ ( + .A(_15079_), + .B(\soc.core.rs232phy_rs232phytx_state ), + .C(_13777_), + .X(_09944_) + ); + sky130_fd_sc_hd__a41o_2 _30118_ ( + .A1(\soc.core.uart_phy_tx_phase[28] ), + .A2(\soc.core.uart_phy_tx_phase[29] ), + .A3(\soc.core.uart_phy_tx_phase[30] ), + .A4(_13775_), + .B1(\soc.core.uart_phy_tx_phase[31] ), + .X(_15080_) + ); + sky130_fd_sc_hd__o211a_2 _30119_ ( + .A1(_10917_), + .A2(_13777_), + .B1(\soc.core.rs232phy_rs232phytx_state ), + .C1(_15080_), + .X(_09945_) + ); + sky130_fd_sc_hd__mux2_1 _30120_ ( + .A0(\soc.core.memdat_3[0] ), + .A1(_00131_), + .S(_13835_), + .X(_09946_) + ); + sky130_fd_sc_hd__mux2_1 _30121_ ( + .A0(\soc.core.memdat_3[1] ), + .A1(_00132_), + .S(_13835_), + .X(_09947_) + ); + sky130_fd_sc_hd__mux2_1 _30122_ ( + .A0(\soc.core.memdat_3[2] ), + .A1(_00133_), + .S(_13835_), + .X(_09948_) + ); + sky130_fd_sc_hd__mux2_1 _30123_ ( + .A0(\soc.core.memdat_3[3] ), + .A1(_00134_), + .S(_13835_), + .X(_09949_) + ); + sky130_fd_sc_hd__mux2_1 _30124_ ( + .A0(\soc.core.memdat_3[4] ), + .A1(_00135_), + .S(_13835_), + .X(_09950_) + ); + sky130_fd_sc_hd__mux2_1 _30125_ ( + .A0(\soc.core.memdat_3[5] ), + .A1(_00136_), + .S(_13835_), + .X(_09951_) + ); + sky130_fd_sc_hd__mux2_1 _30126_ ( + .A0(\soc.core.memdat_3[6] ), + .A1(_00137_), + .S(_13835_), + .X(_09952_) + ); + sky130_fd_sc_hd__mux2_1 _30127_ ( + .A0(\soc.core.memdat_3[7] ), + .A1(_00138_), + .S(_13835_), + .X(_09953_) + ); + sky130_fd_sc_hd__mux2_1 _30128_ ( + .A0(\soc.core.storage[15][0] ), + .A1(_12439_), + .S(_13826_), + .X(_09954_) + ); + sky130_fd_sc_hd__mux2_1 _30129_ ( + .A0(\soc.core.storage[15][1] ), + .A1(_12441_), + .S(_13826_), + .X(_09955_) + ); + sky130_fd_sc_hd__mux2_1 _30130_ ( + .A0(\soc.core.storage[15][2] ), + .A1(_12443_), + .S(_13826_), + .X(_09956_) + ); + sky130_fd_sc_hd__mux2_1 _30131_ ( + .A0(\soc.core.storage[15][3] ), + .A1(_12445_), + .S(_13826_), + .X(_09957_) + ); + sky130_fd_sc_hd__mux2_1 _30132_ ( + .A0(\soc.core.storage[15][4] ), + .A1(_12447_), + .S(_13826_), + .X(_09958_) + ); + sky130_fd_sc_hd__mux2_1 _30133_ ( + .A0(\soc.core.storage[15][5] ), + .A1(_12449_), + .S(_13826_), + .X(_09959_) + ); + sky130_fd_sc_hd__mux2_1 _30134_ ( + .A0(\soc.core.storage[15][6] ), + .A1(_12451_), + .S(_13826_), + .X(_09960_) + ); + sky130_fd_sc_hd__mux2_1 _30135_ ( + .A0(\soc.core.storage[15][7] ), + .A1(_12453_), + .S(_13826_), + .X(_09961_) + ); + sky130_fd_sc_hd__and4b_2 _30136_ ( + .A_N(\soc.core.uart_tx_fifo_produce[0] ), + .B(_12437_), + .C(_13825_), + .D(\soc.core.uart_tx_fifo_produce[1] ), + .X(_15081_) + ); + sky130_fd_sc_hd__mux2_1 _30137_ ( + .A0(\soc.core.storage[14][0] ), + .A1(_12439_), + .S(_15081_), + .X(_09962_) + ); + sky130_fd_sc_hd__mux2_1 _30138_ ( + .A0(\soc.core.storage[14][1] ), + .A1(_12441_), + .S(_15081_), + .X(_09963_) + ); + sky130_fd_sc_hd__mux2_1 _30139_ ( + .A0(\soc.core.storage[14][2] ), + .A1(_12443_), + .S(_15081_), + .X(_09964_) + ); + sky130_fd_sc_hd__mux2_1 _30140_ ( + .A0(\soc.core.storage[14][3] ), + .A1(_12445_), + .S(_15081_), + .X(_09965_) + ); + sky130_fd_sc_hd__mux2_1 _30141_ ( + .A0(\soc.core.storage[14][4] ), + .A1(_12447_), + .S(_15081_), + .X(_09966_) + ); + sky130_fd_sc_hd__mux2_1 _30142_ ( + .A0(\soc.core.storage[14][5] ), + .A1(_12449_), + .S(_15081_), + .X(_09967_) + ); + sky130_fd_sc_hd__mux2_1 _30143_ ( + .A0(\soc.core.storage[14][6] ), + .A1(_12451_), + .S(_15081_), + .X(_09968_) + ); + sky130_fd_sc_hd__mux2_1 _30144_ ( + .A0(\soc.core.storage[14][7] ), + .A1(_12453_), + .S(_15081_), + .X(_09969_) + ); + sky130_fd_sc_hd__mux2_1 _30145_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ), + .A1(_11299_), + .S(_11285_), + .X(_09970_) + ); + sky130_fd_sc_hd__mux2_1 _30146_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .S(_11285_), + .X(_15082_) + ); + sky130_fd_sc_hd__a31o_2 _30147_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .A2(_11285_), + .A3(_11348_), + .B1(_15082_), + .X(_09971_) + ); + sky130_fd_sc_hd__nor2_2 _30148_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .Y(_15083_) + ); + sky130_fd_sc_hd__a22o_2 _30149_ ( + .A1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .A2(\soc.core.VexRiscv.decode_to_execute_MEMORY_ENABLE ), + .B1(_15083_), + .B2(_11294_), + .X(_09972_) + ); + sky130_fd_sc_hd__and4b_2 _30150_ ( + .A_N(\soc.core.uart_tx_fifo_produce[1] ), + .B(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12437_), + .D(_13825_), + .X(_15084_) + ); + sky130_fd_sc_hd__mux2_1 _30151_ ( + .A0(\soc.core.storage[13][0] ), + .A1(_12439_), + .S(_15084_), + .X(_09973_) + ); + sky130_fd_sc_hd__mux2_1 _30152_ ( + .A0(\soc.core.storage[13][1] ), + .A1(_12441_), + .S(_15084_), + .X(_09974_) + ); + sky130_fd_sc_hd__mux2_1 _30153_ ( + .A0(\soc.core.storage[13][2] ), + .A1(_12443_), + .S(_15084_), + .X(_09975_) + ); + sky130_fd_sc_hd__mux2_1 _30154_ ( + .A0(\soc.core.storage[13][3] ), + .A1(_12445_), + .S(_15084_), + .X(_09976_) + ); + sky130_fd_sc_hd__mux2_1 _30155_ ( + .A0(\soc.core.storage[13][4] ), + .A1(_12447_), + .S(_15084_), + .X(_09977_) + ); + sky130_fd_sc_hd__mux2_1 _30156_ ( + .A0(\soc.core.storage[13][5] ), + .A1(_12449_), + .S(_15084_), + .X(_09978_) + ); + sky130_fd_sc_hd__mux2_1 _30157_ ( + .A0(\soc.core.storage[13][6] ), + .A1(_12451_), + .S(_15084_), + .X(_09979_) + ); + sky130_fd_sc_hd__mux2_1 _30158_ ( + .A0(\soc.core.storage[13][7] ), + .A1(_12453_), + .S(_15084_), + .X(_09980_) + ); + sky130_fd_sc_hd__and4bb_2 _30159_ ( + .A_N(\soc.core.uart_tx_fifo_produce[1] ), + .B_N(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12437_), + .D(_13825_), + .X(_15085_) + ); + sky130_fd_sc_hd__mux2_1 _30160_ ( + .A0(\soc.core.storage[12][0] ), + .A1(_12439_), + .S(_15085_), + .X(_09981_) + ); + sky130_fd_sc_hd__mux2_1 _30161_ ( + .A0(\soc.core.storage[12][1] ), + .A1(_12441_), + .S(_15085_), + .X(_09982_) + ); + sky130_fd_sc_hd__mux2_1 _30162_ ( + .A0(\soc.core.storage[12][2] ), + .A1(_12443_), + .S(_15085_), + .X(_09983_) + ); + sky130_fd_sc_hd__mux2_1 _30163_ ( + .A0(\soc.core.storage[12][3] ), + .A1(_12445_), + .S(_15085_), + .X(_09984_) + ); + sky130_fd_sc_hd__mux2_1 _30164_ ( + .A0(\soc.core.storage[12][4] ), + .A1(_12447_), + .S(_15085_), + .X(_09985_) + ); + sky130_fd_sc_hd__mux2_1 _30165_ ( + .A0(\soc.core.storage[12][5] ), + .A1(_12449_), + .S(_15085_), + .X(_09986_) + ); + sky130_fd_sc_hd__mux2_1 _30166_ ( + .A0(\soc.core.storage[12][6] ), + .A1(_12451_), + .S(_15085_), + .X(_09987_) + ); + sky130_fd_sc_hd__mux2_1 _30167_ ( + .A0(\soc.core.storage[12][7] ), + .A1(_12453_), + .S(_15085_), + .X(_09988_) + ); + sky130_fd_sc_hd__and2b_2 _30168_ ( + .A_N(\soc.core.uart_tx_fifo_produce[2] ), + .B(\soc.core.uart_tx_fifo_produce[3] ), + .X(_15086_) + ); + sky130_fd_sc_hd__nand2_2 _30169_ ( + .A(_13822_), + .B(_15086_), + .Y(_15087_) + ); + sky130_fd_sc_hd__mux2_1 _30170_ ( + .A0(_12439_), + .A1(\soc.core.storage[11][0] ), + .S(_15087_), + .X(_09989_) + ); + sky130_fd_sc_hd__mux2_1 _30171_ ( + .A0(_12441_), + .A1(\soc.core.storage[11][1] ), + .S(_15087_), + .X(_09990_) + ); + sky130_fd_sc_hd__mux2_1 _30172_ ( + .A0(_12443_), + .A1(\soc.core.storage[11][2] ), + .S(_15087_), + .X(_09991_) + ); + sky130_fd_sc_hd__mux2_1 _30173_ ( + .A0(_12445_), + .A1(\soc.core.storage[11][3] ), + .S(_15087_), + .X(_09992_) + ); + sky130_fd_sc_hd__mux2_1 _30174_ ( + .A0(_12447_), + .A1(\soc.core.storage[11][4] ), + .S(_15087_), + .X(_09993_) + ); + sky130_fd_sc_hd__mux2_1 _30175_ ( + .A0(_12449_), + .A1(\soc.core.storage[11][5] ), + .S(_15087_), + .X(_09994_) + ); + sky130_fd_sc_hd__mux2_1 _30176_ ( + .A0(_12451_), + .A1(\soc.core.storage[11][6] ), + .S(_15087_), + .X(_09995_) + ); + sky130_fd_sc_hd__mux2_1 _30177_ ( + .A0(_12453_), + .A1(\soc.core.storage[11][7] ), + .S(_15087_), + .X(_09996_) + ); + sky130_fd_sc_hd__mux2_1 _30178_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[0] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] ), + .S(_11285_), + .X(_09997_) + ); + sky130_fd_sc_hd__mux2_1 _30179_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[1] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[1] ), + .S(_11285_), + .X(_09998_) + ); + sky130_fd_sc_hd__mux2_1 _30180_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .S(_11285_), + .X(_09999_) + ); + sky130_fd_sc_hd__mux2_1 _30181_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .S(_11285_), + .X(_10000_) + ); + sky130_fd_sc_hd__mux2_1 _30182_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .S(_11285_), + .X(_10001_) + ); + sky130_fd_sc_hd__mux2_1 _30183_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .S(_11285_), + .X(_10002_) + ); + sky130_fd_sc_hd__mux2_1 _30184_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .S(_11285_), + .X(_10003_) + ); + sky130_fd_sc_hd__mux2_1 _30185_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[7] ), + .S(_11285_), + .X(_10004_) + ); + sky130_fd_sc_hd__mux2_1 _30186_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[8] ), + .S(_11285_), + .X(_10005_) + ); + sky130_fd_sc_hd__mux2_1 _30187_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[9] ), + .S(_11285_), + .X(_10006_) + ); + sky130_fd_sc_hd__mux2_1 _30188_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[10] ), + .S(_11285_), + .X(_10007_) + ); + sky130_fd_sc_hd__mux2_1 _30189_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[11] ), + .S(_11285_), + .X(_10008_) + ); + sky130_fd_sc_hd__mux2_1 _30190_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .S(_11285_), + .X(_10009_) + ); + sky130_fd_sc_hd__nand2_2 _30191_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .B(_11285_), + .Y(_15088_) + ); + sky130_fd_sc_hd__a21bo_2 _30192_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1_N(_15088_), + .X(_10010_) + ); + sky130_fd_sc_hd__mux2_1 _30193_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .S(_11285_), + .X(_10011_) + ); + sky130_fd_sc_hd__mux2_1 _30194_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ), + .S(_11285_), + .X(_10012_) + ); + sky130_fd_sc_hd__mux2_1 _30195_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[16] ), + .S(_11285_), + .X(_10013_) + ); + sky130_fd_sc_hd__mux2_1 _30196_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ), + .S(_11285_), + .X(_10014_) + ); + sky130_fd_sc_hd__mux2_1 _30197_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ), + .S(_11285_), + .X(_10015_) + ); + sky130_fd_sc_hd__mux2_1 _30198_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[19] ), + .S(_11285_), + .X(_10016_) + ); + sky130_fd_sc_hd__mux2_1 _30199_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .S(_11285_), + .X(_10017_) + ); + sky130_fd_sc_hd__mux2_1 _30200_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .S(_11285_), + .X(_10018_) + ); + sky130_fd_sc_hd__mux2_1 _30201_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .S(_11285_), + .X(_10019_) + ); + sky130_fd_sc_hd__mux2_1 _30202_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ), + .S(_11285_), + .X(_10020_) + ); + sky130_fd_sc_hd__mux2_1 _30203_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[24] ), + .S(_11285_), + .X(_10021_) + ); + sky130_fd_sc_hd__mux2_1 _30204_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[25] ), + .S(_11285_), + .X(_10022_) + ); + sky130_fd_sc_hd__mux2_1 _30205_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[26] ), + .S(_11285_), + .X(_10023_) + ); + sky130_fd_sc_hd__mux2_1 _30206_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ), + .S(_11285_), + .X(_10024_) + ); + sky130_fd_sc_hd__mux2_1 _30207_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .S(_11285_), + .X(_10025_) + ); + sky130_fd_sc_hd__mux2_1 _30208_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ), + .S(_11285_), + .X(_10026_) + ); + sky130_fd_sc_hd__mux2_1 _30209_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .S(_11285_), + .X(_10027_) + ); + sky130_fd_sc_hd__mux2_1 _30210_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ), + .S(_11285_), + .X(_10028_) + ); + sky130_fd_sc_hd__and4b_2 _30211_ ( + .A_N(\soc.core.uart_tx_fifo_produce[0] ), + .B(_12437_), + .C(_15086_), + .D(\soc.core.uart_tx_fifo_produce[1] ), + .X(_15089_) + ); + sky130_fd_sc_hd__mux2_1 _30212_ ( + .A0(\soc.core.storage[10][0] ), + .A1(_12439_), + .S(_15089_), + .X(_10029_) + ); + sky130_fd_sc_hd__mux2_1 _30213_ ( + .A0(\soc.core.storage[10][1] ), + .A1(_12441_), + .S(_15089_), + .X(_10030_) + ); + sky130_fd_sc_hd__mux2_1 _30214_ ( + .A0(\soc.core.storage[10][2] ), + .A1(_12443_), + .S(_15089_), + .X(_10031_) + ); + sky130_fd_sc_hd__mux2_1 _30215_ ( + .A0(\soc.core.storage[10][3] ), + .A1(_12445_), + .S(_15089_), + .X(_10032_) + ); + sky130_fd_sc_hd__mux2_1 _30216_ ( + .A0(\soc.core.storage[10][4] ), + .A1(_12447_), + .S(_15089_), + .X(_10033_) + ); + sky130_fd_sc_hd__mux2_1 _30217_ ( + .A0(\soc.core.storage[10][5] ), + .A1(_12449_), + .S(_15089_), + .X(_10034_) + ); + sky130_fd_sc_hd__mux2_1 _30218_ ( + .A0(\soc.core.storage[10][6] ), + .A1(_12451_), + .S(_15089_), + .X(_10035_) + ); + sky130_fd_sc_hd__mux2_1 _30219_ ( + .A0(\soc.core.storage[10][7] ), + .A1(_12453_), + .S(_15089_), + .X(_10036_) + ); + sky130_fd_sc_hd__and4b_2 _30220_ ( + .A_N(\soc.core.uart_tx_fifo_produce[1] ), + .B(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12437_), + .D(_15086_), + .X(_15090_) + ); + sky130_fd_sc_hd__mux2_1 _30221_ ( + .A0(\soc.core.storage[9][0] ), + .A1(_12439_), + .S(_15090_), + .X(_10037_) + ); + sky130_fd_sc_hd__mux2_1 _30222_ ( + .A0(\soc.core.storage[9][1] ), + .A1(_12441_), + .S(_15090_), + .X(_10038_) + ); + sky130_fd_sc_hd__mux2_1 _30223_ ( + .A0(\soc.core.storage[9][2] ), + .A1(_12443_), + .S(_15090_), + .X(_10039_) + ); + sky130_fd_sc_hd__mux2_1 _30224_ ( + .A0(\soc.core.storage[9][3] ), + .A1(_12445_), + .S(_15090_), + .X(_10040_) + ); + sky130_fd_sc_hd__mux2_1 _30225_ ( + .A0(\soc.core.storage[9][4] ), + .A1(_12447_), + .S(_15090_), + .X(_10041_) + ); + sky130_fd_sc_hd__mux2_1 _30226_ ( + .A0(\soc.core.storage[9][5] ), + .A1(_12449_), + .S(_15090_), + .X(_10042_) + ); + sky130_fd_sc_hd__mux2_1 _30227_ ( + .A0(\soc.core.storage[9][6] ), + .A1(_12451_), + .S(_15090_), + .X(_10043_) + ); + sky130_fd_sc_hd__mux2_1 _30228_ ( + .A0(\soc.core.storage[9][7] ), + .A1(_12453_), + .S(_15090_), + .X(_10044_) + ); + sky130_fd_sc_hd__mux2_1 _30229_ ( + .A0(_00252_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[0] ), + .S(_12477_), + .X(_10045_) + ); + sky130_fd_sc_hd__mux2_1 _30230_ ( + .A0(_00263_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[1] ), + .S(_12477_), + .X(_10046_) + ); + sky130_fd_sc_hd__mux2_1 _30231_ ( + .A0(_00274_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[2] ), + .S(_12477_), + .X(_10047_) + ); + sky130_fd_sc_hd__mux2_1 _30232_ ( + .A0(_00277_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[3] ), + .S(_12477_), + .X(_10048_) + ); + sky130_fd_sc_hd__mux2_1 _30233_ ( + .A0(_00278_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[4] ), + .S(_12477_), + .X(_10049_) + ); + sky130_fd_sc_hd__mux2_1 _30234_ ( + .A0(_00279_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[5] ), + .S(_12477_), + .X(_10050_) + ); + sky130_fd_sc_hd__mux2_1 _30235_ ( + .A0(_00280_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[6] ), + .S(_12477_), + .X(_10051_) + ); + sky130_fd_sc_hd__mux2_1 _30236_ ( + .A0(_00281_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[7] ), + .S(_12477_), + .X(_10052_) + ); + sky130_fd_sc_hd__mux2_1 _30237_ ( + .A0(_00282_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[8] ), + .S(_12477_), + .X(_10053_) + ); + sky130_fd_sc_hd__mux2_1 _30238_ ( + .A0(_00283_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[9] ), + .S(_12477_), + .X(_10054_) + ); + sky130_fd_sc_hd__mux2_1 _30239_ ( + .A0(_00253_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[10] ), + .S(_12477_), + .X(_10055_) + ); + sky130_fd_sc_hd__mux2_1 _30240_ ( + .A0(_00254_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[11] ), + .S(_12477_), + .X(_10056_) + ); + sky130_fd_sc_hd__mux2_1 _30241_ ( + .A0(_00255_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[12] ), + .S(_12477_), + .X(_10057_) + ); + sky130_fd_sc_hd__mux2_1 _30242_ ( + .A0(_00256_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[13] ), + .S(_12477_), + .X(_10058_) + ); + sky130_fd_sc_hd__mux2_1 _30243_ ( + .A0(_00257_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[14] ), + .S(_12477_), + .X(_10059_) + ); + sky130_fd_sc_hd__mux2_1 _30244_ ( + .A0(_00258_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[15] ), + .S(_12477_), + .X(_10060_) + ); + sky130_fd_sc_hd__mux2_1 _30245_ ( + .A0(_00259_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[16] ), + .S(_12477_), + .X(_10061_) + ); + sky130_fd_sc_hd__mux2_1 _30246_ ( + .A0(_00260_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[17] ), + .S(_12477_), + .X(_10062_) + ); + sky130_fd_sc_hd__mux2_1 _30247_ ( + .A0(_00261_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[18] ), + .S(_12477_), + .X(_10063_) + ); + sky130_fd_sc_hd__mux2_1 _30248_ ( + .A0(_00262_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[19] ), + .S(_12477_), + .X(_10064_) + ); + sky130_fd_sc_hd__mux2_1 _30249_ ( + .A0(_00264_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[20] ), + .S(_12477_), + .X(_10065_) + ); + sky130_fd_sc_hd__mux2_1 _30250_ ( + .A0(_00265_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[21] ), + .S(_12477_), + .X(_10066_) + ); + sky130_fd_sc_hd__mux2_1 _30251_ ( + .A0(_00266_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[22] ), + .S(_12477_), + .X(_10067_) + ); + sky130_fd_sc_hd__mux2_1 _30252_ ( + .A0(_00267_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[23] ), + .S(_12477_), + .X(_10068_) + ); + sky130_fd_sc_hd__mux2_1 _30253_ ( + .A0(_00268_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[24] ), + .S(_12477_), + .X(_10069_) + ); + sky130_fd_sc_hd__mux2_1 _30254_ ( + .A0(_00269_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[25] ), + .S(_12477_), + .X(_10070_) + ); + sky130_fd_sc_hd__mux2_1 _30255_ ( + .A0(_00270_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[26] ), + .S(_12477_), + .X(_10071_) + ); + sky130_fd_sc_hd__mux2_1 _30256_ ( + .A0(_00271_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[27] ), + .S(_12477_), + .X(_10072_) + ); + sky130_fd_sc_hd__mux2_1 _30257_ ( + .A0(_00272_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[28] ), + .S(_12477_), + .X(_10073_) + ); + sky130_fd_sc_hd__mux2_1 _30258_ ( + .A0(_00273_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[29] ), + .S(_12477_), + .X(_10074_) + ); + sky130_fd_sc_hd__mux2_1 _30259_ ( + .A0(_00275_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[30] ), + .S(_12477_), + .X(_10075_) + ); + sky130_fd_sc_hd__mux2_1 _30260_ ( + .A0(_00276_), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[31] ), + .S(_12477_), + .X(_10076_) + ); + sky130_fd_sc_hd__a21boi_2 _30261_ ( + .A1(_12464_), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .B1_N(_04839_), + .Y(_15091_) + ); + sky130_fd_sc_hd__nand2b_2 _30262_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .B(_04839_), + .Y(_15092_) + ); + sky130_fd_sc_hd__o21a_2 _30263_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][0] ), + .A2(_15091_), + .B1(_15092_), + .X(_10077_) + ); + sky130_fd_sc_hd__mux2_1 _30264_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[6] ), + .S(_15091_), + .X(_10078_) + ); + sky130_fd_sc_hd__mux2_1 _30265_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[7] ), + .S(_15091_), + .X(_10079_) + ); + sky130_fd_sc_hd__mux2_1 _30266_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[8] ), + .S(_15091_), + .X(_10080_) + ); + sky130_fd_sc_hd__mux2_1 _30267_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[9] ), + .S(_15091_), + .X(_10081_) + ); + sky130_fd_sc_hd__mux2_1 _30268_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[10] ), + .S(_15091_), + .X(_10082_) + ); + sky130_fd_sc_hd__mux2_1 _30269_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[11] ), + .S(_15091_), + .X(_10083_) + ); + sky130_fd_sc_hd__mux2_1 _30270_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[12] ), + .S(_15091_), + .X(_10084_) + ); + sky130_fd_sc_hd__mux2_1 _30271_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[13] ), + .S(_15091_), + .X(_10085_) + ); + sky130_fd_sc_hd__mux2_1 _30272_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[14] ), + .S(_15091_), + .X(_10086_) + ); + sky130_fd_sc_hd__mux2_1 _30273_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[15] ), + .S(_15091_), + .X(_10087_) + ); + sky130_fd_sc_hd__mux2_1 _30274_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[16] ), + .S(_15091_), + .X(_10088_) + ); + sky130_fd_sc_hd__mux2_1 _30275_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[17] ), + .S(_15091_), + .X(_10089_) + ); + sky130_fd_sc_hd__mux2_1 _30276_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[18] ), + .S(_15091_), + .X(_10090_) + ); + sky130_fd_sc_hd__mux2_1 _30277_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[19] ), + .S(_15091_), + .X(_10091_) + ); + sky130_fd_sc_hd__mux2_1 _30278_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[20] ), + .S(_15091_), + .X(_10092_) + ); + sky130_fd_sc_hd__mux2_1 _30279_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[21] ), + .S(_15091_), + .X(_10093_) + ); + sky130_fd_sc_hd__mux2_1 _30280_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[22] ), + .S(_15091_), + .X(_10094_) + ); + sky130_fd_sc_hd__mux2_1 _30281_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[23] ), + .S(_15091_), + .X(_10095_) + ); + sky130_fd_sc_hd__mux2_1 _30282_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[24] ), + .S(_15091_), + .X(_10096_) + ); + sky130_fd_sc_hd__mux2_1 _30283_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[25] ), + .S(_15091_), + .X(_10097_) + ); + sky130_fd_sc_hd__mux2_1 _30284_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[26] ), + .S(_15091_), + .X(_10098_) + ); + sky130_fd_sc_hd__mux2_1 _30285_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[27] ), + .S(_15091_), + .X(_10099_) + ); + sky130_fd_sc_hd__mux2_1 _30286_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[28] ), + .S(_15091_), + .X(_10100_) + ); + sky130_fd_sc_hd__mux2_1 _30287_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[29] ), + .S(_15091_), + .X(_10101_) + ); + sky130_fd_sc_hd__mux2_1 _30288_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[30] ), + .S(_15091_), + .X(_10102_) + ); + sky130_fd_sc_hd__mux2_1 _30289_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[31] ), + .S(_15091_), + .X(_10103_) + ); + sky130_fd_sc_hd__and4bb_2 _30290_ ( + .A_N(\soc.core.uart_tx_fifo_produce[1] ), + .B_N(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12437_), + .D(_15086_), + .X(_15093_) + ); + sky130_fd_sc_hd__mux2_1 _30291_ ( + .A0(\soc.core.storage[8][0] ), + .A1(_12439_), + .S(_15093_), + .X(_10104_) + ); + sky130_fd_sc_hd__mux2_1 _30292_ ( + .A0(\soc.core.storage[8][1] ), + .A1(_12441_), + .S(_15093_), + .X(_10105_) + ); + sky130_fd_sc_hd__mux2_1 _30293_ ( + .A0(\soc.core.storage[8][2] ), + .A1(_12443_), + .S(_15093_), + .X(_10106_) + ); + sky130_fd_sc_hd__mux2_1 _30294_ ( + .A0(\soc.core.storage[8][3] ), + .A1(_12445_), + .S(_15093_), + .X(_10107_) + ); + sky130_fd_sc_hd__mux2_1 _30295_ ( + .A0(\soc.core.storage[8][4] ), + .A1(_12447_), + .S(_15093_), + .X(_10108_) + ); + sky130_fd_sc_hd__mux2_1 _30296_ ( + .A0(\soc.core.storage[8][5] ), + .A1(_12449_), + .S(_15093_), + .X(_10109_) + ); + sky130_fd_sc_hd__mux2_1 _30297_ ( + .A0(\soc.core.storage[8][6] ), + .A1(_12451_), + .S(_15093_), + .X(_10110_) + ); + sky130_fd_sc_hd__mux2_1 _30298_ ( + .A0(\soc.core.storage[8][7] ), + .A1(_12453_), + .S(_15093_), + .X(_10111_) + ); + sky130_fd_sc_hd__and2b_2 _30299_ ( + .A_N(\soc.core.uart_tx_fifo_produce[3] ), + .B(\soc.core.uart_tx_fifo_produce[2] ), + .X(_15094_) + ); + sky130_fd_sc_hd__nand2_2 _30300_ ( + .A(_13822_), + .B(_15094_), + .Y(_15095_) + ); + sky130_fd_sc_hd__mux2_1 _30301_ ( + .A0(_12439_), + .A1(\soc.core.storage[7][0] ), + .S(_15095_), + .X(_10112_) + ); + sky130_fd_sc_hd__mux2_1 _30302_ ( + .A0(_12441_), + .A1(\soc.core.storage[7][1] ), + .S(_15095_), + .X(_10113_) + ); + sky130_fd_sc_hd__mux2_1 _30303_ ( + .A0(_12443_), + .A1(\soc.core.storage[7][2] ), + .S(_15095_), + .X(_10114_) + ); + sky130_fd_sc_hd__mux2_1 _30304_ ( + .A0(_12445_), + .A1(\soc.core.storage[7][3] ), + .S(_15095_), + .X(_10115_) + ); + sky130_fd_sc_hd__mux2_1 _30305_ ( + .A0(_12447_), + .A1(\soc.core.storage[7][4] ), + .S(_15095_), + .X(_10116_) + ); + sky130_fd_sc_hd__mux2_1 _30306_ ( + .A0(_12449_), + .A1(\soc.core.storage[7][5] ), + .S(_15095_), + .X(_10117_) + ); + sky130_fd_sc_hd__mux2_1 _30307_ ( + .A0(_12451_), + .A1(\soc.core.storage[7][6] ), + .S(_15095_), + .X(_10118_) + ); + sky130_fd_sc_hd__mux2_1 _30308_ ( + .A0(_12453_), + .A1(\soc.core.storage[7][7] ), + .S(_15095_), + .X(_10119_) + ); + sky130_fd_sc_hd__and4b_2 _30309_ ( + .A_N(\soc.core.uart_tx_fifo_produce[0] ), + .B(_12437_), + .C(_15094_), + .D(\soc.core.uart_tx_fifo_produce[1] ), + .X(_15096_) + ); + sky130_fd_sc_hd__mux2_1 _30310_ ( + .A0(\soc.core.storage[6][0] ), + .A1(_12439_), + .S(_15096_), + .X(_10120_) + ); + sky130_fd_sc_hd__mux2_1 _30311_ ( + .A0(\soc.core.storage[6][1] ), + .A1(_12441_), + .S(_15096_), + .X(_10121_) + ); + sky130_fd_sc_hd__mux2_1 _30312_ ( + .A0(\soc.core.storage[6][2] ), + .A1(_12443_), + .S(_15096_), + .X(_10122_) + ); + sky130_fd_sc_hd__mux2_1 _30313_ ( + .A0(\soc.core.storage[6][3] ), + .A1(_12445_), + .S(_15096_), + .X(_10123_) + ); + sky130_fd_sc_hd__mux2_1 _30314_ ( + .A0(\soc.core.storage[6][4] ), + .A1(_12447_), + .S(_15096_), + .X(_10124_) + ); + sky130_fd_sc_hd__mux2_1 _30315_ ( + .A0(\soc.core.storage[6][5] ), + .A1(_12449_), + .S(_15096_), + .X(_10125_) + ); + sky130_fd_sc_hd__mux2_1 _30316_ ( + .A0(\soc.core.storage[6][6] ), + .A1(_12451_), + .S(_15096_), + .X(_10126_) + ); + sky130_fd_sc_hd__mux2_1 _30317_ ( + .A0(\soc.core.storage[6][7] ), + .A1(_12453_), + .S(_15096_), + .X(_10127_) + ); + sky130_fd_sc_hd__and4b_2 _30318_ ( + .A_N(\soc.core.uart_tx_fifo_produce[1] ), + .B(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12437_), + .D(_15094_), + .X(_15097_) + ); + sky130_fd_sc_hd__mux2_1 _30319_ ( + .A0(\soc.core.storage[5][0] ), + .A1(_12439_), + .S(_15097_), + .X(_10128_) + ); + sky130_fd_sc_hd__mux2_1 _30320_ ( + .A0(\soc.core.storage[5][1] ), + .A1(_12441_), + .S(_15097_), + .X(_10129_) + ); + sky130_fd_sc_hd__mux2_1 _30321_ ( + .A0(\soc.core.storage[5][2] ), + .A1(_12443_), + .S(_15097_), + .X(_10130_) + ); + sky130_fd_sc_hd__mux2_1 _30322_ ( + .A0(\soc.core.storage[5][3] ), + .A1(_12445_), + .S(_15097_), + .X(_10131_) + ); + sky130_fd_sc_hd__mux2_1 _30323_ ( + .A0(\soc.core.storage[5][4] ), + .A1(_12447_), + .S(_15097_), + .X(_10132_) + ); + sky130_fd_sc_hd__mux2_1 _30324_ ( + .A0(\soc.core.storage[5][5] ), + .A1(_12449_), + .S(_15097_), + .X(_10133_) + ); + sky130_fd_sc_hd__mux2_1 _30325_ ( + .A0(\soc.core.storage[5][6] ), + .A1(_12451_), + .S(_15097_), + .X(_10134_) + ); + sky130_fd_sc_hd__mux2_1 _30326_ ( + .A0(\soc.core.storage[5][7] ), + .A1(_12453_), + .S(_15097_), + .X(_10135_) + ); + sky130_fd_sc_hd__and4bb_2 _30327_ ( + .A_N(\soc.core.uart_tx_fifo_produce[1] ), + .B_N(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12437_), + .D(_15094_), + .X(_15098_) + ); + sky130_fd_sc_hd__mux2_1 _30328_ ( + .A0(\soc.core.storage[4][0] ), + .A1(_12439_), + .S(_15098_), + .X(_10136_) + ); + sky130_fd_sc_hd__mux2_1 _30329_ ( + .A0(\soc.core.storage[4][1] ), + .A1(_12441_), + .S(_15098_), + .X(_10137_) + ); + sky130_fd_sc_hd__mux2_1 _30330_ ( + .A0(\soc.core.storage[4][2] ), + .A1(_12443_), + .S(_15098_), + .X(_10138_) + ); + sky130_fd_sc_hd__mux2_1 _30331_ ( + .A0(\soc.core.storage[4][3] ), + .A1(_12445_), + .S(_15098_), + .X(_10139_) + ); + sky130_fd_sc_hd__mux2_1 _30332_ ( + .A0(\soc.core.storage[4][4] ), + .A1(_12447_), + .S(_15098_), + .X(_10140_) + ); + sky130_fd_sc_hd__mux2_1 _30333_ ( + .A0(\soc.core.storage[4][5] ), + .A1(_12449_), + .S(_15098_), + .X(_10141_) + ); + sky130_fd_sc_hd__mux2_1 _30334_ ( + .A0(\soc.core.storage[4][6] ), + .A1(_12451_), + .S(_15098_), + .X(_10142_) + ); + sky130_fd_sc_hd__mux2_1 _30335_ ( + .A0(\soc.core.storage[4][7] ), + .A1(_12453_), + .S(_15098_), + .X(_10143_) + ); + sky130_fd_sc_hd__nand2_2 _30336_ ( + .A(_12426_), + .B(_13822_), + .Y(_15099_) + ); + sky130_fd_sc_hd__mux2_1 _30337_ ( + .A0(_12439_), + .A1(\soc.core.storage[3][0] ), + .S(_15099_), + .X(_10144_) + ); + sky130_fd_sc_hd__mux2_1 _30338_ ( + .A0(_12441_), + .A1(\soc.core.storage[3][1] ), + .S(_15099_), + .X(_10145_) + ); + sky130_fd_sc_hd__mux2_1 _30339_ ( + .A0(_12443_), + .A1(\soc.core.storage[3][2] ), + .S(_15099_), + .X(_10146_) + ); + sky130_fd_sc_hd__mux2_1 _30340_ ( + .A0(_12445_), + .A1(\soc.core.storage[3][3] ), + .S(_15099_), + .X(_10147_) + ); + sky130_fd_sc_hd__mux2_1 _30341_ ( + .A0(_12447_), + .A1(\soc.core.storage[3][4] ), + .S(_15099_), + .X(_10148_) + ); + sky130_fd_sc_hd__mux2_1 _30342_ ( + .A0(_12449_), + .A1(\soc.core.storage[3][5] ), + .S(_15099_), + .X(_10149_) + ); + sky130_fd_sc_hd__mux2_1 _30343_ ( + .A0(_12451_), + .A1(\soc.core.storage[3][6] ), + .S(_15099_), + .X(_10150_) + ); + sky130_fd_sc_hd__mux2_1 _30344_ ( + .A0(_12453_), + .A1(\soc.core.storage[3][7] ), + .S(_15099_), + .X(_10151_) + ); + sky130_fd_sc_hd__and4b_2 _30345_ ( + .A_N(\soc.core.uart_tx_fifo_produce[0] ), + .B(_12426_), + .C(_12437_), + .D(\soc.core.uart_tx_fifo_produce[1] ), + .X(_15100_) + ); + sky130_fd_sc_hd__mux2_1 _30346_ ( + .A0(\soc.core.storage[2][0] ), + .A1(_12439_), + .S(_15100_), + .X(_10152_) + ); + sky130_fd_sc_hd__mux2_1 _30347_ ( + .A0(\soc.core.storage[2][1] ), + .A1(_12441_), + .S(_15100_), + .X(_10153_) + ); + sky130_fd_sc_hd__mux2_1 _30348_ ( + .A0(\soc.core.storage[2][2] ), + .A1(_12443_), + .S(_15100_), + .X(_10154_) + ); + sky130_fd_sc_hd__mux2_1 _30349_ ( + .A0(\soc.core.storage[2][3] ), + .A1(_12445_), + .S(_15100_), + .X(_10155_) + ); + sky130_fd_sc_hd__mux2_1 _30350_ ( + .A0(\soc.core.storage[2][4] ), + .A1(_12447_), + .S(_15100_), + .X(_10156_) + ); + sky130_fd_sc_hd__mux2_1 _30351_ ( + .A0(\soc.core.storage[2][5] ), + .A1(_12449_), + .S(_15100_), + .X(_10157_) + ); + sky130_fd_sc_hd__mux2_1 _30352_ ( + .A0(\soc.core.storage[2][6] ), + .A1(_12451_), + .S(_15100_), + .X(_10158_) + ); + sky130_fd_sc_hd__mux2_1 _30353_ ( + .A0(\soc.core.storage[2][7] ), + .A1(_12453_), + .S(_15100_), + .X(_10159_) + ); + sky130_fd_sc_hd__nand4b_2 _30354_ ( + .A_N(\soc.core.uart_tx_fifo_produce[1] ), + .B(\soc.core.uart_tx_fifo_produce[0] ), + .C(_12426_), + .D(_12437_), + .Y(_15101_) + ); + sky130_fd_sc_hd__mux2_1 _30355_ ( + .A0(_12439_), + .A1(\soc.core.storage[1][0] ), + .S(_15101_), + .X(_10160_) + ); + sky130_fd_sc_hd__mux2_1 _30356_ ( + .A0(_12441_), + .A1(\soc.core.storage[1][1] ), + .S(_15101_), + .X(_10161_) + ); + sky130_fd_sc_hd__mux2_1 _30357_ ( + .A0(_12443_), + .A1(\soc.core.storage[1][2] ), + .S(_15101_), + .X(_10162_) + ); + sky130_fd_sc_hd__mux2_1 _30358_ ( + .A0(_12445_), + .A1(\soc.core.storage[1][3] ), + .S(_15101_), + .X(_10163_) + ); + sky130_fd_sc_hd__mux2_1 _30359_ ( + .A0(_12447_), + .A1(\soc.core.storage[1][4] ), + .S(_15101_), + .X(_10164_) + ); + sky130_fd_sc_hd__mux2_1 _30360_ ( + .A0(_12449_), + .A1(\soc.core.storage[1][5] ), + .S(_15101_), + .X(_10165_) + ); + sky130_fd_sc_hd__mux2_1 _30361_ ( + .A0(_12451_), + .A1(\soc.core.storage[1][6] ), + .S(_15101_), + .X(_10166_) + ); + sky130_fd_sc_hd__mux2_1 _30362_ ( + .A0(_12453_), + .A1(\soc.core.storage[1][7] ), + .S(_15101_), + .X(_10167_) + ); + sky130_fd_sc_hd__nand4b_2 _30363_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12397_), + .D(_12402_), + .Y(_15102_) + ); + sky130_fd_sc_hd__nand2_2 _30364_ ( + .A(_15102_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[9][0] ), + .Y(_15103_) + ); + sky130_fd_sc_hd__o21ai_2 _30365_ ( + .A1(_03967_), + .A2(_15102_), + .B1(_15103_), + .Y(_10168_) + ); + sky130_fd_sc_hd__nand2_2 _30366_ ( + .A(_15102_), + .B(\soc.core.VexRiscv.RegFilePlugin_regFile[9][1] ), + .Y(_15104_) + ); + sky130_fd_sc_hd__o21ai_2 _30367_ ( + .A1(_03973_), + .A2(_15102_), + .B1(_15104_), + .Y(_10169_) + ); + sky130_fd_sc_hd__mux2_1 _30368_ ( + .A0(_04521_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][2] ), + .S(_15102_), + .X(_10170_) + ); + sky130_fd_sc_hd__mux2_1 _30369_ ( + .A0(_04522_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][3] ), + .S(_15102_), + .X(_10171_) + ); + sky130_fd_sc_hd__mux2_1 _30370_ ( + .A0(_04523_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][4] ), + .S(_15102_), + .X(_10172_) + ); + sky130_fd_sc_hd__mux2_1 _30371_ ( + .A0(_04524_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][5] ), + .S(_15102_), + .X(_10173_) + ); + sky130_fd_sc_hd__mux2_1 _30372_ ( + .A0(_04525_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][6] ), + .S(_15102_), + .X(_10174_) + ); + sky130_fd_sc_hd__mux2_1 _30373_ ( + .A0(_04526_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][7] ), + .S(_15102_), + .X(_10175_) + ); + sky130_fd_sc_hd__mux2_1 _30374_ ( + .A0(_04527_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][8] ), + .S(_15102_), + .X(_10176_) + ); + sky130_fd_sc_hd__mux2_1 _30375_ ( + .A0(_04528_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][9] ), + .S(_15102_), + .X(_10177_) + ); + sky130_fd_sc_hd__mux2_1 _30376_ ( + .A0(_04529_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][10] ), + .S(_15102_), + .X(_10178_) + ); + sky130_fd_sc_hd__mux2_1 _30377_ ( + .A0(_04530_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][11] ), + .S(_15102_), + .X(_10179_) + ); + sky130_fd_sc_hd__mux2_1 _30378_ ( + .A0(_04531_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][12] ), + .S(_15102_), + .X(_10180_) + ); + sky130_fd_sc_hd__mux2_1 _30379_ ( + .A0(_04532_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][13] ), + .S(_15102_), + .X(_10181_) + ); + sky130_fd_sc_hd__mux2_1 _30380_ ( + .A0(_04533_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][14] ), + .S(_15102_), + .X(_10182_) + ); + sky130_fd_sc_hd__mux2_1 _30381_ ( + .A0(_04534_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][15] ), + .S(_15102_), + .X(_10183_) + ); + sky130_fd_sc_hd__mux2_1 _30382_ ( + .A0(_04535_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][16] ), + .S(_15102_), + .X(_10184_) + ); + sky130_fd_sc_hd__mux2_1 _30383_ ( + .A0(_04536_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][17] ), + .S(_15102_), + .X(_10185_) + ); + sky130_fd_sc_hd__mux2_1 _30384_ ( + .A0(_04537_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][18] ), + .S(_15102_), + .X(_10186_) + ); + sky130_fd_sc_hd__mux2_1 _30385_ ( + .A0(_04538_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][19] ), + .S(_15102_), + .X(_10187_) + ); + sky130_fd_sc_hd__mux2_1 _30386_ ( + .A0(_04539_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][20] ), + .S(_15102_), + .X(_10188_) + ); + sky130_fd_sc_hd__mux2_1 _30387_ ( + .A0(_04540_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][21] ), + .S(_15102_), + .X(_10189_) + ); + sky130_fd_sc_hd__mux2_1 _30388_ ( + .A0(_04541_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][22] ), + .S(_15102_), + .X(_10190_) + ); + sky130_fd_sc_hd__mux2_1 _30389_ ( + .A0(_04542_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][23] ), + .S(_15102_), + .X(_10191_) + ); + sky130_fd_sc_hd__mux2_1 _30390_ ( + .A0(_04216_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][24] ), + .S(_15102_), + .X(_10192_) + ); + sky130_fd_sc_hd__mux2_1 _30391_ ( + .A0(_04218_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][25] ), + .S(_15102_), + .X(_10193_) + ); + sky130_fd_sc_hd__mux2_1 _30392_ ( + .A0(_04220_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][26] ), + .S(_15102_), + .X(_10194_) + ); + sky130_fd_sc_hd__mux2_1 _30393_ ( + .A0(_04222_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][27] ), + .S(_15102_), + .X(_10195_) + ); + sky130_fd_sc_hd__mux2_1 _30394_ ( + .A0(_04224_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][28] ), + .S(_15102_), + .X(_10196_) + ); + sky130_fd_sc_hd__mux2_1 _30395_ ( + .A0(_04226_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][29] ), + .S(_15102_), + .X(_10197_) + ); + sky130_fd_sc_hd__mux2_1 _30396_ ( + .A0(_04228_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][30] ), + .S(_15102_), + .X(_10198_) + ); + sky130_fd_sc_hd__mux2_1 _30397_ ( + .A0(_04230_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[9][31] ), + .S(_15102_), + .X(_10199_) + ); + sky130_fd_sc_hd__and4_2 _30398_ ( + .A(_01850_), + .B(_01854_), + .C(_01866_), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ), + .X(_15105_) + ); + sky130_fd_sc_hd__a22o_2 _30399_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15051_), + .B2(_15105_), + .X(_10200_) + ); + sky130_fd_sc_hd__mux2_1 _30400_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[2] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[2] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10201_) + ); + sky130_fd_sc_hd__mux2_1 _30401_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[3] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[3] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10202_) + ); + sky130_fd_sc_hd__mux2_1 _30402_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[4] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[4] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10203_) + ); + sky130_fd_sc_hd__mux2_1 _30403_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[5] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[5] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10204_) + ); + sky130_fd_sc_hd__mux2_1 _30404_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[6] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[6] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10205_) + ); + sky130_fd_sc_hd__mux2_1 _30405_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[7] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[7] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10206_) + ); + sky130_fd_sc_hd__mux2_1 _30406_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[8] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[8] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10207_) + ); + sky130_fd_sc_hd__mux2_1 _30407_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[9] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[9] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10208_) + ); + sky130_fd_sc_hd__mux2_1 _30408_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[10] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[10] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10209_) + ); + sky130_fd_sc_hd__mux2_1 _30409_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[11] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[11] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10210_) + ); + sky130_fd_sc_hd__mux2_1 _30410_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[12] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[12] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10211_) + ); + sky130_fd_sc_hd__mux2_1 _30411_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[13] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[13] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10212_) + ); + sky130_fd_sc_hd__mux2_1 _30412_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[14] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[14] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10213_) + ); + sky130_fd_sc_hd__mux2_1 _30413_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[15] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[15] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10214_) + ); + sky130_fd_sc_hd__mux2_1 _30414_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[16] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[16] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10215_) + ); + sky130_fd_sc_hd__mux2_1 _30415_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[17] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[17] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10216_) + ); + sky130_fd_sc_hd__mux2_1 _30416_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[18] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[18] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10217_) + ); + sky130_fd_sc_hd__mux2_1 _30417_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[19] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[19] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10218_) + ); + sky130_fd_sc_hd__mux2_1 _30418_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[20] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[20] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10219_) + ); + sky130_fd_sc_hd__mux2_1 _30419_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[21] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[21] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10220_) + ); + sky130_fd_sc_hd__mux2_1 _30420_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[22] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[22] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10221_) + ); + sky130_fd_sc_hd__mux2_1 _30421_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[23] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[23] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10222_) + ); + sky130_fd_sc_hd__mux2_1 _30422_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[24] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[24] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10223_) + ); + sky130_fd_sc_hd__mux2_1 _30423_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[25] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[25] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10224_) + ); + sky130_fd_sc_hd__mux2_1 _30424_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[26] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[26] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10225_) + ); + sky130_fd_sc_hd__mux2_1 _30425_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[27] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[27] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10226_) + ); + sky130_fd_sc_hd__mux2_1 _30426_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[28] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[28] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10227_) + ); + sky130_fd_sc_hd__mux2_1 _30427_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[29] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[29] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10228_) + ); + sky130_fd_sc_hd__mux2_1 _30428_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[30] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[30] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10229_) + ); + sky130_fd_sc_hd__mux2_1 _30429_ ( + .A0(\soc.core.VexRiscv._zz_execute_SRC2[31] ), + .A1(\soc.core.VexRiscv.execute_to_memory_PC[31] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10230_) + ); + sky130_fd_sc_hd__nand2_2 _30430_ ( + .A(\soc.core.VexRiscv.execute_CsrPlugin_csr_773 ), + .B(_05101_), + .Y(_15106_) + ); + sky130_fd_sc_hd__mux2_1 _30431_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[2] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[0] ), + .S(_15106_), + .X(_10231_) + ); + sky130_fd_sc_hd__mux2_1 _30432_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[3] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[1] ), + .S(_15106_), + .X(_10232_) + ); + sky130_fd_sc_hd__mux2_1 _30433_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[4] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[2] ), + .S(_15106_), + .X(_10233_) + ); + sky130_fd_sc_hd__mux2_1 _30434_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[5] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[3] ), + .S(_15106_), + .X(_10234_) + ); + sky130_fd_sc_hd__mux2_1 _30435_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[6] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[4] ), + .S(_15106_), + .X(_10235_) + ); + sky130_fd_sc_hd__mux2_1 _30436_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[7] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[5] ), + .S(_15106_), + .X(_10236_) + ); + sky130_fd_sc_hd__mux2_1 _30437_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[8] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[6] ), + .S(_15106_), + .X(_10237_) + ); + sky130_fd_sc_hd__mux2_1 _30438_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[9] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[7] ), + .S(_15106_), + .X(_10238_) + ); + sky130_fd_sc_hd__mux2_1 _30439_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[10] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[8] ), + .S(_15106_), + .X(_10239_) + ); + sky130_fd_sc_hd__mux2_1 _30440_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[11] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[9] ), + .S(_15106_), + .X(_10240_) + ); + sky130_fd_sc_hd__mux2_1 _30441_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[12] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[10] ), + .S(_15106_), + .X(_10241_) + ); + sky130_fd_sc_hd__mux2_1 _30442_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[13] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[11] ), + .S(_15106_), + .X(_10242_) + ); + sky130_fd_sc_hd__mux2_1 _30443_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[14] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[12] ), + .S(_15106_), + .X(_10243_) + ); + sky130_fd_sc_hd__mux2_1 _30444_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[15] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[13] ), + .S(_15106_), + .X(_10244_) + ); + sky130_fd_sc_hd__mux2_1 _30445_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[16] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[14] ), + .S(_15106_), + .X(_10245_) + ); + sky130_fd_sc_hd__mux2_1 _30446_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[17] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[15] ), + .S(_15106_), + .X(_10246_) + ); + sky130_fd_sc_hd__mux2_1 _30447_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[18] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[16] ), + .S(_15106_), + .X(_10247_) + ); + sky130_fd_sc_hd__mux2_1 _30448_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[19] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[17] ), + .S(_15106_), + .X(_10248_) + ); + sky130_fd_sc_hd__mux2_1 _30449_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[20] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[18] ), + .S(_15106_), + .X(_10249_) + ); + sky130_fd_sc_hd__mux2_1 _30450_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[21] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[19] ), + .S(_15106_), + .X(_10250_) + ); + sky130_fd_sc_hd__mux2_1 _30451_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[22] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[20] ), + .S(_15106_), + .X(_10251_) + ); + sky130_fd_sc_hd__mux2_1 _30452_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[23] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[21] ), + .S(_15106_), + .X(_10252_) + ); + sky130_fd_sc_hd__mux2_1 _30453_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[24] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[22] ), + .S(_15106_), + .X(_10253_) + ); + sky130_fd_sc_hd__mux2_1 _30454_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[25] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[23] ), + .S(_15106_), + .X(_10254_) + ); + sky130_fd_sc_hd__mux2_1 _30455_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[26] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[24] ), + .S(_15106_), + .X(_10255_) + ); + sky130_fd_sc_hd__mux2_1 _30456_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[27] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[25] ), + .S(_15106_), + .X(_10256_) + ); + sky130_fd_sc_hd__mux2_1 _30457_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[28] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[26] ), + .S(_15106_), + .X(_10257_) + ); + sky130_fd_sc_hd__mux2_1 _30458_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[29] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[27] ), + .S(_15106_), + .X(_10258_) + ); + sky130_fd_sc_hd__mux2_1 _30459_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[30] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[28] ), + .S(_15106_), + .X(_10259_) + ); + sky130_fd_sc_hd__mux2_1 _30460_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[31] ), + .A1(\soc.core.VexRiscv.CsrPlugin_mtvec_base[29] ), + .S(_15106_), + .X(_10260_) + ); + sky130_fd_sc_hd__and3_2 _30461_ ( + .A(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[3] ), + .B(\soc.core.VexRiscv.execute_CsrPlugin_csr_836 ), + .C(_05101_), + .X(_10261_) + ); + sky130_fd_sc_hd__mux2_1 _30462_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[0] ), + .A1(\soc.core.VexRiscv.execute_to_memory_MEMORY_ADDRESS_LOW[0] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10262_) + ); + sky130_fd_sc_hd__mux2_1 _30463_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[1] ), + .A1(\soc.core.VexRiscv.execute_to_memory_MEMORY_ADDRESS_LOW[1] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10263_) + ); + sky130_fd_sc_hd__mux2_1 _30464_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[0] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[0] ), + .S(_11284_), + .X(_10264_) + ); + sky130_fd_sc_hd__mux2_1 _30465_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[1] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[1] ), + .S(_11284_), + .X(_10265_) + ); + sky130_fd_sc_hd__mux2_1 _30466_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[2] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[2] ), + .S(_11284_), + .X(_10266_) + ); + sky130_fd_sc_hd__mux2_1 _30467_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[3] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[3] ), + .S(_11284_), + .X(_10267_) + ); + sky130_fd_sc_hd__mux2_1 _30468_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[4] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[4] ), + .S(_11284_), + .X(_10268_) + ); + sky130_fd_sc_hd__mux2_1 _30469_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[5] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[5] ), + .S(_11284_), + .X(_10269_) + ); + sky130_fd_sc_hd__mux2_1 _30470_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[6] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[6] ), + .S(_11284_), + .X(_10270_) + ); + sky130_fd_sc_hd__mux2_1 _30471_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[7] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[7] ), + .S(_11284_), + .X(_10271_) + ); + sky130_fd_sc_hd__mux2_1 _30472_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[8] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[8] ), + .S(_11284_), + .X(_10272_) + ); + sky130_fd_sc_hd__mux2_1 _30473_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[9] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[9] ), + .S(_11284_), + .X(_10273_) + ); + sky130_fd_sc_hd__mux2_1 _30474_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[10] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[10] ), + .S(_11284_), + .X(_10274_) + ); + sky130_fd_sc_hd__mux2_1 _30475_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[11] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[11] ), + .S(_11284_), + .X(_10275_) + ); + sky130_fd_sc_hd__mux2_1 _30476_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[12] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[12] ), + .S(_11284_), + .X(_10276_) + ); + sky130_fd_sc_hd__mux2_1 _30477_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[13] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[13] ), + .S(_11284_), + .X(_10277_) + ); + sky130_fd_sc_hd__mux2_1 _30478_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[14] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[14] ), + .S(_11284_), + .X(_10278_) + ); + sky130_fd_sc_hd__mux2_1 _30479_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[15] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[15] ), + .S(_11284_), + .X(_10279_) + ); + sky130_fd_sc_hd__mux2_1 _30480_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[16] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[16] ), + .S(_11284_), + .X(_10280_) + ); + sky130_fd_sc_hd__mux2_1 _30481_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[17] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[17] ), + .S(_11284_), + .X(_10281_) + ); + sky130_fd_sc_hd__mux2_1 _30482_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[18] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[18] ), + .S(_11284_), + .X(_10282_) + ); + sky130_fd_sc_hd__mux2_1 _30483_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[19] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[19] ), + .S(_11284_), + .X(_10283_) + ); + sky130_fd_sc_hd__mux2_1 _30484_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[20] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[20] ), + .S(_11284_), + .X(_10284_) + ); + sky130_fd_sc_hd__mux2_1 _30485_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[21] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[21] ), + .S(_11284_), + .X(_10285_) + ); + sky130_fd_sc_hd__mux2_1 _30486_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[22] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[22] ), + .S(_11284_), + .X(_10286_) + ); + sky130_fd_sc_hd__mux2_1 _30487_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[23] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[23] ), + .S(_11284_), + .X(_10287_) + ); + sky130_fd_sc_hd__mux2_1 _30488_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[24] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[24] ), + .S(_11284_), + .X(_10288_) + ); + sky130_fd_sc_hd__mux2_1 _30489_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[25] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[25] ), + .S(_11284_), + .X(_10289_) + ); + sky130_fd_sc_hd__mux2_1 _30490_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[26] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[26] ), + .S(_11284_), + .X(_10290_) + ); + sky130_fd_sc_hd__mux2_1 _30491_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[27] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[27] ), + .S(_11284_), + .X(_10291_) + ); + sky130_fd_sc_hd__mux2_1 _30492_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[28] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[28] ), + .S(_11284_), + .X(_10292_) + ); + sky130_fd_sc_hd__mux2_1 _30493_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[29] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[29] ), + .S(_11284_), + .X(_10293_) + ); + sky130_fd_sc_hd__mux2_1 _30494_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[30] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[30] ), + .S(_11284_), + .X(_10294_) + ); + sky130_fd_sc_hd__mux2_1 _30495_ ( + .A0(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[31] ), + .A1(\soc.core.VexRiscv._zz_execute_to_memory_REGFILE_WRITE_DATA[31] ), + .S(_11284_), + .X(_10295_) + ); + sky130_fd_sc_hd__mux2_1 _30496_ ( + .A0(\soc.core.VexRiscv._zz_execute_BRANCH_DO_1 ), + .A1(\soc.core.VexRiscv.execute_to_memory_BRANCH_DO ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10296_) + ); + sky130_fd_sc_hd__mux2_1 _30497_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ), + .S(_12982_), + .X(_10297_) + ); + sky130_fd_sc_hd__mux2_1 _30498_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ), + .S(_12982_), + .X(_10298_) + ); + sky130_fd_sc_hd__mux2_1 _30499_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[4] ), + .S(_12982_), + .X(_10299_) + ); + sky130_fd_sc_hd__mux2_1 _30500_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[5] ), + .S(_12982_), + .X(_10300_) + ); + sky130_fd_sc_hd__mux2_1 _30501_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[6] ), + .S(_12982_), + .X(_10301_) + ); + sky130_fd_sc_hd__mux2_1 _30502_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[7] ), + .S(_12982_), + .X(_10302_) + ); + sky130_fd_sc_hd__mux2_1 _30503_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[8] ), + .S(_12982_), + .X(_10303_) + ); + sky130_fd_sc_hd__mux2_1 _30504_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ), + .S(_12982_), + .X(_10304_) + ); + sky130_fd_sc_hd__mux2_1 _30505_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ), + .S(_12982_), + .X(_10305_) + ); + sky130_fd_sc_hd__mux2_1 _30506_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[11] ), + .S(_12982_), + .X(_10306_) + ); + sky130_fd_sc_hd__mux2_1 _30507_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .S(_12982_), + .X(_10307_) + ); + sky130_fd_sc_hd__mux2_1 _30508_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ), + .S(_12982_), + .X(_10308_) + ); + sky130_fd_sc_hd__mux2_1 _30509_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .S(_12982_), + .X(_10309_) + ); + sky130_fd_sc_hd__mux2_1 _30510_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ), + .S(_12982_), + .X(_10310_) + ); + sky130_fd_sc_hd__mux2_1 _30511_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .S(_12982_), + .X(_10311_) + ); + sky130_fd_sc_hd__mux2_1 _30512_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ), + .S(_12982_), + .X(_10312_) + ); + sky130_fd_sc_hd__mux2_1 _30513_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ), + .S(_12982_), + .X(_10313_) + ); + sky130_fd_sc_hd__mux2_1 _30514_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[19] ), + .S(_12982_), + .X(_10314_) + ); + sky130_fd_sc_hd__mux2_1 _30515_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ), + .S(_12982_), + .X(_10315_) + ); + sky130_fd_sc_hd__mux2_1 _30516_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ), + .S(_12982_), + .X(_10316_) + ); + sky130_fd_sc_hd__mux2_1 _30517_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[22] ), + .S(_12982_), + .X(_10317_) + ); + sky130_fd_sc_hd__mux2_1 _30518_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .S(_12982_), + .X(_10318_) + ); + sky130_fd_sc_hd__mux2_1 _30519_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .S(_12982_), + .X(_10319_) + ); + sky130_fd_sc_hd__mux2_1 _30520_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ), + .S(_12982_), + .X(_10320_) + ); + sky130_fd_sc_hd__mux2_1 _30521_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ), + .S(_12982_), + .X(_10321_) + ); + sky130_fd_sc_hd__mux2_1 _30522_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ), + .S(_12982_), + .X(_10322_) + ); + sky130_fd_sc_hd__mux2_1 _30523_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[28] ), + .S(_12982_), + .X(_10323_) + ); + sky130_fd_sc_hd__mux2_1 _30524_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ), + .S(_12982_), + .X(_10324_) + ); + sky130_fd_sc_hd__mux2_1 _30525_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[30] ), + .S(_12982_), + .X(_10325_) + ); + sky130_fd_sc_hd__mux2_1 _30526_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_isIoAccess ), + .S(_12982_), + .X(_10326_) + ); + sky130_fd_sc_hd__nand2_2 _30527_ ( + .A(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .B(_05101_), + .Y(_15107_) + ); + sky130_fd_sc_hd__a41o_2 _30528_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[0] ), + .X(_15108_) + ); + sky130_fd_sc_hd__o211a_2 _30529_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[0] ), + .A2(_15107_), + .B1(_15108_), + .C1(_00347_), + .X(_10327_) + ); + sky130_fd_sc_hd__a41o_2 _30530_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[1] ), + .X(_15109_) + ); + sky130_fd_sc_hd__o211a_2 _30531_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[1] ), + .A2(_15107_), + .B1(_15109_), + .C1(_00347_), + .X(_10328_) + ); + sky130_fd_sc_hd__a41o_2 _30532_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[2] ), + .X(_15110_) + ); + sky130_fd_sc_hd__o211a_2 _30533_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[2] ), + .A2(_15107_), + .B1(_15110_), + .C1(_00347_), + .X(_10329_) + ); + sky130_fd_sc_hd__a41o_2 _30534_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[3] ), + .X(_15111_) + ); + sky130_fd_sc_hd__o211a_2 _30535_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[3] ), + .A2(_15107_), + .B1(_15111_), + .C1(_00347_), + .X(_10330_) + ); + sky130_fd_sc_hd__a41o_2 _30536_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[4] ), + .X(_15112_) + ); + sky130_fd_sc_hd__o211a_2 _30537_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[4] ), + .A2(_15107_), + .B1(_15112_), + .C1(_00347_), + .X(_10331_) + ); + sky130_fd_sc_hd__a41o_2 _30538_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[5] ), + .X(_15113_) + ); + sky130_fd_sc_hd__o211a_2 _30539_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[5] ), + .A2(_15107_), + .B1(_15113_), + .C1(_00347_), + .X(_10332_) + ); + sky130_fd_sc_hd__a41o_2 _30540_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[6] ), + .X(_15114_) + ); + sky130_fd_sc_hd__o211a_2 _30541_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[6] ), + .A2(_15107_), + .B1(_15114_), + .C1(_00347_), + .X(_10333_) + ); + sky130_fd_sc_hd__a41o_2 _30542_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[7] ), + .X(_15115_) + ); + sky130_fd_sc_hd__o211a_2 _30543_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[7] ), + .A2(_15107_), + .B1(_15115_), + .C1(_00347_), + .X(_10334_) + ); + sky130_fd_sc_hd__a41o_2 _30544_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[8] ), + .X(_15116_) + ); + sky130_fd_sc_hd__o211a_2 _30545_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[8] ), + .A2(_15107_), + .B1(_15116_), + .C1(_00347_), + .X(_10335_) + ); + sky130_fd_sc_hd__a41o_2 _30546_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[9] ), + .X(_15117_) + ); + sky130_fd_sc_hd__o211a_2 _30547_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[9] ), + .A2(_15107_), + .B1(_15117_), + .C1(_00347_), + .X(_10336_) + ); + sky130_fd_sc_hd__a41o_2 _30548_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[10] ), + .X(_15118_) + ); + sky130_fd_sc_hd__o211a_2 _30549_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[10] ), + .A2(_15107_), + .B1(_15118_), + .C1(_00347_), + .X(_10337_) + ); + sky130_fd_sc_hd__a41o_2 _30550_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[11] ), + .X(_15119_) + ); + sky130_fd_sc_hd__o211a_2 _30551_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[11] ), + .A2(_15107_), + .B1(_15119_), + .C1(_00347_), + .X(_10338_) + ); + sky130_fd_sc_hd__a41o_2 _30552_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[12] ), + .X(_15120_) + ); + sky130_fd_sc_hd__o211a_2 _30553_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[12] ), + .A2(_15107_), + .B1(_15120_), + .C1(_00347_), + .X(_10339_) + ); + sky130_fd_sc_hd__a41o_2 _30554_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[13] ), + .X(_15121_) + ); + sky130_fd_sc_hd__o211a_2 _30555_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[13] ), + .A2(_15107_), + .B1(_15121_), + .C1(_00347_), + .X(_10340_) + ); + sky130_fd_sc_hd__a41o_2 _30556_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[14] ), + .X(_15122_) + ); + sky130_fd_sc_hd__o211a_2 _30557_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[14] ), + .A2(_15107_), + .B1(_15122_), + .C1(_00347_), + .X(_10341_) + ); + sky130_fd_sc_hd__a41o_2 _30558_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[15] ), + .X(_15123_) + ); + sky130_fd_sc_hd__o211a_2 _30559_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[15] ), + .A2(_15107_), + .B1(_15123_), + .C1(_00347_), + .X(_10342_) + ); + sky130_fd_sc_hd__a41o_2 _30560_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[16] ), + .X(_15124_) + ); + sky130_fd_sc_hd__o211a_2 _30561_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[16] ), + .A2(_15107_), + .B1(_15124_), + .C1(_00347_), + .X(_10343_) + ); + sky130_fd_sc_hd__a41o_2 _30562_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[17] ), + .X(_15125_) + ); + sky130_fd_sc_hd__o211a_2 _30563_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[17] ), + .A2(_15107_), + .B1(_15125_), + .C1(_00347_), + .X(_10344_) + ); + sky130_fd_sc_hd__a41o_2 _30564_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[18] ), + .X(_15126_) + ); + sky130_fd_sc_hd__o211a_2 _30565_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[18] ), + .A2(_15107_), + .B1(_15126_), + .C1(_00347_), + .X(_10345_) + ); + sky130_fd_sc_hd__a41o_2 _30566_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[19] ), + .X(_15127_) + ); + sky130_fd_sc_hd__o211a_2 _30567_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[19] ), + .A2(_15107_), + .B1(_15127_), + .C1(_00347_), + .X(_10346_) + ); + sky130_fd_sc_hd__a41o_2 _30568_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[20] ), + .X(_15128_) + ); + sky130_fd_sc_hd__o211a_2 _30569_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[20] ), + .A2(_15107_), + .B1(_15128_), + .C1(_00347_), + .X(_10347_) + ); + sky130_fd_sc_hd__a41o_2 _30570_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[21] ), + .X(_15129_) + ); + sky130_fd_sc_hd__o211a_2 _30571_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[21] ), + .A2(_15107_), + .B1(_15129_), + .C1(_00347_), + .X(_10348_) + ); + sky130_fd_sc_hd__a41o_2 _30572_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[22] ), + .X(_15130_) + ); + sky130_fd_sc_hd__o211a_2 _30573_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[22] ), + .A2(_15107_), + .B1(_15130_), + .C1(_00347_), + .X(_10349_) + ); + sky130_fd_sc_hd__a41o_2 _30574_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[23] ), + .X(_15131_) + ); + sky130_fd_sc_hd__o211a_2 _30575_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[23] ), + .A2(_15107_), + .B1(_15131_), + .C1(_00347_), + .X(_10350_) + ); + sky130_fd_sc_hd__a41o_2 _30576_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[24] ), + .X(_15132_) + ); + sky130_fd_sc_hd__o211a_2 _30577_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[24] ), + .A2(_15107_), + .B1(_15132_), + .C1(_00347_), + .X(_10351_) + ); + sky130_fd_sc_hd__a41o_2 _30578_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[25] ), + .X(_15133_) + ); + sky130_fd_sc_hd__o211a_2 _30579_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[25] ), + .A2(_15107_), + .B1(_15133_), + .C1(_00347_), + .X(_10352_) + ); + sky130_fd_sc_hd__a41o_2 _30580_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[26] ), + .X(_15134_) + ); + sky130_fd_sc_hd__o211a_2 _30581_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[26] ), + .A2(_15107_), + .B1(_15134_), + .C1(_00347_), + .X(_10353_) + ); + sky130_fd_sc_hd__a41o_2 _30582_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[27] ), + .X(_15135_) + ); + sky130_fd_sc_hd__o211a_2 _30583_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[27] ), + .A2(_15107_), + .B1(_15135_), + .C1(_00347_), + .X(_10354_) + ); + sky130_fd_sc_hd__a41o_2 _30584_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[28] ), + .X(_15136_) + ); + sky130_fd_sc_hd__o211a_2 _30585_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[28] ), + .A2(_15107_), + .B1(_15136_), + .C1(_00347_), + .X(_10355_) + ); + sky130_fd_sc_hd__a41o_2 _30586_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[29] ), + .X(_15137_) + ); + sky130_fd_sc_hd__o211a_2 _30587_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[29] ), + .A2(_15107_), + .B1(_15137_), + .C1(_00347_), + .X(_10356_) + ); + sky130_fd_sc_hd__a41o_2 _30588_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[30] ), + .X(_15138_) + ); + sky130_fd_sc_hd__o211a_2 _30589_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[30] ), + .A2(_15107_), + .B1(_15138_), + .C1(_00347_), + .X(_10357_) + ); + sky130_fd_sc_hd__a41o_2 _30590_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[31] ), + .X(_15139_) + ); + sky130_fd_sc_hd__o211a_2 _30591_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[31] ), + .A2(_15107_), + .B1(_15139_), + .C1(_00347_), + .X(_10358_) + ); + sky130_fd_sc_hd__and4_2 _30592_ ( + .A(_11293_), + .B(_11355_), + .C(_11311_), + .D(_05090_), + .X(_15140_) + ); + sky130_fd_sc_hd__mux2_1 _30593_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_0 ), + .A1(\soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_1 ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_15141_) + ); + sky130_fd_sc_hd__and4_2 _30594_ ( + .A(_15141_), + .B(_15140_), + .C(_11302_), + .D(_00347_), + .X(_10359_) + ); + sky130_fd_sc_hd__and4_2 _30595_ ( + .A(\soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_1 ), + .B(_00347_), + .C(_11302_), + .D(_15140_), + .X(_10360_) + ); + sky130_fd_sc_hd__a22o_2 _30596_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_mie_MEIE ), + .A2(\soc.core.VexRiscv.CsrPlugin_mip_MEIP ), + .B1(\soc.core.VexRiscv.CsrPlugin_mip_MSIP ), + .B2(\soc.core.VexRiscv.CsrPlugin_mie_MSIE ), + .X(_15142_) + ); + sky130_fd_sc_hd__nand2_2 _30597_ ( + .A(_15142_), + .B(\soc.core.VexRiscv.CsrPlugin_mstatus_MIE ), + .Y(_15143_) + ); + sky130_fd_sc_hd__and4b_2 _30598_ ( + .A_N(_11308_), + .B(_15142_), + .C(\soc.core.VexRiscv.CsrPlugin_mstatus_MIE ), + .D(_00347_), + .X(_10361_) + ); + sky130_fd_sc_hd__and3_2 _30599_ ( + .A(_11193_), + .B(_05095_), + .C(_00347_), + .X(_10362_) + ); + sky130_fd_sc_hd__o2111a_2 _30600_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_0 ), + .A2(_11285_), + .B1(_11302_), + .C1(_15140_), + .D1(_00347_), + .X(_10363_) + ); + sky130_fd_sc_hd__nand3_2 _30601_ ( + .A(\soc.core.VexRiscv.execute_arbitration_isValid ), + .B(_11311_), + .C(_05088_), + .Y(_15144_) + ); + sky130_fd_sc_hd__o2bb2a_2 _30602_ ( + .A1_N(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .A2_N(_11310_), + .B1(_15144_), + .B2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .X(_15145_) + ); + sky130_fd_sc_hd__nor2_2 _30603_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .B(_15145_), + .Y(_10364_) + ); + sky130_fd_sc_hd__mux2_1 _30604_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .A1(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[0] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10365_) + ); + sky130_fd_sc_hd__mux2_1 _30605_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .A1(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[1] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10366_) + ); + sky130_fd_sc_hd__a22o_2 _30606_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15048_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .X(_10367_) + ); + sky130_fd_sc_hd__and2b_2 _30607_ ( + .A_N(\soc.core.int_rst ), + .B(_00348_), + .X(_10368_) + ); + sky130_fd_sc_hd__mux2_1 _30608_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[5] ), + .A1(\soc.core.VexRiscv.dBusWishbone_WE ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10369_) + ); + sky130_fd_sc_hd__mux2_1 _30609_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[0] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[0] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10370_) + ); + sky130_fd_sc_hd__mux2_1 _30610_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[1] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[1] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10371_) + ); + sky130_fd_sc_hd__mux2_1 _30611_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[2] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[2] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10372_) + ); + sky130_fd_sc_hd__mux2_1 _30612_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[3] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[3] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10373_) + ); + sky130_fd_sc_hd__mux2_1 _30613_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[4] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[4] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10374_) + ); + sky130_fd_sc_hd__mux2_1 _30614_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[5] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[5] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10375_) + ); + sky130_fd_sc_hd__mux2_1 _30615_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[6] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[6] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10376_) + ); + sky130_fd_sc_hd__mux2_1 _30616_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[7] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[7] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10377_) + ); + sky130_fd_sc_hd__mux2_1 _30617_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[8] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[8] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10378_) + ); + sky130_fd_sc_hd__mux2_1 _30618_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[9] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[9] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10379_) + ); + sky130_fd_sc_hd__mux2_1 _30619_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[10] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[10] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10380_) + ); + sky130_fd_sc_hd__mux2_1 _30620_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[11] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[11] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10381_) + ); + sky130_fd_sc_hd__mux2_1 _30621_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[12] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[12] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10382_) + ); + sky130_fd_sc_hd__mux2_1 _30622_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[13] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[13] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10383_) + ); + sky130_fd_sc_hd__mux2_1 _30623_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[14] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[14] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10384_) + ); + sky130_fd_sc_hd__mux2_1 _30624_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[15] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[15] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10385_) + ); + sky130_fd_sc_hd__mux2_1 _30625_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[16] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[16] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10386_) + ); + sky130_fd_sc_hd__mux2_1 _30626_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[17] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[17] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10387_) + ); + sky130_fd_sc_hd__mux2_1 _30627_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[18] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[18] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10388_) + ); + sky130_fd_sc_hd__mux2_1 _30628_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[19] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[19] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10389_) + ); + sky130_fd_sc_hd__mux2_1 _30629_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[20] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[20] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10390_) + ); + sky130_fd_sc_hd__mux2_1 _30630_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[21] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[21] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10391_) + ); + sky130_fd_sc_hd__mux2_1 _30631_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[22] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[22] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10392_) + ); + sky130_fd_sc_hd__mux2_1 _30632_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[23] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[23] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10393_) + ); + sky130_fd_sc_hd__mux2_1 _30633_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[24] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[24] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10394_) + ); + sky130_fd_sc_hd__mux2_1 _30634_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[25] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[25] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10395_) + ); + sky130_fd_sc_hd__mux2_1 _30635_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[26] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[26] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10396_) + ); + sky130_fd_sc_hd__mux2_1 _30636_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[27] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[27] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10397_) + ); + sky130_fd_sc_hd__mux2_1 _30637_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[28] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[28] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10398_) + ); + sky130_fd_sc_hd__mux2_1 _30638_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[29] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[29] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10399_) + ); + sky130_fd_sc_hd__mux2_1 _30639_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[30] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[30] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10400_) + ); + sky130_fd_sc_hd__mux2_1 _30640_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_mtval[31] ), + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[31] ), + .S(\soc.core.VexRiscv.CsrPlugin_hadException ), + .X(_10401_) + ); + sky130_fd_sc_hd__a32o_2 _30641_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_mie_MEIE ), + .A2(\soc.core.VexRiscv.CsrPlugin_mip_MEIP ), + .A3(\soc.core.VexRiscv.CsrPlugin_mstatus_MIE ), + .B1(\soc.core.VexRiscv.CsrPlugin_interrupt_code[3] ), + .B2(_15143_), + .X(_10402_) + ); + sky130_fd_sc_hd__a21o_2 _30642_ ( + .A1(\soc.core.VexRiscv.execute_arbitration_isValid ), + .A2(\soc.core.VexRiscv.decode_to_execute_DO_EBREAK ), + .B1(\soc.core.VexRiscv.lastStageIsFiring ), + .X(_15146_) + ); + sky130_fd_sc_hd__mux2_1 _30643_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[2] ), + .A1(_00336_), + .S(_15146_), + .X(_10403_) + ); + sky130_fd_sc_hd__mux2_1 _30644_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[3] ), + .A1(_00339_), + .S(_15146_), + .X(_10404_) + ); + sky130_fd_sc_hd__mux2_1 _30645_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[4] ), + .A1(_00340_), + .S(_15146_), + .X(_10405_) + ); + sky130_fd_sc_hd__mux2_1 _30646_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[5] ), + .A1(_00341_), + .S(_15146_), + .X(_10406_) + ); + sky130_fd_sc_hd__mux2_1 _30647_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[6] ), + .A1(_00342_), + .S(_15146_), + .X(_10407_) + ); + sky130_fd_sc_hd__mux2_1 _30648_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[7] ), + .A1(_00343_), + .S(_15146_), + .X(_10408_) + ); + sky130_fd_sc_hd__mux2_1 _30649_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[8] ), + .A1(_00344_), + .S(_15146_), + .X(_10409_) + ); + sky130_fd_sc_hd__mux2_1 _30650_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[9] ), + .A1(_00345_), + .S(_15146_), + .X(_10410_) + ); + sky130_fd_sc_hd__mux2_1 _30651_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[10] ), + .A1(_00316_), + .S(_15146_), + .X(_10411_) + ); + sky130_fd_sc_hd__mux2_1 _30652_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[11] ), + .A1(_00317_), + .S(_15146_), + .X(_10412_) + ); + sky130_fd_sc_hd__mux2_1 _30653_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[12] ), + .A1(_00318_), + .S(_15146_), + .X(_10413_) + ); + sky130_fd_sc_hd__mux2_1 _30654_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[13] ), + .A1(_00319_), + .S(_15146_), + .X(_10414_) + ); + sky130_fd_sc_hd__mux2_1 _30655_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[14] ), + .A1(_00320_), + .S(_15146_), + .X(_10415_) + ); + sky130_fd_sc_hd__mux2_1 _30656_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[15] ), + .A1(_00321_), + .S(_15146_), + .X(_10416_) + ); + sky130_fd_sc_hd__mux2_1 _30657_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[16] ), + .A1(_00322_), + .S(_15146_), + .X(_10417_) + ); + sky130_fd_sc_hd__mux2_1 _30658_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[17] ), + .A1(_00323_), + .S(_15146_), + .X(_10418_) + ); + sky130_fd_sc_hd__mux2_1 _30659_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[18] ), + .A1(_00324_), + .S(_15146_), + .X(_10419_) + ); + sky130_fd_sc_hd__mux2_1 _30660_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[19] ), + .A1(_00325_), + .S(_15146_), + .X(_10420_) + ); + sky130_fd_sc_hd__mux2_1 _30661_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[20] ), + .A1(_00326_), + .S(_15146_), + .X(_10421_) + ); + sky130_fd_sc_hd__mux2_1 _30662_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[21] ), + .A1(_00327_), + .S(_15146_), + .X(_10422_) + ); + sky130_fd_sc_hd__mux2_1 _30663_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[22] ), + .A1(_00328_), + .S(_15146_), + .X(_10423_) + ); + sky130_fd_sc_hd__mux2_1 _30664_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[23] ), + .A1(_00329_), + .S(_15146_), + .X(_10424_) + ); + sky130_fd_sc_hd__mux2_1 _30665_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[24] ), + .A1(_00330_), + .S(_15146_), + .X(_10425_) + ); + sky130_fd_sc_hd__mux2_1 _30666_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[25] ), + .A1(_00331_), + .S(_15146_), + .X(_10426_) + ); + sky130_fd_sc_hd__mux2_1 _30667_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[26] ), + .A1(_00332_), + .S(_15146_), + .X(_10427_) + ); + sky130_fd_sc_hd__mux2_1 _30668_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[27] ), + .A1(_00333_), + .S(_15146_), + .X(_10428_) + ); + sky130_fd_sc_hd__mux2_1 _30669_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[28] ), + .A1(_00334_), + .S(_15146_), + .X(_10429_) + ); + sky130_fd_sc_hd__mux2_1 _30670_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[29] ), + .A1(_00335_), + .S(_15146_), + .X(_10430_) + ); + sky130_fd_sc_hd__mux2_1 _30671_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[30] ), + .A1(_00337_), + .S(_15146_), + .X(_10431_) + ); + sky130_fd_sc_hd__mux2_1 _30672_ ( + .A0(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[31] ), + .A1(_00338_), + .S(_15146_), + .X(_10432_) + ); + sky130_fd_sc_hd__and4bb_2 _30673_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .B_N(_05086_), + .C(_11284_), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .X(_15147_) + ); + sky130_fd_sc_hd__nor2_2 _30674_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B(_15088_), + .Y(_15148_) + ); + sky130_fd_sc_hd__a211o_2 _30675_ ( + .A1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .A2(\soc.core.VexRiscv.decode_to_execute_SRC_LESS_UNSIGNED ), + .B1(_15148_), + .C1(_15147_), + .X(_10433_) + ); + sky130_fd_sc_hd__a2bb2o_2 _30676_ ( + .A1_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .A2_N(_15088_), + .B1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B2(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ), + .X(_10434_) + ); + sky130_fd_sc_hd__and4bb_2 _30677_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .B_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .X(_15149_) + ); + sky130_fd_sc_hd__nand2b_2 _30678_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .Y(_15150_) + ); + sky130_fd_sc_hd__and4b_2 _30679_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .B(_11285_), + .C(_15149_), + .D(_15150_), + .X(_15151_) + ); + sky130_fd_sc_hd__a21o_2 _30680_ ( + .A1(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[0] ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15151_), + .X(_10435_) + ); + sky130_fd_sc_hd__and4bb_2 _30681_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .B_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .X(_15152_) + ); + sky130_fd_sc_hd__and4b_2 _30682_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .C(_11285_), + .D(_15152_), + .X(_15153_) + ); + sky130_fd_sc_hd__a21o_2 _30683_ ( + .A1(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[1] ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15153_), + .X(_10436_) + ); + sky130_fd_sc_hd__a21oi_2 _30684_ ( + .A1(_11189_), + .A2(_11143_), + .B1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ), + .Y(_15154_) + ); + sky130_fd_sc_hd__a311oi_2 _30685_ ( + .A1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ), + .A2(_11122_), + .A3(_11189_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .C1(_15154_), + .Y(_10437_) + ); + sky130_fd_sc_hd__a31o_2 _30686_ ( + .A1(_11189_), + .A2(_11122_), + .A3(\soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ), + .B1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[1] ), + .X(_15155_) + ); + sky130_fd_sc_hd__and4_2 _30687_ ( + .A(_11189_), + .B(_11122_), + .C(\soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ), + .D(\soc.core.VexRiscv._zz_iBusWishbone_ADR[1] ), + .X(_15156_) + ); + sky130_fd_sc_hd__and3b_2 _30688_ ( + .A_N(_15156_), + .B(_00347_), + .C(_15155_), + .X(_10438_) + ); + sky130_fd_sc_hd__a21oi_2 _30689_ ( + .A1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[2] ), + .A2(_15156_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .Y(_15157_) + ); + sky130_fd_sc_hd__o21a_2 _30690_ ( + .A1(\soc.core.VexRiscv._zz_iBusWishbone_ADR[2] ), + .A2(_15156_), + .B1(_15157_), + .X(_10439_) + ); + sky130_fd_sc_hd__and3b_2 _30691_ ( + .A_N(\soc.core.VexRiscv.DebugPlugin_godmode ), + .B(_00347_), + .C(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10440_) + ); + sky130_fd_sc_hd__and4b_2 _30692_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ), + .B(_11285_), + .C(_11338_), + .D(_15046_), + .X(_15158_) + ); + sky130_fd_sc_hd__a22o_2 _30693_ ( + .A1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_836 ), + .B1(_15158_), + .B2(_15105_), + .X(_10441_) + ); + sky130_fd_sc_hd__mux2_1 _30694_ ( + .A0(\soc.core.VexRiscv._zz_execute_ENV_CTRL[0] ), + .A1(\soc.core.VexRiscv._zz_memory_ENV_CTRL[0] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10442_) + ); + sky130_fd_sc_hd__mux2_1 _30695_ ( + .A0(\soc.core.VexRiscv._zz_execute_ENV_CTRL[1] ), + .A1(\soc.core.VexRiscv._zz_memory_ENV_CTRL[1] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10443_) + ); + sky130_fd_sc_hd__mux2_1 _30696_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[0] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[0] ), + .S(_11285_), + .X(_10444_) + ); + sky130_fd_sc_hd__mux2_1 _30697_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[1] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[1] ), + .S(_11285_), + .X(_10445_) + ); + sky130_fd_sc_hd__mux2_1 _30698_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[2] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[2] ), + .S(_11285_), + .X(_10446_) + ); + sky130_fd_sc_hd__mux2_1 _30699_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[3] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[3] ), + .S(_11285_), + .X(_10447_) + ); + sky130_fd_sc_hd__mux2_1 _30700_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[4] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[4] ), + .S(_11285_), + .X(_10448_) + ); + sky130_fd_sc_hd__mux2_1 _30701_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[5] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[5] ), + .S(_11285_), + .X(_10449_) + ); + sky130_fd_sc_hd__mux2_1 _30702_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[6] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[6] ), + .S(_11285_), + .X(_10450_) + ); + sky130_fd_sc_hd__mux2_1 _30703_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[7] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[7] ), + .S(_11285_), + .X(_10451_) + ); + sky130_fd_sc_hd__mux2_1 _30704_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[8] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[8] ), + .S(_11285_), + .X(_10452_) + ); + sky130_fd_sc_hd__mux2_1 _30705_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[9] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[9] ), + .S(_11285_), + .X(_10453_) + ); + sky130_fd_sc_hd__mux2_1 _30706_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[10] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[10] ), + .S(_11285_), + .X(_10454_) + ); + sky130_fd_sc_hd__mux2_1 _30707_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[11] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[11] ), + .S(_11285_), + .X(_10455_) + ); + sky130_fd_sc_hd__mux2_1 _30708_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[12] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[12] ), + .S(_11285_), + .X(_10456_) + ); + sky130_fd_sc_hd__mux2_1 _30709_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[13] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[13] ), + .S(_11285_), + .X(_10457_) + ); + sky130_fd_sc_hd__mux2_1 _30710_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[14] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[14] ), + .S(_11285_), + .X(_10458_) + ); + sky130_fd_sc_hd__mux2_1 _30711_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[15] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[15] ), + .S(_11285_), + .X(_10459_) + ); + sky130_fd_sc_hd__mux2_1 _30712_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[16] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[16] ), + .S(_11285_), + .X(_10460_) + ); + sky130_fd_sc_hd__mux2_1 _30713_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[17] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[17] ), + .S(_11285_), + .X(_10461_) + ); + sky130_fd_sc_hd__mux2_1 _30714_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[18] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[18] ), + .S(_11285_), + .X(_10462_) + ); + sky130_fd_sc_hd__mux2_1 _30715_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[19] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[19] ), + .S(_11285_), + .X(_10463_) + ); + sky130_fd_sc_hd__mux2_1 _30716_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[20] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[20] ), + .S(_11285_), + .X(_10464_) + ); + sky130_fd_sc_hd__mux2_1 _30717_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[21] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[21] ), + .S(_11285_), + .X(_10465_) + ); + sky130_fd_sc_hd__mux2_1 _30718_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[22] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[22] ), + .S(_11285_), + .X(_10466_) + ); + sky130_fd_sc_hd__mux2_1 _30719_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[23] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[23] ), + .S(_11285_), + .X(_10467_) + ); + sky130_fd_sc_hd__mux2_1 _30720_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[24] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[24] ), + .S(_11285_), + .X(_10468_) + ); + sky130_fd_sc_hd__mux2_1 _30721_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[25] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[25] ), + .S(_11285_), + .X(_10469_) + ); + sky130_fd_sc_hd__mux2_1 _30722_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[26] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[26] ), + .S(_11285_), + .X(_10470_) + ); + sky130_fd_sc_hd__mux2_1 _30723_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[27] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[27] ), + .S(_11285_), + .X(_10471_) + ); + sky130_fd_sc_hd__mux2_1 _30724_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[28] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[28] ), + .S(_11285_), + .X(_10472_) + ); + sky130_fd_sc_hd__mux2_1 _30725_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[29] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[29] ), + .S(_11285_), + .X(_10473_) + ); + sky130_fd_sc_hd__mux2_1 _30726_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[30] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[30] ), + .S(_11285_), + .X(_10474_) + ); + sky130_fd_sc_hd__mux2_1 _30727_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS1[31] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[31] ), + .S(_11285_), + .X(_10475_) + ); + sky130_fd_sc_hd__mux2_1 _30728_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[0] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[0] ), + .S(_11285_), + .X(_10476_) + ); + sky130_fd_sc_hd__mux2_1 _30729_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[1] ), + .S(_11285_), + .X(_10477_) + ); + sky130_fd_sc_hd__mux2_1 _30730_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[2] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[2] ), + .S(_11285_), + .X(_10478_) + ); + sky130_fd_sc_hd__mux2_1 _30731_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[3] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[3] ), + .S(_11285_), + .X(_10479_) + ); + sky130_fd_sc_hd__mux2_1 _30732_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[4] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[4] ), + .S(_11285_), + .X(_10480_) + ); + sky130_fd_sc_hd__mux2_1 _30733_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[5] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[5] ), + .S(_11285_), + .X(_10481_) + ); + sky130_fd_sc_hd__mux2_1 _30734_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[6] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[6] ), + .S(_11285_), + .X(_10482_) + ); + sky130_fd_sc_hd__mux2_1 _30735_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[7] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[7] ), + .S(_11285_), + .X(_10483_) + ); + sky130_fd_sc_hd__mux2_1 _30736_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[8] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[8] ), + .S(_11285_), + .X(_10484_) + ); + sky130_fd_sc_hd__mux2_1 _30737_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[9] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[9] ), + .S(_11285_), + .X(_10485_) + ); + sky130_fd_sc_hd__mux2_1 _30738_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[10] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[10] ), + .S(_11285_), + .X(_10486_) + ); + sky130_fd_sc_hd__mux2_1 _30739_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[11] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[11] ), + .S(_11285_), + .X(_10487_) + ); + sky130_fd_sc_hd__mux2_1 _30740_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[12] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[12] ), + .S(_11285_), + .X(_10488_) + ); + sky130_fd_sc_hd__mux2_1 _30741_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[13] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[13] ), + .S(_11285_), + .X(_10489_) + ); + sky130_fd_sc_hd__mux2_1 _30742_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[14] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[14] ), + .S(_11285_), + .X(_10490_) + ); + sky130_fd_sc_hd__mux2_1 _30743_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[15] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[15] ), + .S(_11285_), + .X(_10491_) + ); + sky130_fd_sc_hd__mux2_1 _30744_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[16] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[16] ), + .S(_11285_), + .X(_10492_) + ); + sky130_fd_sc_hd__mux2_1 _30745_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[17] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[17] ), + .S(_11285_), + .X(_10493_) + ); + sky130_fd_sc_hd__mux2_1 _30746_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[18] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[18] ), + .S(_11285_), + .X(_10494_) + ); + sky130_fd_sc_hd__mux2_1 _30747_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[19] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[19] ), + .S(_11285_), + .X(_10495_) + ); + sky130_fd_sc_hd__mux2_1 _30748_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[20] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[20] ), + .S(_11285_), + .X(_10496_) + ); + sky130_fd_sc_hd__mux2_1 _30749_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[21] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[21] ), + .S(_11285_), + .X(_10497_) + ); + sky130_fd_sc_hd__mux2_1 _30750_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[22] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[22] ), + .S(_11285_), + .X(_10498_) + ); + sky130_fd_sc_hd__mux2_1 _30751_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[23] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[23] ), + .S(_11285_), + .X(_10499_) + ); + sky130_fd_sc_hd__mux2_1 _30752_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[24] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[24] ), + .S(_11285_), + .X(_10500_) + ); + sky130_fd_sc_hd__mux2_1 _30753_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[25] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[25] ), + .S(_11285_), + .X(_10501_) + ); + sky130_fd_sc_hd__mux2_1 _30754_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[26] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[26] ), + .S(_11285_), + .X(_10502_) + ); + sky130_fd_sc_hd__mux2_1 _30755_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[27] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[27] ), + .S(_11285_), + .X(_10503_) + ); + sky130_fd_sc_hd__mux2_1 _30756_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[28] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[28] ), + .S(_11285_), + .X(_10504_) + ); + sky130_fd_sc_hd__mux2_1 _30757_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[29] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[29] ), + .S(_11285_), + .X(_10505_) + ); + sky130_fd_sc_hd__mux2_1 _30758_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[30] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[30] ), + .S(_11285_), + .X(_10506_) + ); + sky130_fd_sc_hd__mux2_1 _30759_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_RS2[31] ), + .A1(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[31] ), + .S(_11285_), + .X(_10507_) + ); + sky130_fd_sc_hd__and3b_2 _30760_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ), + .X(_15159_) + ); + sky130_fd_sc_hd__nor3_2 _30761_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .C(_15159_), + .Y(_15160_) + ); + sky130_fd_sc_hd__o31ai_2 _30762_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .A2(_11348_), + .A3(_15160_), + .B1(_11285_), + .Y(_15161_) + ); + sky130_fd_sc_hd__and2b_2 _30763_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .X(_15162_) + ); + sky130_fd_sc_hd__a21oi_2 _30764_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ), + .A2(_15162_), + .B1(_15149_), + .Y(_15163_) + ); + sky130_fd_sc_hd__a2bb2o_2 _30765_ ( + .A1_N(_15163_), + .A2_N(_15161_), + .B1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B2(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ), + .X(_10508_) + ); + sky130_fd_sc_hd__a21oi_2 _30766_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .A2(_11325_), + .B1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .Y(_15164_) + ); + sky130_fd_sc_hd__a21o_2 _30767_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15164_), + .X(_10509_) + ); + sky130_fd_sc_hd__a32o_2 _30768_ ( + .A1(_15052_), + .A2(_01859_), + .A3(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .B1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B2(\soc.core.VexRiscv._zz_execute_ENV_CTRL[0] ), + .X(_10510_) + ); + sky130_fd_sc_hd__nor2_2 _30769_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ), + .Y(_15165_) + ); + sky130_fd_sc_hd__a22o_2 _30770_ ( + .A1(\soc.core.VexRiscv._zz_execute_ENV_CTRL[1] ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15052_), + .B2(_15165_), + .X(_10511_) + ); + sky130_fd_sc_hd__mux2_1 _30771_ ( + .A0(_11278_), + .A1(\soc.core.VexRiscv.execute_to_memory_ALIGNEMENT_FAULT ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10512_) + ); + sky130_fd_sc_hd__a32o_2 _30772_ ( + .A1(_15051_), + .A2(_01866_), + .A3(_11315_), + .B1(\soc.core.VexRiscv.execute_CsrPlugin_csr_773 ), + .B2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .X(_10513_) + ); + sky130_fd_sc_hd__and3_2 _30773_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .B(_11313_), + .C(_01854_), + .X(_15166_) + ); + sky130_fd_sc_hd__a22o_2 _30774_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15158_), + .B2(_15166_), + .X(_10514_) + ); + sky130_fd_sc_hd__nand4_2 _30775_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ), + .B(\soc.core.VexRiscv.decode_to_execute_RS1[0] ), + .C(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .D(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ), + .Y(_15167_) + ); + sky130_fd_sc_hd__nand3_2 _30776_ ( + .A(\soc.core.VexRiscv.decode_to_execute_RS1[1] ), + .B(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .C(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ), + .Y(_15168_) + ); + sky130_fd_sc_hd__nand2_2 _30777_ ( + .A(_15168_), + .B(_04843_), + .Y(_15169_) + ); + sky130_fd_sc_hd__nand3b_2 _30778_ ( + .A_N(_04843_), + .B(_04801_), + .C(\soc.core.VexRiscv.decode_to_execute_RS1[1] ), + .Y(_15170_) + ); + sky130_fd_sc_hd__and3b_2 _30779_ ( + .A_N(_15167_), + .B(_15169_), + .C(_15170_), + .X(_15171_) + ); + sky130_fd_sc_hd__a32o_2 _30780_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ), + .A2(\soc.core.VexRiscv.decode_to_execute_RS1[0] ), + .A3(_04801_), + .B1(_15169_), + .B2(_15170_), + .X(_15172_) + ); + sky130_fd_sc_hd__o21ai_2 _30781_ ( + .A1(_11192_), + .A2(_11191_), + .B1(_15172_), + .Y(_15173_) + ); + sky130_fd_sc_hd__a2bb2o_2 _30782_ ( + .A1_N(_15171_), + .A2_N(_15173_), + .B1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[1] ), + .B2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10515_) + ); + sky130_fd_sc_hd__nand2_2 _30783_ ( + .A(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[2] ), + .B(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .Y(_15174_) + ); + sky130_fd_sc_hd__nand2b_2 _30784_ ( + .A_N(_04846_), + .B(_04844_), + .Y(_15175_) + ); + sky130_fd_sc_hd__nand2b_2 _30785_ ( + .A_N(_04844_), + .B(_04846_), + .Y(_15176_) + ); + sky130_fd_sc_hd__o21ai_2 _30786_ ( + .A1(_04843_), + .A2(_15168_), + .B1(_15167_), + .Y(_15177_) + ); + sky130_fd_sc_hd__a21boi_2 _30787_ ( + .A1(_04843_), + .A2(_15168_), + .B1_N(_15177_), + .Y(_15178_) + ); + sky130_fd_sc_hd__and3_2 _30788_ ( + .A(_15178_), + .B(_15176_), + .C(_15175_), + .X(_15179_) + ); + sky130_fd_sc_hd__a21oi_2 _30789_ ( + .A1(_15175_), + .A2(_15176_), + .B1(_15178_), + .Y(_15180_) + ); + sky130_fd_sc_hd__o31ai_2 _30790_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .A2(_15179_), + .A3(_15180_), + .B1(_15174_), + .Y(_10516_) + ); + sky130_fd_sc_hd__nand3_2 _30791_ ( + .A(_15169_), + .B(_15176_), + .C(_15177_), + .Y(_15181_) + ); + sky130_fd_sc_hd__nand2_2 _30792_ ( + .A(_15175_), + .B(_15181_), + .Y(_15182_) + ); + sky130_fd_sc_hd__nor2_2 _30793_ ( + .A(_04847_), + .B(_04849_), + .Y(_15183_) + ); + sky130_fd_sc_hd__nand2_2 _30794_ ( + .A(_04847_), + .B(_04849_), + .Y(_15184_) + ); + sky130_fd_sc_hd__nand2b_2 _30795_ ( + .A_N(_15183_), + .B(_15184_), + .Y(_15185_) + ); + sky130_fd_sc_hd__xnor2_2 _30796_ ( + .A(_15182_), + .B(_15185_), + .Y(_15186_) + ); + sky130_fd_sc_hd__mux2_1 _30797_ ( + .A0(_15186_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[3] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10517_) + ); + sky130_fd_sc_hd__nor2_2 _30798_ ( + .A(_04852_), + .B(_04850_), + .Y(_15187_) + ); + sky130_fd_sc_hd__and2_4 _30799_ ( + .A(_04852_), + .B(_04850_), + .X(_15188_) + ); + sky130_fd_sc_hd__nor2_2 _30800_ ( + .A(_15187_), + .B(_15188_), + .Y(_15189_) + ); + sky130_fd_sc_hd__o211ai_2 _30801_ ( + .A1(_04847_), + .A2(_04849_), + .B1(_15175_), + .C1(_15181_), + .Y(_15190_) + ); + sky130_fd_sc_hd__a21boi_2 _30802_ ( + .A1(_04847_), + .A2(_04849_), + .B1_N(_15190_), + .Y(_15191_) + ); + sky130_fd_sc_hd__xor2_2 _30803_ ( + .A(_15189_), + .B(_15191_), + .X(_15192_) + ); + sky130_fd_sc_hd__mux2_1 _30804_ ( + .A0(_15192_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[4] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10518_) + ); + sky130_fd_sc_hd__nand2b_2 _30805_ ( + .A_N(_04854_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ), + .Y(_15193_) + ); + sky130_fd_sc_hd__nand2b_2 _30806_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ), + .B(_04854_), + .Y(_15194_) + ); + sky130_fd_sc_hd__a31o_2 _30807_ ( + .A1(_15184_), + .A2(_15190_), + .A3(_15189_), + .B1(_15187_), + .X(_15195_) + ); + sky130_fd_sc_hd__a21oi_2 _30808_ ( + .A1(_15193_), + .A2(_15194_), + .B1(_15195_), + .Y(_15196_) + ); + sky130_fd_sc_hd__and3_2 _30809_ ( + .A(_15193_), + .B(_15194_), + .C(_15195_), + .X(_15197_) + ); + sky130_fd_sc_hd__nand2_2 _30810_ ( + .A(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[5] ), + .B(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .Y(_15198_) + ); + sky130_fd_sc_hd__o31ai_2 _30811_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .A2(_15196_), + .A3(_15197_), + .B1(_15198_), + .Y(_10519_) + ); + sky130_fd_sc_hd__and2b_2 _30812_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ), + .B(_04856_), + .X(_15199_) + ); + sky130_fd_sc_hd__and2b_2 _30813_ ( + .A_N(_04856_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ), + .X(_15200_) + ); + sky130_fd_sc_hd__nor2_2 _30814_ ( + .A(_15199_), + .B(_15200_), + .Y(_15201_) + ); + sky130_fd_sc_hd__nand2_2 _30815_ ( + .A(_15194_), + .B(_15187_), + .Y(_15202_) + ); + sky130_fd_sc_hd__nand2_2 _30816_ ( + .A(_15193_), + .B(_15202_), + .Y(_15203_) + ); + sky130_fd_sc_hd__and3_2 _30817_ ( + .A(_15189_), + .B(_15193_), + .C(_15194_), + .X(_15204_) + ); + sky130_fd_sc_hd__and3_2 _30818_ ( + .A(_15184_), + .B(_15190_), + .C(_15204_), + .X(_15205_) + ); + sky130_fd_sc_hd__a211oi_2 _30819_ ( + .A1(_15191_), + .A2(_15204_), + .B1(_15203_), + .C1(_15201_), + .Y(_15206_) + ); + sky130_fd_sc_hd__o21a_2 _30820_ ( + .A1(_15203_), + .A2(_15205_), + .B1(_15201_), + .X(_15207_) + ); + sky130_fd_sc_hd__o21ai_2 _30821_ ( + .A1(_15206_), + .A2(_15207_), + .B1(_11193_), + .Y(_15208_) + ); + sky130_fd_sc_hd__o31a_2 _30822_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[6] ), + .A2(_11191_), + .A3(_11192_), + .B1(_15208_), + .X(_10520_) + ); + sky130_fd_sc_hd__nand2b_2 _30823_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[27] ), + .B(_04858_), + .Y(_15209_) + ); + sky130_fd_sc_hd__nand2b_2 _30824_ ( + .A_N(_04858_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[27] ), + .Y(_15210_) + ); + sky130_fd_sc_hd__nand2_2 _30825_ ( + .A(_15209_), + .B(_15210_), + .Y(_15211_) + ); + sky130_fd_sc_hd__nor2_2 _30826_ ( + .A(_15200_), + .B(_15207_), + .Y(_15212_) + ); + sky130_fd_sc_hd__o2bb2a_2 _30827_ ( + .A1_N(_15212_), + .A2_N(_15211_), + .B1(_11192_), + .B2(_11191_), + .X(_15213_) + ); + sky130_fd_sc_hd__o21a_2 _30828_ ( + .A1(_15211_), + .A2(_15212_), + .B1(_15213_), + .X(_15214_) + ); + sky130_fd_sc_hd__a21o_2 _30829_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[7] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15214_), + .X(_10521_) + ); + sky130_fd_sc_hd__nand2b_2 _30830_ ( + .A_N(_04860_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ), + .Y(_15215_) + ); + sky130_fd_sc_hd__xnor2_2 _30831_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ), + .B(_04860_), + .Y(_15216_) + ); + sky130_fd_sc_hd__a21bo_2 _30832_ ( + .A1(_15200_), + .A2(_15209_), + .B1_N(_15210_), + .X(_15217_) + ); + sky130_fd_sc_hd__and3_2 _30833_ ( + .A(_15201_), + .B(_15209_), + .C(_15210_), + .X(_15218_) + ); + sky130_fd_sc_hd__nand4_2 _30834_ ( + .A(_15218_), + .B(_15190_), + .C(_15184_), + .D(_15204_), + .Y(_15219_) + ); + sky130_fd_sc_hd__a41oi_2 _30835_ ( + .A1(_15203_), + .A2(_15209_), + .A3(_15201_), + .A4(_15210_), + .B1(_15217_), + .Y(_15220_) + ); + sky130_fd_sc_hd__nand2_2 _30836_ ( + .A(_15219_), + .B(_15220_), + .Y(_15221_) + ); + sky130_fd_sc_hd__nand2_2 _30837_ ( + .A(_15216_), + .B(_15221_), + .Y(_15222_) + ); + sky130_fd_sc_hd__o22a_2 _30838_ ( + .A1(_15216_), + .A2(_15221_), + .B1(_11192_), + .B2(_11191_), + .X(_15223_) + ); + sky130_fd_sc_hd__a22o_2 _30839_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[8] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15223_), + .B2(_15222_), + .X(_10522_) + ); + sky130_fd_sc_hd__nand2b_2 _30840_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ), + .B(_04862_), + .Y(_15224_) + ); + sky130_fd_sc_hd__and2b_2 _30841_ ( + .A_N(_04862_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ), + .X(_15225_) + ); + sky130_fd_sc_hd__nand2b_2 _30842_ ( + .A_N(_04862_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ), + .Y(_15226_) + ); + sky130_fd_sc_hd__and2_4 _30843_ ( + .A(_15224_), + .B(_15226_), + .X(_15227_) + ); + sky130_fd_sc_hd__a21bo_2 _30844_ ( + .A1(_15216_), + .A2(_15221_), + .B1_N(_15215_), + .X(_15228_) + ); + sky130_fd_sc_hd__a21o_2 _30845_ ( + .A1(_15224_), + .A2(_15226_), + .B1(_15228_), + .X(_15229_) + ); + sky130_fd_sc_hd__o2bb2a_2 _30846_ ( + .A1_N(_15227_), + .A2_N(_15228_), + .B1(_11191_), + .B2(_11192_), + .X(_15230_) + ); + sky130_fd_sc_hd__a22o_2 _30847_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[9] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15230_), + .B2(_15229_), + .X(_10523_) + ); + sky130_fd_sc_hd__nand2b_2 _30848_ ( + .A_N(_04864_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ), + .Y(_15231_) + ); + sky130_fd_sc_hd__xnor2_2 _30849_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ), + .B(_04864_), + .Y(_15232_) + ); + sky130_fd_sc_hd__a21boi_2 _30850_ ( + .A1(_15215_), + .A2(_15226_), + .B1_N(_15224_), + .Y(_15233_) + ); + sky130_fd_sc_hd__o211ai_2 _30851_ ( + .A1(_15225_), + .A2(_15228_), + .B1(_15232_), + .C1(_15224_), + .Y(_15234_) + ); + sky130_fd_sc_hd__a311o_2 _30852_ ( + .A1(_15216_), + .A2(_15221_), + .A3(_15224_), + .B1(_15232_), + .C1(_15233_), + .X(_15235_) + ); + sky130_fd_sc_hd__and3_2 _30853_ ( + .A(_11193_), + .B(_15234_), + .C(_15235_), + .X(_15236_) + ); + sky130_fd_sc_hd__a21o_2 _30854_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[10] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15236_), + .X(_10524_) + ); + sky130_fd_sc_hd__and2b_2 _30855_ ( + .A_N(_04867_), + .B(_04865_), + .X(_15237_) + ); + sky130_fd_sc_hd__and2b_2 _30856_ ( + .A_N(_04865_), + .B(_04867_), + .X(_15238_) + ); + sky130_fd_sc_hd__nor2_2 _30857_ ( + .A(_15237_), + .B(_15238_), + .Y(_15239_) + ); + sky130_fd_sc_hd__nand2_2 _30858_ ( + .A(_15231_), + .B(_15234_), + .Y(_15240_) + ); + sky130_fd_sc_hd__a211o_2 _30859_ ( + .A1(_15231_), + .A2(_15234_), + .B1(_15237_), + .C1(_15238_), + .X(_15241_) + ); + sky130_fd_sc_hd__o22a_2 _30860_ ( + .A1(_11191_), + .A2(_11192_), + .B1(_15239_), + .B2(_15240_), + .X(_15242_) + ); + sky130_fd_sc_hd__a22o_2 _30861_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[11] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15242_), + .B2(_15241_), + .X(_10525_) + ); + sky130_fd_sc_hd__nand2_2 _30862_ ( + .A(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[12] ), + .B(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .Y(_15243_) + ); + sky130_fd_sc_hd__and4_2 _30863_ ( + .A(_15216_), + .B(_15227_), + .C(_15232_), + .D(_15239_), + .X(_15244_) + ); + sky130_fd_sc_hd__o21bai_2 _30864_ ( + .A1(_15231_), + .A2(_15238_), + .B1_N(_15237_), + .Y(_15245_) + ); + sky130_fd_sc_hd__a31o_2 _30865_ ( + .A1(_15233_), + .A2(_15239_), + .A3(_15232_), + .B1(_15245_), + .X(_15246_) + ); + sky130_fd_sc_hd__a21oi_2 _30866_ ( + .A1(_15221_), + .A2(_15244_), + .B1(_15246_), + .Y(_15247_) + ); + sky130_fd_sc_hd__and2b_2 _30867_ ( + .A_N(_04870_), + .B(_04868_), + .X(_15248_) + ); + sky130_fd_sc_hd__and2b_2 _30868_ ( + .A_N(_04868_), + .B(_04870_), + .X(_15249_) + ); + sky130_fd_sc_hd__nor2_2 _30869_ ( + .A(_15248_), + .B(_15249_), + .Y(_15250_) + ); + sky130_fd_sc_hd__o21a_2 _30870_ ( + .A1(_15248_), + .A2(_15249_), + .B1(_15247_), + .X(_15251_) + ); + sky130_fd_sc_hd__nor3_2 _30871_ ( + .A(_15247_), + .B(_15248_), + .C(_15249_), + .Y(_15252_) + ); + sky130_fd_sc_hd__o31ai_2 _30872_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .A2(_15251_), + .A3(_15252_), + .B1(_15243_), + .Y(_10526_) + ); + sky130_fd_sc_hd__nand2b_2 _30873_ ( + .A_N(_04873_), + .B(_04871_), + .Y(_15253_) + ); + sky130_fd_sc_hd__nand2b_2 _30874_ ( + .A_N(_04871_), + .B(_04873_), + .Y(_15254_) + ); + sky130_fd_sc_hd__and2_4 _30875_ ( + .A(_15253_), + .B(_15254_), + .X(_15255_) + ); + sky130_fd_sc_hd__o21ai_2 _30876_ ( + .A1(_15248_), + .A2(_15252_), + .B1(_15255_), + .Y(_15256_) + ); + sky130_fd_sc_hd__o32a_2 _30877_ ( + .A1(_15248_), + .A2(_15252_), + .A3(_15255_), + .B1(_11192_), + .B2(_11191_), + .X(_15257_) + ); + sky130_fd_sc_hd__a22o_2 _30878_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[13] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15257_), + .B2(_15256_), + .X(_10527_) + ); + sky130_fd_sc_hd__nand2b_2 _30879_ ( + .A_N(_15248_), + .B(_15253_), + .Y(_15258_) + ); + sky130_fd_sc_hd__o21a_2 _30880_ ( + .A1(_15258_), + .A2(_15252_), + .B1(_15254_), + .X(_15259_) + ); + sky130_fd_sc_hd__and2b_2 _30881_ ( + .A_N(_04876_), + .B(_04874_), + .X(_15260_) + ); + sky130_fd_sc_hd__and2b_2 _30882_ ( + .A_N(_04874_), + .B(_04876_), + .X(_15261_) + ); + sky130_fd_sc_hd__nor2_2 _30883_ ( + .A(_15260_), + .B(_15261_), + .Y(_15262_) + ); + sky130_fd_sc_hd__o211a_2 _30884_ ( + .A1(_15258_), + .A2(_15252_), + .B1(_15254_), + .C1(_15262_), + .X(_15263_) + ); + sky130_fd_sc_hd__o21ai_2 _30885_ ( + .A1(_15259_), + .A2(_15262_), + .B1(_11193_), + .Y(_15264_) + ); + sky130_fd_sc_hd__a2bb2o_2 _30886_ ( + .A1_N(_15263_), + .A2_N(_15264_), + .B1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[14] ), + .B2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10528_) + ); + sky130_fd_sc_hd__and2b_2 _30887_ ( + .A_N(_04877_), + .B(_04879_), + .X(_15265_) + ); + sky130_fd_sc_hd__nand2b_2 _30888_ ( + .A_N(_04877_), + .B(_04879_), + .Y(_15266_) + ); + sky130_fd_sc_hd__and2b_2 _30889_ ( + .A_N(_04879_), + .B(_04877_), + .X(_15267_) + ); + sky130_fd_sc_hd__nor2_2 _30890_ ( + .A(_15265_), + .B(_15267_), + .Y(_15268_) + ); + sky130_fd_sc_hd__o21ai_2 _30891_ ( + .A1(_15260_), + .A2(_15263_), + .B1(_15268_), + .Y(_15269_) + ); + sky130_fd_sc_hd__o31a_2 _30892_ ( + .A1(_15260_), + .A2(_15263_), + .A3(_15268_), + .B1(_11193_), + .X(_15270_) + ); + sky130_fd_sc_hd__a22o_2 _30893_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[15] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15270_), + .B2(_15269_), + .X(_10529_) + ); + sky130_fd_sc_hd__and4_2 _30894_ ( + .A(_15250_), + .B(_15255_), + .C(_15262_), + .D(_15268_), + .X(_15271_) + ); + sky130_fd_sc_hd__and2_4 _30895_ ( + .A(_15244_), + .B(_15271_), + .X(_15272_) + ); + sky130_fd_sc_hd__nand4_2 _30896_ ( + .A(_15254_), + .B(_15258_), + .C(_15262_), + .D(_15268_), + .Y(_15273_) + ); + sky130_fd_sc_hd__a21oi_2 _30897_ ( + .A1(_15260_), + .A2(_15266_), + .B1(_15267_), + .Y(_15274_) + ); + sky130_fd_sc_hd__nand2_2 _30898_ ( + .A(_15273_), + .B(_15274_), + .Y(_15275_) + ); + sky130_fd_sc_hd__a21o_2 _30899_ ( + .A1(_15271_), + .A2(_15246_), + .B1(_15275_), + .X(_15276_) + ); + sky130_fd_sc_hd__a21oi_2 _30900_ ( + .A1(_15221_), + .A2(_15272_), + .B1(_15276_), + .Y(_15277_) + ); + sky130_fd_sc_hd__nand2b_2 _30901_ ( + .A_N(_04882_), + .B(_04880_), + .Y(_15278_) + ); + sky130_fd_sc_hd__nand2b_2 _30902_ ( + .A_N(_04880_), + .B(_04882_), + .Y(_15279_) + ); + sky130_fd_sc_hd__nand2_2 _30903_ ( + .A(_15278_), + .B(_15279_), + .Y(_15280_) + ); + sky130_fd_sc_hd__o2bb2a_2 _30904_ ( + .A1_N(_15277_), + .A2_N(_15280_), + .B1(_11191_), + .B2(_11192_), + .X(_15281_) + ); + sky130_fd_sc_hd__o21a_2 _30905_ ( + .A1(_15277_), + .A2(_15280_), + .B1(_15281_), + .X(_15282_) + ); + sky130_fd_sc_hd__a21o_2 _30906_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[16] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15282_), + .X(_10530_) + ); + sky130_fd_sc_hd__and2b_2 _30907_ ( + .A_N(_04883_), + .B(_04885_), + .X(_15283_) + ); + sky130_fd_sc_hd__nand2b_2 _30908_ ( + .A_N(_04885_), + .B(_04883_), + .Y(_15284_) + ); + sky130_fd_sc_hd__nand2b_2 _30909_ ( + .A_N(_15283_), + .B(_15284_), + .Y(_15285_) + ); + sky130_fd_sc_hd__o21ai_2 _30910_ ( + .A1(_15280_), + .A2(_15277_), + .B1(_15278_), + .Y(_15286_) + ); + sky130_fd_sc_hd__xnor2_2 _30911_ ( + .A(_15285_), + .B(_15286_), + .Y(_15287_) + ); + sky130_fd_sc_hd__mux2_1 _30912_ ( + .A0(_15287_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[17] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10531_) + ); + sky130_fd_sc_hd__and2b_2 _30913_ ( + .A_N(_04888_), + .B(_04886_), + .X(_15288_) + ); + sky130_fd_sc_hd__nand2b_2 _30914_ ( + .A_N(_04888_), + .B(_04886_), + .Y(_15289_) + ); + sky130_fd_sc_hd__and2b_2 _30915_ ( + .A_N(_04886_), + .B(_04888_), + .X(_15290_) + ); + sky130_fd_sc_hd__nor2_2 _30916_ ( + .A(_15288_), + .B(_15290_), + .Y(_15291_) + ); + sky130_fd_sc_hd__a21oi_2 _30917_ ( + .A1(_15278_), + .A2(_15284_), + .B1(_15283_), + .Y(_15292_) + ); + sky130_fd_sc_hd__nor3_2 _30918_ ( + .A(_15280_), + .B(_15285_), + .C(_15277_), + .Y(_15293_) + ); + sky130_fd_sc_hd__o21ai_2 _30919_ ( + .A1(_15292_), + .A2(_15293_), + .B1(_15291_), + .Y(_15294_) + ); + sky130_fd_sc_hd__o311a_2 _30920_ ( + .A1(_15291_), + .A2(_15293_), + .A3(_15292_), + .B1(_11193_), + .C1(_15294_), + .X(_15295_) + ); + sky130_fd_sc_hd__a21o_2 _30921_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[18] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15295_), + .X(_10532_) + ); + sky130_fd_sc_hd__nand2b_2 _30922_ ( + .A_N(_04889_), + .B(_04891_), + .Y(_15296_) + ); + sky130_fd_sc_hd__nand2b_2 _30923_ ( + .A_N(_04891_), + .B(_04889_), + .Y(_15297_) + ); + sky130_fd_sc_hd__nand2_2 _30924_ ( + .A(_15296_), + .B(_15297_), + .Y(_15298_) + ); + sky130_fd_sc_hd__a21oi_2 _30925_ ( + .A1(_15289_), + .A2(_15294_), + .B1(_15298_), + .Y(_15299_) + ); + sky130_fd_sc_hd__a31o_2 _30926_ ( + .A1(_15289_), + .A2(_15294_), + .A3(_15298_), + .B1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_15300_) + ); + sky130_fd_sc_hd__a2bb2o_2 _30927_ ( + .A1_N(_15299_), + .A2_N(_15300_), + .B1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[19] ), + .B2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10533_) + ); + sky130_fd_sc_hd__nand2_2 _30928_ ( + .A(_03512_), + .B(_04893_), + .Y(_15301_) + ); + sky130_fd_sc_hd__nand2b_2 _30929_ ( + .A_N(_04893_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .Y(_15302_) + ); + sky130_fd_sc_hd__nand2_2 _30930_ ( + .A(_15301_), + .B(_15302_), + .Y(_15303_) + ); + sky130_fd_sc_hd__and3_2 _30931_ ( + .A(_15291_), + .B(_15296_), + .C(_15297_), + .X(_15304_) + ); + sky130_fd_sc_hd__a21bo_2 _30932_ ( + .A1(_15288_), + .A2(_15296_), + .B1_N(_15297_), + .X(_15305_) + ); + sky130_fd_sc_hd__a21o_2 _30933_ ( + .A1(_15304_), + .A2(_15292_), + .B1(_15305_), + .X(_15306_) + ); + sky130_fd_sc_hd__nand4b_2 _30934_ ( + .A_N(_15285_), + .B(_15304_), + .C(_15278_), + .D(_15279_), + .Y(_15307_) + ); + sky130_fd_sc_hd__nor2_2 _30935_ ( + .A(_15307_), + .B(_15277_), + .Y(_15308_) + ); + sky130_fd_sc_hd__nor2_2 _30936_ ( + .A(_15306_), + .B(_15308_), + .Y(_15309_) + ); + sky130_fd_sc_hd__o2bb2a_2 _30937_ ( + .A1_N(_15309_), + .A2_N(_15303_), + .B1(_11192_), + .B2(_11191_), + .X(_15310_) + ); + sky130_fd_sc_hd__o21a_2 _30938_ ( + .A1(_15303_), + .A2(_15309_), + .B1(_15310_), + .X(_15311_) + ); + sky130_fd_sc_hd__a21o_2 _30939_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[20] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15311_), + .X(_10534_) + ); + sky130_fd_sc_hd__xor2_2 _30940_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04895_), + .X(_15312_) + ); + sky130_fd_sc_hd__o21ai_2 _30941_ ( + .A1(_15303_), + .A2(_15309_), + .B1(_15302_), + .Y(_15313_) + ); + sky130_fd_sc_hd__xnor2_2 _30942_ ( + .A(_15312_), + .B(_15313_), + .Y(_15314_) + ); + sky130_fd_sc_hd__mux2_1 _30943_ ( + .A0(_15314_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[21] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10535_) + ); + sky130_fd_sc_hd__nand2_2 _30944_ ( + .A(_03512_), + .B(_04897_), + .Y(_15315_) + ); + sky130_fd_sc_hd__nand2b_2 _30945_ ( + .A_N(_04897_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .Y(_15316_) + ); + sky130_fd_sc_hd__and2_4 _30946_ ( + .A(_15315_), + .B(_15316_), + .X(_15317_) + ); + sky130_fd_sc_hd__a21o_2 _30947_ ( + .A1(_04893_), + .A2(_04895_), + .B1(_03512_), + .X(_15318_) + ); + sky130_fd_sc_hd__nor2_2 _30948_ ( + .A(_15303_), + .B(_15312_), + .Y(_15319_) + ); + sky130_fd_sc_hd__o21ai_2 _30949_ ( + .A1(_15306_), + .A2(_15308_), + .B1(_15319_), + .Y(_15320_) + ); + sky130_fd_sc_hd__nand3b_2 _30950_ ( + .A_N(_15317_), + .B(_15320_), + .C(_15318_), + .Y(_15321_) + ); + sky130_fd_sc_hd__a21bo_2 _30951_ ( + .A1(_15320_), + .A2(_15318_), + .B1_N(_15317_), + .X(_15322_) + ); + sky130_fd_sc_hd__and3_2 _30952_ ( + .A(_11193_), + .B(_15321_), + .C(_15322_), + .X(_15323_) + ); + sky130_fd_sc_hd__a21o_2 _30953_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[22] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15323_), + .X(_10536_) + ); + sky130_fd_sc_hd__xor2_2 _30954_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04899_), + .X(_15324_) + ); + sky130_fd_sc_hd__a21oi_2 _30955_ ( + .A1(_15316_), + .A2(_15322_), + .B1(_15324_), + .Y(_15325_) + ); + sky130_fd_sc_hd__a31o_2 _30956_ ( + .A1(_15316_), + .A2(_15322_), + .A3(_15324_), + .B1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_15326_) + ); + sky130_fd_sc_hd__a2bb2o_2 _30957_ ( + .A1_N(_15325_), + .A2_N(_15326_), + .B1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[23] ), + .B2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10537_) + ); + sky130_fd_sc_hd__nand2b_2 _30958_ ( + .A_N(_04901_), + .B(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .Y(_15327_) + ); + sky130_fd_sc_hd__nand2_2 _30959_ ( + .A(_03512_), + .B(_04901_), + .Y(_15328_) + ); + sky130_fd_sc_hd__nand2_2 _30960_ ( + .A(_15327_), + .B(_15328_), + .Y(_15329_) + ); + sky130_fd_sc_hd__and3b_2 _30961_ ( + .A_N(_15324_), + .B(_15316_), + .C(_15315_), + .X(_15330_) + ); + sky130_fd_sc_hd__nand3b_2 _30962_ ( + .A_N(_15307_), + .B(_15319_), + .C(_15330_), + .Y(_15331_) + ); + sky130_fd_sc_hd__nand3_2 _30963_ ( + .A(_15306_), + .B(_15319_), + .C(_15330_), + .Y(_15332_) + ); + sky130_fd_sc_hd__a41o_2 _30964_ ( + .A1(_04893_), + .A2(_04895_), + .A3(_04897_), + .A4(_04899_), + .B1(_03512_), + .X(_15333_) + ); + sky130_fd_sc_hd__nand2_2 _30965_ ( + .A(_15332_), + .B(_15333_), + .Y(_15334_) + ); + sky130_fd_sc_hd__o21ba_2 _30966_ ( + .A1(_15331_), + .A2(_15277_), + .B1_N(_15334_), + .X(_15335_) + ); + sky130_fd_sc_hd__o2bb2a_2 _30967_ ( + .A1_N(_15335_), + .A2_N(_15329_), + .B1(_11192_), + .B2(_11191_), + .X(_15336_) + ); + sky130_fd_sc_hd__o21a_2 _30968_ ( + .A1(_15329_), + .A2(_15335_), + .B1(_15336_), + .X(_15337_) + ); + sky130_fd_sc_hd__a21o_2 _30969_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[24] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15337_), + .X(_10538_) + ); + sky130_fd_sc_hd__xor2_2 _30970_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04903_), + .X(_15338_) + ); + sky130_fd_sc_hd__o21ai_2 _30971_ ( + .A1(_15329_), + .A2(_15335_), + .B1(_15327_), + .Y(_15339_) + ); + sky130_fd_sc_hd__xnor2_2 _30972_ ( + .A(_15338_), + .B(_15339_), + .Y(_15340_) + ); + sky130_fd_sc_hd__mux2_1 _30973_ ( + .A0(_15340_), + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[25] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10539_) + ); + sky130_fd_sc_hd__xnor2_2 _30974_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04905_), + .Y(_15341_) + ); + sky130_fd_sc_hd__nor2_2 _30975_ ( + .A(_15329_), + .B(_15338_), + .Y(_15342_) + ); + sky130_fd_sc_hd__inv_4 _30976_ ( + .A(_15342_), + .Y(_15343_) + ); + sky130_fd_sc_hd__o221ai_2 _30977_ ( + .A1(_03512_), + .A2(_04903_), + .B1(_15343_), + .B2(_15335_), + .C1(_15327_), + .Y(_15344_) + ); + sky130_fd_sc_hd__nand2_2 _30978_ ( + .A(_15344_), + .B(_15341_), + .Y(_15345_) + ); + sky130_fd_sc_hd__o221a_2 _30979_ ( + .A1(_11191_), + .A2(_11192_), + .B1(_15341_), + .B2(_15344_), + .C1(_15345_), + .X(_15346_) + ); + sky130_fd_sc_hd__a21o_2 _30980_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[26] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15346_), + .X(_10540_) + ); + sky130_fd_sc_hd__o21ai_2 _30981_ ( + .A1(_03512_), + .A2(_04905_), + .B1(_15345_), + .Y(_15347_) + ); + sky130_fd_sc_hd__xnor2_2 _30982_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04907_), + .Y(_15348_) + ); + sky130_fd_sc_hd__xnor2_2 _30983_ ( + .A(_15347_), + .B(_15348_), + .Y(_15349_) + ); + sky130_fd_sc_hd__nand2_2 _30984_ ( + .A(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[27] ), + .B(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .Y(_15350_) + ); + sky130_fd_sc_hd__o21ai_2 _30985_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .A2(_15349_), + .B1(_15350_), + .Y(_10541_) + ); + sky130_fd_sc_hd__xnor2_2 _30986_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04909_), + .Y(_15351_) + ); + sky130_fd_sc_hd__nand3_2 _30987_ ( + .A(_15341_), + .B(_15342_), + .C(_15348_), + .Y(_15352_) + ); + sky130_fd_sc_hd__and4_2 _30988_ ( + .A(_04901_), + .B(_04903_), + .C(_04905_), + .D(_04907_), + .X(_15353_) + ); + sky130_fd_sc_hd__o22ai_2 _30989_ ( + .A1(_03512_), + .A2(_15353_), + .B1(_15352_), + .B2(_15335_), + .Y(_15354_) + ); + sky130_fd_sc_hd__nand2_2 _30990_ ( + .A(_15354_), + .B(_15351_), + .Y(_15355_) + ); + sky130_fd_sc_hd__o22a_2 _30991_ ( + .A1(_11191_), + .A2(_11192_), + .B1(_15351_), + .B2(_15354_), + .X(_15356_) + ); + sky130_fd_sc_hd__a22o_2 _30992_ ( + .A1(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[28] ), + .A2(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15356_), + .B2(_15355_), + .X(_10542_) + ); + sky130_fd_sc_hd__xnor2_2 _30993_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04911_), + .Y(_15357_) + ); + sky130_fd_sc_hd__o21a_2 _30994_ ( + .A1(_03512_), + .A2(_04909_), + .B1(_15355_), + .X(_15358_) + ); + sky130_fd_sc_hd__xor2_2 _30995_ ( + .A(_15357_), + .B(_15358_), + .X(_15359_) + ); + sky130_fd_sc_hd__nand2_2 _30996_ ( + .A(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[29] ), + .B(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .Y(_15360_) + ); + sky130_fd_sc_hd__o21ai_2 _30997_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .A2(_15359_), + .B1(_15360_), + .Y(_10543_) + ); + sky130_fd_sc_hd__nand2_2 _30998_ ( + .A(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[30] ), + .B(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .Y(_15361_) + ); + sky130_fd_sc_hd__nor2_2 _30999_ ( + .A(_04913_), + .B(_03512_), + .Y(_15362_) + ); + sky130_fd_sc_hd__and2b_2 _31000_ ( + .A_N(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04913_), + .X(_15363_) + ); + sky130_fd_sc_hd__nor2_2 _31001_ ( + .A(_15362_), + .B(_15363_), + .Y(_15364_) + ); + sky130_fd_sc_hd__nand3_2 _31002_ ( + .A(_15354_), + .B(_15357_), + .C(_15351_), + .Y(_15365_) + ); + sky130_fd_sc_hd__a21o_2 _31003_ ( + .A1(_04909_), + .A2(_04911_), + .B1(_03512_), + .X(_15366_) + ); + sky130_fd_sc_hd__o211a_2 _31004_ ( + .A1(_15362_), + .A2(_15363_), + .B1(_15366_), + .C1(_15365_), + .X(_15367_) + ); + sky130_fd_sc_hd__a21boi_2 _31005_ ( + .A1(_15365_), + .A2(_15366_), + .B1_N(_15364_), + .Y(_15368_) + ); + sky130_fd_sc_hd__o31ai_2 _31006_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .A2(_15367_), + .A3(_15368_), + .B1(_15361_), + .Y(_10544_) + ); + sky130_fd_sc_hd__xor2_2 _31007_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ), + .B(_04914_), + .X(_15369_) + ); + sky130_fd_sc_hd__nor3_2 _31008_ ( + .A(_15362_), + .B(_15368_), + .C(_15369_), + .Y(_15370_) + ); + sky130_fd_sc_hd__o21ai_2 _31009_ ( + .A1(_15362_), + .A2(_15368_), + .B1(_15369_), + .Y(_15371_) + ); + sky130_fd_sc_hd__o21ai_2 _31010_ ( + .A1(_11191_), + .A2(_11192_), + .B1(_15371_), + .Y(_15372_) + ); + sky130_fd_sc_hd__o2bb2ai_2 _31011_ ( + .A1_N(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[31] ), + .A2_N(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .B1(_15370_), + .B2(_15372_), + .Y(_10545_) + ); + sky130_fd_sc_hd__and2_4 _31012_ ( + .A(_00355_), + .B(_00347_), + .X(_10546_) + ); + sky130_fd_sc_hd__a41o_2 _31013_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv.CsrPlugin_mie_MEIE ), + .X(_15373_) + ); + sky130_fd_sc_hd__o211a_2 _31014_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[11] ), + .A2(_15031_), + .B1(_15373_), + .C1(_00347_), + .X(_10547_) + ); + sky130_fd_sc_hd__a41o_2 _31015_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ), + .A3(_11282_), + .A4(_11285_), + .B1(\soc.core.VexRiscv.CsrPlugin_mie_MTIE ), + .X(_15374_) + ); + sky130_fd_sc_hd__o211a_2 _31016_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_csrMapping_writeDataSignal[7] ), + .A2(_15031_), + .B1(_15374_), + .C1(_00347_), + .X(_10548_) + ); + sky130_fd_sc_hd__nand2b_2 _31017_ ( + .A_N(_00353_), + .B(_00347_), + .Y(_10549_) + ); + sky130_fd_sc_hd__nand2b_2 _31018_ ( + .A_N(_00354_), + .B(_00347_), + .Y(_10550_) + ); + sky130_fd_sc_hd__a211oi_2 _31019_ ( + .A1(_11285_), + .A2(_11305_), + .B1(_11357_), + .C1(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .Y(_10551_) + ); + sky130_fd_sc_hd__nand2_2 _31020_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ), + .B(_11433_), + .Y(_15375_) + ); + sky130_fd_sc_hd__o21ai_2 _31021_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .Y(_15376_) + ); + sky130_fd_sc_hd__a21o_2 _31022_ ( + .A1(_11285_), + .A2(_11305_), + .B1(_05044_), + .X(_15377_) + ); + sky130_fd_sc_hd__o2bb2a_2 _31023_ ( + .A1_N(_05133_), + .A2_N(_13233_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .B2(_15377_), + .X(_15378_) + ); + sky130_fd_sc_hd__a21boi_2 _31024_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .A2(_05132_), + .B1_N(_15378_), + .Y(_15379_) + ); + sky130_fd_sc_hd__nand2_2 _31025_ ( + .A(_15378_), + .B(_15376_), + .Y(_15380_) + ); + sky130_fd_sc_hd__a211o_2 _31026_ ( + .A1(_04796_), + .A2(_15375_), + .B1(_11288_), + .C1(_15380_), + .X(_15381_) + ); + sky130_fd_sc_hd__o211a_2 _31027_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ), + .A2(_15379_), + .B1(_00347_), + .C1(_15381_), + .X(_10552_) + ); + sky130_fd_sc_hd__a211o_2 _31028_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ), + .A2(_11433_), + .B1(_11288_), + .C1(_15380_), + .X(_15382_) + ); + sky130_fd_sc_hd__o211a_2 _31029_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ), + .A2(_15379_), + .B1(_00347_), + .C1(_15382_), + .X(_10553_) + ); + sky130_fd_sc_hd__o31ai_2 _31030_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ), + .A2(_04796_), + .A3(_05044_), + .B1(_15379_), + .Y(_15383_) + ); + sky130_fd_sc_hd__and3_2 _31031_ ( + .A(_15383_), + .B(_15378_), + .C(_00347_), + .X(_10554_) + ); + sky130_fd_sc_hd__a31oi_2 _31032_ ( + .A1(_11279_), + .A2(_11284_), + .A3(_11311_), + .B1(\soc.core.VexRiscv.dBusWishbone_CYC ), + .Y(_15384_) + ); + sky130_fd_sc_hd__a311oi_2 _31033_ ( + .A1(\soc.core.VexRiscv.dBusWishbone_CYC ), + .A2(_04916_), + .A3(_11189_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .C1(_15384_), + .Y(_10555_) + ); + sky130_fd_sc_hd__and2b_2 _31034_ ( + .A_N(_15043_), + .B(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_booted ), + .X(_15385_) + ); + sky130_fd_sc_hd__nand2_2 _31035_ ( + .A(_01385_), + .B(_15385_), + .Y(_15386_) + ); + sky130_fd_sc_hd__o211a_2 _31036_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15386_), + .X(_10556_) + ); + sky130_fd_sc_hd__nand2_2 _31037_ ( + .A(_01393_), + .B(_15385_), + .Y(_15387_) + ); + sky130_fd_sc_hd__o211a_2 _31038_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15387_), + .X(_10557_) + ); + sky130_fd_sc_hd__nand2_2 _31039_ ( + .A(_01401_), + .B(_15385_), + .Y(_15388_) + ); + sky130_fd_sc_hd__o211a_2 _31040_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[4] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15388_), + .X(_10558_) + ); + sky130_fd_sc_hd__nand2_2 _31041_ ( + .A(_01377_), + .B(_15385_), + .Y(_15389_) + ); + sky130_fd_sc_hd__o211a_2 _31042_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[5] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15389_), + .X(_10559_) + ); + sky130_fd_sc_hd__nand2b_2 _31043_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[6] ), + .B(_15385_), + .Y(_15390_) + ); + sky130_fd_sc_hd__o211a_2 _31044_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[6] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15390_), + .X(_10560_) + ); + sky130_fd_sc_hd__nand2b_2 _31045_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[7] ), + .B(_15385_), + .Y(_15391_) + ); + sky130_fd_sc_hd__o211a_2 _31046_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[7] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15391_), + .X(_10561_) + ); + sky130_fd_sc_hd__nand2b_2 _31047_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[8] ), + .B(_15385_), + .Y(_15392_) + ); + sky130_fd_sc_hd__o211a_2 _31048_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[8] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15392_), + .X(_10562_) + ); + sky130_fd_sc_hd__nand2b_2 _31049_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[9] ), + .B(_15385_), + .Y(_15393_) + ); + sky130_fd_sc_hd__o211a_2 _31050_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15393_), + .X(_10563_) + ); + sky130_fd_sc_hd__nand2b_2 _31051_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[10] ), + .B(_15385_), + .Y(_15394_) + ); + sky130_fd_sc_hd__o211a_2 _31052_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15394_), + .X(_10564_) + ); + sky130_fd_sc_hd__nand2b_2 _31053_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[11] ), + .B(_15385_), + .Y(_15395_) + ); + sky130_fd_sc_hd__o211a_2 _31054_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[11] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15395_), + .X(_10565_) + ); + sky130_fd_sc_hd__nand2b_2 _31055_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[12] ), + .B(_15385_), + .Y(_15396_) + ); + sky130_fd_sc_hd__o211a_2 _31056_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15396_), + .X(_10566_) + ); + sky130_fd_sc_hd__nand2b_2 _31057_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[13] ), + .B(_15385_), + .Y(_15397_) + ); + sky130_fd_sc_hd__o211a_2 _31058_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15397_), + .X(_10567_) + ); + sky130_fd_sc_hd__nand2b_2 _31059_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[14] ), + .B(_15385_), + .Y(_15398_) + ); + sky130_fd_sc_hd__o211a_2 _31060_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15398_), + .X(_10568_) + ); + sky130_fd_sc_hd__nand2b_2 _31061_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[15] ), + .B(_15385_), + .Y(_15399_) + ); + sky130_fd_sc_hd__o211a_2 _31062_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15399_), + .X(_10569_) + ); + sky130_fd_sc_hd__nand2b_2 _31063_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[16] ), + .B(_15385_), + .Y(_15400_) + ); + sky130_fd_sc_hd__o211a_2 _31064_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15400_), + .X(_10570_) + ); + sky130_fd_sc_hd__nand2b_2 _31065_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[17] ), + .B(_15385_), + .Y(_15401_) + ); + sky130_fd_sc_hd__o211a_2 _31066_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15401_), + .X(_10571_) + ); + sky130_fd_sc_hd__nand2b_2 _31067_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[18] ), + .B(_15385_), + .Y(_15402_) + ); + sky130_fd_sc_hd__o211a_2 _31068_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15402_), + .X(_10572_) + ); + sky130_fd_sc_hd__nand2b_2 _31069_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[19] ), + .B(_15385_), + .Y(_15403_) + ); + sky130_fd_sc_hd__o211a_2 _31070_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[19] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15403_), + .X(_10573_) + ); + sky130_fd_sc_hd__nand2b_2 _31071_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[20] ), + .B(_15385_), + .Y(_15404_) + ); + sky130_fd_sc_hd__o211a_2 _31072_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15404_), + .X(_10574_) + ); + sky130_fd_sc_hd__nand2b_2 _31073_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[21] ), + .B(_15385_), + .Y(_15405_) + ); + sky130_fd_sc_hd__o211a_2 _31074_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15405_), + .X(_10575_) + ); + sky130_fd_sc_hd__nand2b_2 _31075_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[22] ), + .B(_15385_), + .Y(_15406_) + ); + sky130_fd_sc_hd__o211a_2 _31076_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[22] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15406_), + .X(_10576_) + ); + sky130_fd_sc_hd__nand2b_2 _31077_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[23] ), + .B(_15385_), + .Y(_15407_) + ); + sky130_fd_sc_hd__o211a_2 _31078_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15407_), + .X(_10577_) + ); + sky130_fd_sc_hd__nand2b_2 _31079_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[24] ), + .B(_15385_), + .Y(_15408_) + ); + sky130_fd_sc_hd__o211a_2 _31080_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15408_), + .X(_10578_) + ); + sky130_fd_sc_hd__nand2b_2 _31081_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[25] ), + .B(_15385_), + .Y(_15409_) + ); + sky130_fd_sc_hd__o211a_2 _31082_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15409_), + .X(_10579_) + ); + sky130_fd_sc_hd__nand2b_2 _31083_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[26] ), + .B(_15385_), + .Y(_15410_) + ); + sky130_fd_sc_hd__o211a_2 _31084_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15410_), + .X(_10580_) + ); + sky130_fd_sc_hd__nand2b_2 _31085_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[27] ), + .B(_15385_), + .Y(_15411_) + ); + sky130_fd_sc_hd__o211a_2 _31086_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15411_), + .X(_10581_) + ); + sky130_fd_sc_hd__and2b_2 _31087_ ( + .A_N(_15385_), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[28] ), + .X(_15412_) + ); + sky130_fd_sc_hd__a211o_2 _31088_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[28] ), + .A2(_15385_), + .B1(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .C1(_15412_), + .X(_10582_) + ); + sky130_fd_sc_hd__nand2b_2 _31089_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[29] ), + .B(_15385_), + .Y(_15413_) + ); + sky130_fd_sc_hd__o211a_2 _31090_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15413_), + .X(_10583_) + ); + sky130_fd_sc_hd__nand2b_2 _31091_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[30] ), + .B(_15385_), + .Y(_15414_) + ); + sky130_fd_sc_hd__o211a_2 _31092_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[30] ), + .A2(_15385_), + .B1(_00347_), + .C1(_15414_), + .X(_10584_) + ); + sky130_fd_sc_hd__nand2b_2 _31093_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_prefetch_pc[31] ), + .B(_15385_), + .Y(_15415_) + ); + sky130_fd_sc_hd__o211a_2 _31094_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_isIoAccess ), + .A2(_15385_), + .B1(_00347_), + .C1(_15415_), + .X(_10585_) + ); + sky130_fd_sc_hd__and4_2 _31095_ ( + .A(_11193_), + .B(_11310_), + .C(\soc.core.VexRiscv.memory_arbitration_isValid ), + .D(_00347_), + .X(_10586_) + ); + sky130_fd_sc_hd__a41o_2 _31096_ ( + .A1(\soc.core.VexRiscv.memory_arbitration_isValid ), + .A2(\soc.core.VexRiscv.execute_to_memory_MEMORY_ENABLE ), + .A3(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[5] ), + .A4(\soc.core.VexRiscv.execute_to_memory_ALIGNEMENT_FAULT ), + .B1(_05091_), + .X(_15416_) + ); + sky130_fd_sc_hd__o31a_2 _31097_ ( + .A1(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[1] ), + .A2(\soc.core.VexRiscv.CsrPlugin_selfException_valid ), + .A3(_12476_), + .B1(_15416_), + .X(_10587_) + ); + sky130_fd_sc_hd__a21oi_2 _31098_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l264 ), + .A2(_15026_), + .B1(\soc.core.VexRiscv.DebugPlugin_disableEbreak ), + .Y(_15417_) + ); + sky130_fd_sc_hd__a211oi_2 _31099_ ( + .A1(\soc.core.VexRiscv.when_DebugPlugin_l264_1 ), + .A2(_15026_), + .B1(_15417_), + .C1(\soc.core.int_rst ), + .Y(_10588_) + ); + sky130_fd_sc_hd__mux2_1 _31100_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[2] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[2] ), + .S(_10929_), + .X(_10589_) + ); + sky130_fd_sc_hd__mux2_1 _31101_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[3] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[3] ), + .S(_10929_), + .X(_10590_) + ); + sky130_fd_sc_hd__mux2_1 _31102_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[4] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[4] ), + .S(_10929_), + .X(_10591_) + ); + sky130_fd_sc_hd__mux2_1 _31103_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[5] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ), + .S(_10929_), + .X(_10592_) + ); + sky130_fd_sc_hd__mux2_1 _31104_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[6] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[6] ), + .S(_10929_), + .X(_10593_) + ); + sky130_fd_sc_hd__mux2_1 _31105_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[7] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[7] ), + .S(_10929_), + .X(_10594_) + ); + sky130_fd_sc_hd__mux2_1 _31106_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[8] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[8] ), + .S(_10929_), + .X(_10595_) + ); + sky130_fd_sc_hd__mux2_1 _31107_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[9] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[9] ), + .S(_10929_), + .X(_10596_) + ); + sky130_fd_sc_hd__mux2_1 _31108_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[10] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[10] ), + .S(_10929_), + .X(_10597_) + ); + sky130_fd_sc_hd__mux2_1 _31109_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[11] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[11] ), + .S(_10929_), + .X(_10598_) + ); + sky130_fd_sc_hd__mux2_1 _31110_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[12] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[12] ), + .S(_10929_), + .X(_10599_) + ); + sky130_fd_sc_hd__mux2_1 _31111_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[13] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[13] ), + .S(_10929_), + .X(_10600_) + ); + sky130_fd_sc_hd__mux2_1 _31112_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[14] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[14] ), + .S(_10929_), + .X(_10601_) + ); + sky130_fd_sc_hd__mux2_1 _31113_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[15] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[15] ), + .S(_10929_), + .X(_10602_) + ); + sky130_fd_sc_hd__mux2_1 _31114_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[16] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[16] ), + .S(_10929_), + .X(_10603_) + ); + sky130_fd_sc_hd__mux2_1 _31115_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[17] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[17] ), + .S(_10929_), + .X(_10604_) + ); + sky130_fd_sc_hd__mux2_1 _31116_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[18] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[18] ), + .S(_10929_), + .X(_10605_) + ); + sky130_fd_sc_hd__mux2_1 _31117_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[19] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[19] ), + .S(_10929_), + .X(_10606_) + ); + sky130_fd_sc_hd__mux2_1 _31118_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[20] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[20] ), + .S(_10929_), + .X(_10607_) + ); + sky130_fd_sc_hd__mux2_1 _31119_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[21] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[21] ), + .S(_10929_), + .X(_10608_) + ); + sky130_fd_sc_hd__mux2_1 _31120_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[22] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[22] ), + .S(_10929_), + .X(_10609_) + ); + sky130_fd_sc_hd__mux2_1 _31121_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[23] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[23] ), + .S(_10929_), + .X(_10610_) + ); + sky130_fd_sc_hd__mux2_1 _31122_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[24] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[24] ), + .S(_10929_), + .X(_10611_) + ); + sky130_fd_sc_hd__mux2_1 _31123_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[25] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[25] ), + .S(_10929_), + .X(_10612_) + ); + sky130_fd_sc_hd__mux2_1 _31124_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[26] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[26] ), + .S(_10929_), + .X(_10613_) + ); + sky130_fd_sc_hd__mux2_1 _31125_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[27] ), + .S(_10929_), + .X(_10614_) + ); + sky130_fd_sc_hd__mux2_1 _31126_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[28] ), + .S(_10929_), + .X(_10615_) + ); + sky130_fd_sc_hd__mux2_1 _31127_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[29] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[29] ), + .S(_10929_), + .X(_10616_) + ); + sky130_fd_sc_hd__mux2_1 _31128_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[30] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[30] ), + .S(_10929_), + .X(_10617_) + ); + sky130_fd_sc_hd__mux2_1 _31129_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[31] ), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[31] ), + .S(_10929_), + .X(_10618_) + ); + sky130_fd_sc_hd__and2_4 _31130_ ( + .A(_00351_), + .B(_00347_), + .X(_10619_) + ); + sky130_fd_sc_hd__mux2_1 _31131_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[0] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[0] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10620_) + ); + sky130_fd_sc_hd__mux2_1 _31132_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[1] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10621_) + ); + sky130_fd_sc_hd__mux2_1 _31133_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[2] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[2] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10622_) + ); + sky130_fd_sc_hd__mux2_1 _31134_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[3] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[3] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10623_) + ); + sky130_fd_sc_hd__mux2_1 _31135_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[4] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[4] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10624_) + ); + sky130_fd_sc_hd__mux2_1 _31136_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[5] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[5] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10625_) + ); + sky130_fd_sc_hd__mux2_1 _31137_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[6] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[6] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10626_) + ); + sky130_fd_sc_hd__mux2_1 _31138_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[7] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[7] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10627_) + ); + sky130_fd_sc_hd__mux2_1 _31139_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[8] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[8] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10628_) + ); + sky130_fd_sc_hd__mux2_1 _31140_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[9] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[9] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10629_) + ); + sky130_fd_sc_hd__mux2_1 _31141_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[10] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[10] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10630_) + ); + sky130_fd_sc_hd__mux2_1 _31142_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[11] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[11] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10631_) + ); + sky130_fd_sc_hd__mux2_1 _31143_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[12] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[12] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10632_) + ); + sky130_fd_sc_hd__mux2_1 _31144_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[13] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[13] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10633_) + ); + sky130_fd_sc_hd__mux2_1 _31145_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[14] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[14] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10634_) + ); + sky130_fd_sc_hd__mux2_1 _31146_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[15] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[15] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10635_) + ); + sky130_fd_sc_hd__mux2_1 _31147_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[16] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[16] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10636_) + ); + sky130_fd_sc_hd__mux2_1 _31148_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[17] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[17] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10637_) + ); + sky130_fd_sc_hd__mux2_1 _31149_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[18] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[18] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10638_) + ); + sky130_fd_sc_hd__mux2_1 _31150_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[19] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[19] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10639_) + ); + sky130_fd_sc_hd__mux2_1 _31151_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[20] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[20] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10640_) + ); + sky130_fd_sc_hd__mux2_1 _31152_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[21] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[21] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10641_) + ); + sky130_fd_sc_hd__mux2_1 _31153_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[22] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[22] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10642_) + ); + sky130_fd_sc_hd__mux2_1 _31154_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[23] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[23] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10643_) + ); + sky130_fd_sc_hd__mux2_1 _31155_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[24] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[24] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10644_) + ); + sky130_fd_sc_hd__mux2_1 _31156_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[25] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[25] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10645_) + ); + sky130_fd_sc_hd__mux2_1 _31157_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[26] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[26] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10646_) + ); + sky130_fd_sc_hd__mux2_1 _31158_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[27] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[27] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10647_) + ); + sky130_fd_sc_hd__mux2_1 _31159_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[28] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[28] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10648_) + ); + sky130_fd_sc_hd__mux2_1 _31160_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[29] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[29] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10649_) + ); + sky130_fd_sc_hd__mux2_1 _31161_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[30] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[30] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10650_) + ); + sky130_fd_sc_hd__mux2_1 _31162_ ( + .A0(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[31] ), + .A1(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[31] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10651_) + ); + sky130_fd_sc_hd__o32a_2 _31163_ ( + .A1(_11292_), + .A2(_05087_), + .A3(_15036_), + .B1(_15144_), + .B2(_11285_), + .X(_15418_) + ); + sky130_fd_sc_hd__nor2_2 _31164_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .B(_15418_), + .Y(_10652_) + ); + sky130_fd_sc_hd__a22o_2 _31165_ ( + .A1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .A2(\soc.core.VexRiscv.execute_CsrPlugin_csr_834 ), + .B1(_15158_), + .B2(_11314_), + .X(_10653_) + ); + sky130_fd_sc_hd__and3_2 _31166_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ), + .C(_11313_), + .X(_15419_) + ); + sky130_fd_sc_hd__a22o_2 _31167_ ( + .A1(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15158_), + .B2(_15419_), + .X(_10654_) + ); + sky130_fd_sc_hd__and3_2 _31168_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B(_11285_), + .C(_11294_), + .X(_15420_) + ); + sky130_fd_sc_hd__a21o_2 _31169_ ( + .A1(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15420_), + .X(_10655_) + ); + sky130_fd_sc_hd__and2b_2 _31170_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .X(_15421_) + ); + sky130_fd_sc_hd__and3_2 _31171_ ( + .A(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .C(_11285_), + .X(_15422_) + ); + sky130_fd_sc_hd__a21o_2 _31172_ ( + .A1(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15422_), + .X(_15423_) + ); + sky130_fd_sc_hd__a31o_2 _31173_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .A2(_11285_), + .A3(_11294_), + .B1(_15423_), + .X(_10656_) + ); + sky130_fd_sc_hd__mux2_1 _31174_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[0] ), + .A1(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[0] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10657_) + ); + sky130_fd_sc_hd__mux2_1 _31175_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[1] ), + .A1(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[1] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10658_) + ); + sky130_fd_sc_hd__mux2_1 _31176_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[2] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[0] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10659_) + ); + sky130_fd_sc_hd__mux2_1 _31177_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[3] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[1] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10660_) + ); + sky130_fd_sc_hd__mux2_1 _31178_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[4] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[2] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10661_) + ); + sky130_fd_sc_hd__mux2_1 _31179_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[5] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[3] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10662_) + ); + sky130_fd_sc_hd__mux2_1 _31180_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[6] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[4] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10663_) + ); + sky130_fd_sc_hd__mux2_1 _31181_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[7] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[5] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10664_) + ); + sky130_fd_sc_hd__mux2_1 _31182_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[8] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[6] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10665_) + ); + sky130_fd_sc_hd__mux2_1 _31183_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[9] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[7] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10666_) + ); + sky130_fd_sc_hd__mux2_1 _31184_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[10] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[8] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10667_) + ); + sky130_fd_sc_hd__mux2_1 _31185_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[11] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[9] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10668_) + ); + sky130_fd_sc_hd__mux2_1 _31186_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[12] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[10] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10669_) + ); + sky130_fd_sc_hd__mux2_1 _31187_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[13] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[11] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10670_) + ); + sky130_fd_sc_hd__mux2_1 _31188_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[14] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[12] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10671_) + ); + sky130_fd_sc_hd__mux2_1 _31189_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[15] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[13] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10672_) + ); + sky130_fd_sc_hd__mux2_1 _31190_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[16] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[14] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10673_) + ); + sky130_fd_sc_hd__mux2_1 _31191_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[17] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[15] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10674_) + ); + sky130_fd_sc_hd__mux2_1 _31192_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[18] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[16] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10675_) + ); + sky130_fd_sc_hd__mux2_1 _31193_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[19] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[17] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10676_) + ); + sky130_fd_sc_hd__mux2_1 _31194_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[20] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[18] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10677_) + ); + sky130_fd_sc_hd__mux2_1 _31195_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[21] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[19] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10678_) + ); + sky130_fd_sc_hd__mux2_1 _31196_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[22] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[20] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10679_) + ); + sky130_fd_sc_hd__mux2_1 _31197_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[23] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[21] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10680_) + ); + sky130_fd_sc_hd__mux2_1 _31198_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[24] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[22] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10681_) + ); + sky130_fd_sc_hd__mux2_1 _31199_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[25] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[23] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10682_) + ); + sky130_fd_sc_hd__mux2_1 _31200_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[26] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[24] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10683_) + ); + sky130_fd_sc_hd__mux2_1 _31201_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[27] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[25] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10684_) + ); + sky130_fd_sc_hd__mux2_1 _31202_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[28] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[26] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10685_) + ); + sky130_fd_sc_hd__mux2_1 _31203_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[29] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[27] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10686_) + ); + sky130_fd_sc_hd__mux2_1 _31204_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[30] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[28] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10687_) + ); + sky130_fd_sc_hd__mux2_1 _31205_ ( + .A0(\soc.core.VexRiscv.dBus_cmd_payload_address[31] ), + .A1(\soc.core.VexRiscv.dBusWishbone_ADR[29] ), + .S(\soc.core.VexRiscv.dBusWishbone_CYC ), + .X(_10688_) + ); + sky130_fd_sc_hd__and3_2 _31206_ ( + .A(\soc.core.VexRiscv._zz_lastStageRegFileWrite_valid ), + .B(\soc.core.VexRiscv.lastStageIsFiring ), + .C(_00347_), + .X(_10689_) + ); + sky130_fd_sc_hd__mux2_1 _31207_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[2] ), + .A1(\soc.core.VexRiscv.lastStagePc[2] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10690_) + ); + sky130_fd_sc_hd__mux2_1 _31208_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[3] ), + .A1(\soc.core.VexRiscv.lastStagePc[3] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10691_) + ); + sky130_fd_sc_hd__mux2_1 _31209_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[4] ), + .A1(\soc.core.VexRiscv.lastStagePc[4] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10692_) + ); + sky130_fd_sc_hd__mux2_1 _31210_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[5] ), + .A1(\soc.core.VexRiscv.lastStagePc[5] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10693_) + ); + sky130_fd_sc_hd__mux2_1 _31211_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[6] ), + .A1(\soc.core.VexRiscv.lastStagePc[6] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10694_) + ); + sky130_fd_sc_hd__mux2_1 _31212_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[7] ), + .A1(\soc.core.VexRiscv.lastStagePc[7] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10695_) + ); + sky130_fd_sc_hd__mux2_1 _31213_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[8] ), + .A1(\soc.core.VexRiscv.lastStagePc[8] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10696_) + ); + sky130_fd_sc_hd__mux2_1 _31214_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[9] ), + .A1(\soc.core.VexRiscv.lastStagePc[9] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10697_) + ); + sky130_fd_sc_hd__mux2_1 _31215_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[10] ), + .A1(\soc.core.VexRiscv.lastStagePc[10] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10698_) + ); + sky130_fd_sc_hd__mux2_1 _31216_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[11] ), + .A1(\soc.core.VexRiscv.lastStagePc[11] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10699_) + ); + sky130_fd_sc_hd__mux2_1 _31217_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[12] ), + .A1(\soc.core.VexRiscv.lastStagePc[12] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10700_) + ); + sky130_fd_sc_hd__mux2_1 _31218_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[13] ), + .A1(\soc.core.VexRiscv.lastStagePc[13] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10701_) + ); + sky130_fd_sc_hd__mux2_1 _31219_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[14] ), + .A1(\soc.core.VexRiscv.lastStagePc[14] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10702_) + ); + sky130_fd_sc_hd__mux2_1 _31220_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[15] ), + .A1(\soc.core.VexRiscv.lastStagePc[15] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10703_) + ); + sky130_fd_sc_hd__mux2_1 _31221_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[16] ), + .A1(\soc.core.VexRiscv.lastStagePc[16] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10704_) + ); + sky130_fd_sc_hd__mux2_1 _31222_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[17] ), + .A1(\soc.core.VexRiscv.lastStagePc[17] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10705_) + ); + sky130_fd_sc_hd__mux2_1 _31223_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[18] ), + .A1(\soc.core.VexRiscv.lastStagePc[18] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10706_) + ); + sky130_fd_sc_hd__mux2_1 _31224_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[19] ), + .A1(\soc.core.VexRiscv.lastStagePc[19] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10707_) + ); + sky130_fd_sc_hd__mux2_1 _31225_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[20] ), + .A1(\soc.core.VexRiscv.lastStagePc[20] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10708_) + ); + sky130_fd_sc_hd__mux2_1 _31226_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[21] ), + .A1(\soc.core.VexRiscv.lastStagePc[21] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10709_) + ); + sky130_fd_sc_hd__mux2_1 _31227_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[22] ), + .A1(\soc.core.VexRiscv.lastStagePc[22] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10710_) + ); + sky130_fd_sc_hd__mux2_1 _31228_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[23] ), + .A1(\soc.core.VexRiscv.lastStagePc[23] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10711_) + ); + sky130_fd_sc_hd__mux2_1 _31229_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[24] ), + .A1(\soc.core.VexRiscv.lastStagePc[24] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10712_) + ); + sky130_fd_sc_hd__mux2_1 _31230_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[25] ), + .A1(\soc.core.VexRiscv.lastStagePc[25] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10713_) + ); + sky130_fd_sc_hd__mux2_1 _31231_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[26] ), + .A1(\soc.core.VexRiscv.lastStagePc[26] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10714_) + ); + sky130_fd_sc_hd__mux2_1 _31232_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[27] ), + .A1(\soc.core.VexRiscv.lastStagePc[27] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10715_) + ); + sky130_fd_sc_hd__mux2_1 _31233_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[28] ), + .A1(\soc.core.VexRiscv.lastStagePc[28] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10716_) + ); + sky130_fd_sc_hd__mux2_1 _31234_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[29] ), + .A1(\soc.core.VexRiscv.lastStagePc[29] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10717_) + ); + sky130_fd_sc_hd__mux2_1 _31235_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[30] ), + .A1(\soc.core.VexRiscv.lastStagePc[30] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10718_) + ); + sky130_fd_sc_hd__mux2_1 _31236_ ( + .A0(\soc.core.VexRiscv.execute_to_memory_PC[31] ), + .A1(\soc.core.VexRiscv.lastStagePc[31] ), + .S(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ), + .X(_10719_) + ); + sky130_fd_sc_hd__mux2_1 _31237_ ( + .A0(\soc.core.VexRiscv.decode_to_execute_REGFILE_WRITE_VALID ), + .A1(\soc.core.VexRiscv.execute_to_memory_REGFILE_WRITE_VALID ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10720_) + ); + sky130_fd_sc_hd__a21boi_2 _31238_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .A2(_11295_), + .B1_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .Y(_15424_) + ); + sky130_fd_sc_hd__mux2_1 _31239_ ( + .A0(_11299_), + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .S(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ), + .X(_15425_) + ); + sky130_fd_sc_hd__o211a_2 _31240_ ( + .A1(_15424_), + .A2(_15425_), + .B1(_11323_), + .C1(_11285_), + .X(_15426_) + ); + sky130_fd_sc_hd__a21o_2 _31241_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_REGFILE_WRITE_VALID ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15426_), + .X(_10721_) + ); + sky130_fd_sc_hd__and4bb_2 _31242_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .B_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .C(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .X(_15427_) + ); + sky130_fd_sc_hd__mux2_1 _31243_ ( + .A0(\soc.core.VexRiscv._zz_execute_ALU_CTRL[0] ), + .A1(_15427_), + .S(_11285_), + .X(_10722_) + ); + sky130_fd_sc_hd__and4b_2 _31244_ ( + .A_N(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ), + .B(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .C(_11285_), + .D(_11327_), + .X(_15428_) + ); + sky130_fd_sc_hd__a22o_2 _31245_ ( + .A1(\soc.core.VexRiscv._zz_execute_ALU_CTRL[1] ), + .A2(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .B1(_15428_), + .B2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .X(_10723_) + ); + sky130_fd_sc_hd__o21bai_2 _31246_ ( + .A1(_11192_), + .A2(_11191_), + .B1_N(\soc.core.VexRiscv.decode_to_execute_MEMORY_ENABLE ), + .Y(_10724_) + ); + sky130_fd_sc_hd__a311o_2 _31247_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .A3(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B1(_15162_), + .C1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .X(_15429_) + ); + sky130_fd_sc_hd__o21a_2 _31248_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ), + .A2(_11285_), + .B1(_15429_), + .X(_10725_) + ); + sky130_fd_sc_hd__a311o_2 _31249_ ( + .A1(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ), + .A2(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ), + .A3(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ), + .B1(_15421_), + .C1(\soc.core.VexRiscv.execute_arbitration_isStuck ), + .X(_15430_) + ); + sky130_fd_sc_hd__o21a_2 _31250_ ( + .A1(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ), + .A2(_11285_), + .B1(_15430_), + .X(_10726_) + ); + sky130_fd_sc_hd__o21a_2 _31251_ ( + .A1(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ), + .A2(_11285_), + .B1(_15161_), + .X(_10727_) + ); + sky130_fd_sc_hd__mux2_1 _31252_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[0] ), + .A1(_00032_), + .S(_12982_), + .X(_10728_) + ); + sky130_fd_sc_hd__mux2_1 _31253_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[2] ), + .A1(_00051_), + .S(_12982_), + .X(_10729_) + ); + sky130_fd_sc_hd__mux2_1 _31254_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[3] ), + .A1(_00052_), + .S(_12982_), + .X(_10730_) + ); + sky130_fd_sc_hd__mux2_1 _31255_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[4] ), + .A1(_00053_), + .S(_12982_), + .X(_10731_) + ); + sky130_fd_sc_hd__mux2_1 _31256_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[5] ), + .A1(_00054_), + .S(_12982_), + .X(_10732_) + ); + sky130_fd_sc_hd__mux2_1 _31257_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[6] ), + .A1(_00055_), + .S(_12982_), + .X(_10733_) + ); + sky130_fd_sc_hd__mux2_1 _31258_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[7] ), + .A1(_00056_), + .S(_12982_), + .X(_10734_) + ); + sky130_fd_sc_hd__mux2_1 _31259_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[8] ), + .A1(_00057_), + .S(_12982_), + .X(_10735_) + ); + sky130_fd_sc_hd__mux2_1 _31260_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[9] ), + .A1(_00058_), + .S(_12982_), + .X(_10736_) + ); + sky130_fd_sc_hd__mux2_1 _31261_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[10] ), + .A1(_00033_), + .S(_12982_), + .X(_10737_) + ); + sky130_fd_sc_hd__mux2_1 _31262_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[11] ), + .A1(_00034_), + .S(_12982_), + .X(_10738_) + ); + sky130_fd_sc_hd__mux2_1 _31263_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[12] ), + .A1(_00035_), + .S(_12982_), + .X(_10739_) + ); + sky130_fd_sc_hd__mux2_1 _31264_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[13] ), + .A1(_00036_), + .S(_12982_), + .X(_10740_) + ); + sky130_fd_sc_hd__mux2_1 _31265_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[14] ), + .A1(_00037_), + .S(_12982_), + .X(_10741_) + ); + sky130_fd_sc_hd__mux2_1 _31266_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[15] ), + .A1(_00038_), + .S(_12982_), + .X(_10742_) + ); + sky130_fd_sc_hd__mux2_1 _31267_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[16] ), + .A1(_00039_), + .S(_12982_), + .X(_10743_) + ); + sky130_fd_sc_hd__mux2_1 _31268_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[17] ), + .A1(_00040_), + .S(_12982_), + .X(_10744_) + ); + sky130_fd_sc_hd__mux2_1 _31269_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[18] ), + .A1(_00041_), + .S(_12982_), + .X(_10745_) + ); + sky130_fd_sc_hd__mux2_1 _31270_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[19] ), + .A1(_00042_), + .S(_12982_), + .X(_10746_) + ); + sky130_fd_sc_hd__mux2_1 _31271_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[20] ), + .A1(_00043_), + .S(_12982_), + .X(_10747_) + ); + sky130_fd_sc_hd__mux2_1 _31272_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[21] ), + .A1(_00044_), + .S(_12982_), + .X(_10748_) + ); + sky130_fd_sc_hd__mux2_1 _31273_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[22] ), + .A1(_00045_), + .S(_12982_), + .X(_10749_) + ); + sky130_fd_sc_hd__mux2_1 _31274_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[23] ), + .A1(_00046_), + .S(_12982_), + .X(_10750_) + ); + sky130_fd_sc_hd__mux2_1 _31275_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[24] ), + .A1(_00047_), + .S(_12982_), + .X(_10751_) + ); + sky130_fd_sc_hd__mux2_1 _31276_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[25] ), + .A1(_00048_), + .S(_12982_), + .X(_10752_) + ); + sky130_fd_sc_hd__mux2_1 _31277_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[26] ), + .A1(_00049_), + .S(_12982_), + .X(_10753_) + ); + sky130_fd_sc_hd__mux2_1 _31278_ ( + .A0(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[27] ), + .A1(_00050_), + .S(_12982_), + .X(_10754_) + ); + sky130_fd_sc_hd__o21a_2 _31279_ ( + .A1(_11192_), + .A2(_11191_), + .B1(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[5] ), + .X(_10755_) + ); + sky130_fd_sc_hd__mux2_1 _31280_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[7] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10756_) + ); + sky130_fd_sc_hd__mux2_1 _31281_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[8] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10757_) + ); + sky130_fd_sc_hd__mux2_1 _31282_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[9] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10758_) + ); + sky130_fd_sc_hd__mux2_1 _31283_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[10] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[10] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10759_) + ); + sky130_fd_sc_hd__mux2_1 _31284_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[11] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10760_) + ); + sky130_fd_sc_hd__mux2_1 _31285_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[12] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10761_) + ); + sky130_fd_sc_hd__mux2_1 _31286_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[13] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10762_) + ); + sky130_fd_sc_hd__mux2_1 _31287_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[14] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10763_) + ); + sky130_fd_sc_hd__mux2_1 _31288_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[28] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10764_) + ); + sky130_fd_sc_hd__mux2_1 _31289_ ( + .A0(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ), + .A1(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[29] ), + .S(\soc.core.VexRiscv.memory_arbitration_haltItself ), + .X(_10765_) + ); + sky130_fd_sc_hd__nand2_2 _31290_ ( + .A(_04933_), + .B(_15034_), + .Y(_15431_) + ); + sky130_fd_sc_hd__o21a_2 _31291_ ( + .A1(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[0] ), + .A2(_15034_), + .B1(_15431_), + .X(_10766_) + ); + sky130_fd_sc_hd__nor2_2 _31292_ ( + .A(_04933_), + .B(_05076_), + .Y(_15432_) + ); + sky130_fd_sc_hd__nand2_2 _31293_ ( + .A(_04933_), + .B(_05076_), + .Y(_15433_) + ); + sky130_fd_sc_hd__nand3b_2 _31294_ ( + .A_N(_15432_), + .B(_15433_), + .C(_15034_), + .Y(_15434_) + ); + sky130_fd_sc_hd__o21a_2 _31295_ ( + .A1(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[1] ), + .A2(_15034_), + .B1(_15434_), + .X(_10767_) + ); + sky130_fd_sc_hd__xor2_2 _31296_ ( + .A(_05078_), + .B(_15432_), + .X(_15435_) + ); + sky130_fd_sc_hd__mux2_1 _31297_ ( + .A0(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[2] ), + .A1(_15435_), + .S(_15034_), + .X(_10768_) + ); + sky130_fd_sc_hd__o31a_2 _31298_ ( + .A1(_04933_), + .A2(_05078_), + .A3(_05076_), + .B1(_05080_), + .X(_15436_) + ); + sky130_fd_sc_hd__a21o_2 _31299_ ( + .A1(_11280_), + .A2(_15432_), + .B1(_15436_), + .X(_15437_) + ); + sky130_fd_sc_hd__mux2_1 _31300_ ( + .A0(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[3] ), + .A1(_15437_), + .S(_15034_), + .X(_10769_) + ); + sky130_fd_sc_hd__and3_2 _31301_ ( + .A(_05081_), + .B(_11280_), + .C(_15432_), + .X(_15438_) + ); + sky130_fd_sc_hd__a21oi_2 _31302_ ( + .A1(_11280_), + .A2(_15432_), + .B1(_05081_), + .Y(_15439_) + ); + sky130_fd_sc_hd__nor2_2 _31303_ ( + .A(_15438_), + .B(_15439_), + .Y(_15440_) + ); + sky130_fd_sc_hd__mux2_1 _31304_ ( + .A0(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[4] ), + .A1(_15440_), + .S(_15034_), + .X(_10770_) + ); + sky130_fd_sc_hd__and4b_2 _31305_ ( + .A_N(\soc.core.VexRiscv._zz_execute_ENV_CTRL[0] ), + .B(_05091_), + .C(\soc.core.VexRiscv.execute_arbitration_isValid ), + .D(\soc.core.VexRiscv._zz_execute_ENV_CTRL[1] ), + .X(_15441_) + ); + sky130_fd_sc_hd__a31o_2 _31306_ ( + .A1(_11355_), + .A2(_05091_), + .A3(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[0] ), + .B1(_15441_), + .X(_10771_) + ); + sky130_fd_sc_hd__and4b_2 _31307_ ( + .A_N(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .B(_12406_), + .C(_12408_), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .X(_15442_) + ); + sky130_fd_sc_hd__mux2_1 _31308_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][0] ), + .A1(_12352_), + .S(_15442_), + .X(_10772_) + ); + sky130_fd_sc_hd__mux2_1 _31309_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][1] ), + .A1(_12353_), + .S(_15442_), + .X(_10773_) + ); + sky130_fd_sc_hd__mux2_1 _31310_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][2] ), + .A1(_12354_), + .S(_15442_), + .X(_10774_) + ); + sky130_fd_sc_hd__mux2_1 _31311_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][3] ), + .A1(_12355_), + .S(_15442_), + .X(_10775_) + ); + sky130_fd_sc_hd__mux2_1 _31312_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][4] ), + .A1(_12356_), + .S(_15442_), + .X(_10776_) + ); + sky130_fd_sc_hd__mux2_1 _31313_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][5] ), + .A1(_12357_), + .S(_15442_), + .X(_10777_) + ); + sky130_fd_sc_hd__mux2_1 _31314_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][6] ), + .A1(_12358_), + .S(_15442_), + .X(_10778_) + ); + sky130_fd_sc_hd__mux2_1 _31315_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][7] ), + .A1(_12359_), + .S(_15442_), + .X(_10779_) + ); + sky130_fd_sc_hd__mux2_1 _31316_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][8] ), + .A1(_12360_), + .S(_15442_), + .X(_10780_) + ); + sky130_fd_sc_hd__mux2_1 _31317_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][9] ), + .A1(_12361_), + .S(_15442_), + .X(_10781_) + ); + sky130_fd_sc_hd__mux2_1 _31318_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][10] ), + .A1(_12362_), + .S(_15442_), + .X(_10782_) + ); + sky130_fd_sc_hd__mux2_1 _31319_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][11] ), + .A1(_12363_), + .S(_15442_), + .X(_10783_) + ); + sky130_fd_sc_hd__mux2_1 _31320_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][12] ), + .A1(_12364_), + .S(_15442_), + .X(_10784_) + ); + sky130_fd_sc_hd__mux2_1 _31321_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][13] ), + .A1(_12365_), + .S(_15442_), + .X(_10785_) + ); + sky130_fd_sc_hd__mux2_1 _31322_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][14] ), + .A1(_12366_), + .S(_15442_), + .X(_10786_) + ); + sky130_fd_sc_hd__mux2_1 _31323_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][15] ), + .A1(_12367_), + .S(_15442_), + .X(_10787_) + ); + sky130_fd_sc_hd__mux2_1 _31324_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][16] ), + .A1(_12368_), + .S(_15442_), + .X(_10788_) + ); + sky130_fd_sc_hd__mux2_1 _31325_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][17] ), + .A1(_12369_), + .S(_15442_), + .X(_10789_) + ); + sky130_fd_sc_hd__mux2_1 _31326_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][18] ), + .A1(_12370_), + .S(_15442_), + .X(_10790_) + ); + sky130_fd_sc_hd__mux2_1 _31327_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][19] ), + .A1(_12371_), + .S(_15442_), + .X(_10791_) + ); + sky130_fd_sc_hd__mux2_1 _31328_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][20] ), + .A1(_12372_), + .S(_15442_), + .X(_10792_) + ); + sky130_fd_sc_hd__mux2_1 _31329_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][21] ), + .A1(_12373_), + .S(_15442_), + .X(_10793_) + ); + sky130_fd_sc_hd__mux2_1 _31330_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][22] ), + .A1(_12374_), + .S(_15442_), + .X(_10794_) + ); + sky130_fd_sc_hd__mux2_1 _31331_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][23] ), + .A1(_12375_), + .S(_15442_), + .X(_10795_) + ); + sky130_fd_sc_hd__mux2_1 _31332_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][24] ), + .A1(_12376_), + .S(_15442_), + .X(_10796_) + ); + sky130_fd_sc_hd__mux2_1 _31333_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][25] ), + .A1(_12377_), + .S(_15442_), + .X(_10797_) + ); + sky130_fd_sc_hd__mux2_1 _31334_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][26] ), + .A1(_12378_), + .S(_15442_), + .X(_10798_) + ); + sky130_fd_sc_hd__mux2_1 _31335_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][27] ), + .A1(_12379_), + .S(_15442_), + .X(_10799_) + ); + sky130_fd_sc_hd__mux2_1 _31336_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][28] ), + .A1(_12380_), + .S(_15442_), + .X(_10800_) + ); + sky130_fd_sc_hd__mux2_1 _31337_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][29] ), + .A1(_12381_), + .S(_15442_), + .X(_10801_) + ); + sky130_fd_sc_hd__mux2_1 _31338_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][30] ), + .A1(_12382_), + .S(_15442_), + .X(_10802_) + ); + sky130_fd_sc_hd__mux2_1 _31339_ ( + .A0(\soc.core.VexRiscv.RegFilePlugin_regFile[23][31] ), + .A1(_12383_), + .S(_15442_), + .X(_10803_) + ); + sky130_fd_sc_hd__mux2_1 _31340_ ( + .A0(_00231_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[1] ), + .S(_13099_), + .X(_10804_) + ); + sky130_fd_sc_hd__mux2_1 _31341_ ( + .A0(_00242_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[2] ), + .S(_13099_), + .X(_10805_) + ); + sky130_fd_sc_hd__mux2_1 _31342_ ( + .A0(_00245_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[3] ), + .S(_13099_), + .X(_10806_) + ); + sky130_fd_sc_hd__mux2_1 _31343_ ( + .A0(_00246_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[4] ), + .S(_13099_), + .X(_10807_) + ); + sky130_fd_sc_hd__mux2_1 _31344_ ( + .A0(_00247_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[5] ), + .S(_13099_), + .X(_10808_) + ); + sky130_fd_sc_hd__mux2_1 _31345_ ( + .A0(_00248_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[6] ), + .S(_13099_), + .X(_10809_) + ); + sky130_fd_sc_hd__mux2_1 _31346_ ( + .A0(_00249_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[7] ), + .S(_13099_), + .X(_10810_) + ); + sky130_fd_sc_hd__mux2_1 _31347_ ( + .A0(_00250_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[8] ), + .S(_13099_), + .X(_10811_) + ); + sky130_fd_sc_hd__mux2_1 _31348_ ( + .A0(_00251_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[9] ), + .S(_13099_), + .X(_10812_) + ); + sky130_fd_sc_hd__mux2_1 _31349_ ( + .A0(_00221_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[10] ), + .S(_13099_), + .X(_10813_) + ); + sky130_fd_sc_hd__mux2_1 _31350_ ( + .A0(_00222_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[11] ), + .S(_13099_), + .X(_10814_) + ); + sky130_fd_sc_hd__mux2_1 _31351_ ( + .A0(_00223_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[12] ), + .S(_13099_), + .X(_10815_) + ); + sky130_fd_sc_hd__mux2_1 _31352_ ( + .A0(_00224_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[13] ), + .S(_13099_), + .X(_10816_) + ); + sky130_fd_sc_hd__mux2_1 _31353_ ( + .A0(_00225_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[14] ), + .S(_13099_), + .X(_10817_) + ); + sky130_fd_sc_hd__mux2_1 _31354_ ( + .A0(_00226_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[15] ), + .S(_13099_), + .X(_10818_) + ); + sky130_fd_sc_hd__mux2_1 _31355_ ( + .A0(_00227_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[16] ), + .S(_13099_), + .X(_10819_) + ); + sky130_fd_sc_hd__mux2_1 _31356_ ( + .A0(_00228_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[17] ), + .S(_13099_), + .X(_10820_) + ); + sky130_fd_sc_hd__mux2_1 _31357_ ( + .A0(_00229_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[18] ), + .S(_13099_), + .X(_10821_) + ); + sky130_fd_sc_hd__mux2_1 _31358_ ( + .A0(_00230_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[19] ), + .S(_13099_), + .X(_10822_) + ); + sky130_fd_sc_hd__mux2_1 _31359_ ( + .A0(_00232_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[20] ), + .S(_13099_), + .X(_10823_) + ); + sky130_fd_sc_hd__mux2_1 _31360_ ( + .A0(_00233_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[21] ), + .S(_13099_), + .X(_10824_) + ); + sky130_fd_sc_hd__mux2_1 _31361_ ( + .A0(_00234_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[22] ), + .S(_13099_), + .X(_10825_) + ); + sky130_fd_sc_hd__mux2_1 _31362_ ( + .A0(_00235_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[23] ), + .S(_13099_), + .X(_10826_) + ); + sky130_fd_sc_hd__mux2_1 _31363_ ( + .A0(_00236_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[24] ), + .S(_13099_), + .X(_10827_) + ); + sky130_fd_sc_hd__mux2_1 _31364_ ( + .A0(_00237_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[25] ), + .S(_13099_), + .X(_10828_) + ); + sky130_fd_sc_hd__mux2_1 _31365_ ( + .A0(_00238_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[26] ), + .S(_13099_), + .X(_10829_) + ); + sky130_fd_sc_hd__mux2_1 _31366_ ( + .A0(_00239_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[27] ), + .S(_13099_), + .X(_10830_) + ); + sky130_fd_sc_hd__mux2_1 _31367_ ( + .A0(_00240_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[28] ), + .S(_13099_), + .X(_10831_) + ); + sky130_fd_sc_hd__mux2_1 _31368_ ( + .A0(_00241_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[29] ), + .S(_13099_), + .X(_10832_) + ); + sky130_fd_sc_hd__mux2_1 _31369_ ( + .A0(_00243_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[30] ), + .S(_13099_), + .X(_10833_) + ); + sky130_fd_sc_hd__mux2_1 _31370_ ( + .A0(_00244_), + .A1(\soc.core.mgmtsoc_litespisdrphycore_sr_in[31] ), + .S(_13099_), + .X(_10834_) + ); + sky130_fd_sc_hd__nand4_2 _31371_ ( + .A(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .B(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .C(_12349_), + .D(_12408_), + .Y(_15443_) + ); + sky130_fd_sc_hd__mux2_1 _31372_ ( + .A0(_12352_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][0] ), + .S(_15443_), + .X(_10835_) + ); + sky130_fd_sc_hd__mux2_1 _31373_ ( + .A0(_12353_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][1] ), + .S(_15443_), + .X(_10836_) + ); + sky130_fd_sc_hd__mux2_1 _31374_ ( + .A0(_12354_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][2] ), + .S(_15443_), + .X(_10837_) + ); + sky130_fd_sc_hd__mux2_1 _31375_ ( + .A0(_12355_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][3] ), + .S(_15443_), + .X(_10838_) + ); + sky130_fd_sc_hd__mux2_1 _31376_ ( + .A0(_12356_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][4] ), + .S(_15443_), + .X(_10839_) + ); + sky130_fd_sc_hd__mux2_1 _31377_ ( + .A0(_12357_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][5] ), + .S(_15443_), + .X(_10840_) + ); + sky130_fd_sc_hd__mux2_1 _31378_ ( + .A0(_12358_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][6] ), + .S(_15443_), + .X(_10841_) + ); + sky130_fd_sc_hd__mux2_1 _31379_ ( + .A0(_12359_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][7] ), + .S(_15443_), + .X(_10842_) + ); + sky130_fd_sc_hd__mux2_1 _31380_ ( + .A0(_12360_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][8] ), + .S(_15443_), + .X(_10843_) + ); + sky130_fd_sc_hd__mux2_1 _31381_ ( + .A0(_12361_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][9] ), + .S(_15443_), + .X(_10844_) + ); + sky130_fd_sc_hd__mux2_1 _31382_ ( + .A0(_12362_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][10] ), + .S(_15443_), + .X(_10845_) + ); + sky130_fd_sc_hd__mux2_1 _31383_ ( + .A0(_12363_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][11] ), + .S(_15443_), + .X(_10846_) + ); + sky130_fd_sc_hd__mux2_1 _31384_ ( + .A0(_12364_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][12] ), + .S(_15443_), + .X(_10847_) + ); + sky130_fd_sc_hd__mux2_1 _31385_ ( + .A0(_12365_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][13] ), + .S(_15443_), + .X(_10848_) + ); + sky130_fd_sc_hd__mux2_1 _31386_ ( + .A0(_12366_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][14] ), + .S(_15443_), + .X(_10849_) + ); + sky130_fd_sc_hd__mux2_1 _31387_ ( + .A0(_12367_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][15] ), + .S(_15443_), + .X(_10850_) + ); + sky130_fd_sc_hd__mux2_1 _31388_ ( + .A0(_12368_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][16] ), + .S(_15443_), + .X(_10851_) + ); + sky130_fd_sc_hd__mux2_1 _31389_ ( + .A0(_12369_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][17] ), + .S(_15443_), + .X(_10852_) + ); + sky130_fd_sc_hd__mux2_1 _31390_ ( + .A0(_12370_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][18] ), + .S(_15443_), + .X(_10853_) + ); + sky130_fd_sc_hd__mux2_1 _31391_ ( + .A0(_12371_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][19] ), + .S(_15443_), + .X(_10854_) + ); + sky130_fd_sc_hd__mux2_1 _31392_ ( + .A0(_12372_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][20] ), + .S(_15443_), + .X(_10855_) + ); + sky130_fd_sc_hd__mux2_1 _31393_ ( + .A0(_12373_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][21] ), + .S(_15443_), + .X(_10856_) + ); + sky130_fd_sc_hd__mux2_1 _31394_ ( + .A0(_12374_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][22] ), + .S(_15443_), + .X(_10857_) + ); + sky130_fd_sc_hd__mux2_1 _31395_ ( + .A0(_12375_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][23] ), + .S(_15443_), + .X(_10858_) + ); + sky130_fd_sc_hd__mux2_1 _31396_ ( + .A0(_12376_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][24] ), + .S(_15443_), + .X(_10859_) + ); + sky130_fd_sc_hd__mux2_1 _31397_ ( + .A0(_12377_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][25] ), + .S(_15443_), + .X(_10860_) + ); + sky130_fd_sc_hd__mux2_1 _31398_ ( + .A0(_12378_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][26] ), + .S(_15443_), + .X(_10861_) + ); + sky130_fd_sc_hd__mux2_1 _31399_ ( + .A0(_12379_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][27] ), + .S(_15443_), + .X(_10862_) + ); + sky130_fd_sc_hd__mux2_1 _31400_ ( + .A0(_12380_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][28] ), + .S(_15443_), + .X(_10863_) + ); + sky130_fd_sc_hd__mux2_1 _31401_ ( + .A0(_12381_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][29] ), + .S(_15443_), + .X(_10864_) + ); + sky130_fd_sc_hd__mux2_1 _31402_ ( + .A0(_12382_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][30] ), + .S(_15443_), + .X(_10865_) + ); + sky130_fd_sc_hd__mux2_1 _31403_ ( + .A0(_12383_), + .A1(\soc.core.VexRiscv.RegFilePlugin_regFile[30][31] ), + .S(_15443_), + .X(_10866_) + ); + sky130_fd_sc_hd__nand2_2 _31404_ ( + .A(_04996_), + .B(_13231_), + .Y(_15444_) + ); + sky130_fd_sc_hd__o211a_2 _31405_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[2] ), + .A2(_13231_), + .B1(_15444_), + .C1(_10904_), + .X(_10872_) + ); + sky130_fd_sc_hd__nand2_2 _31406_ ( + .A(_04999_), + .B(_13231_), + .Y(_15445_) + ); + sky130_fd_sc_hd__o211a_2 _31407_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[3] ), + .A2(_13231_), + .B1(_15445_), + .C1(_10904_), + .X(_10873_) + ); + sky130_fd_sc_hd__nand2_2 _31408_ ( + .A(_05002_), + .B(_13231_), + .Y(_15446_) + ); + sky130_fd_sc_hd__o211a_2 _31409_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[4] ), + .A2(_13231_), + .B1(_15446_), + .C1(_10904_), + .X(_10874_) + ); + sky130_fd_sc_hd__nand2_2 _31410_ ( + .A(_05006_), + .B(_13231_), + .Y(_15447_) + ); + sky130_fd_sc_hd__o211a_2 _31411_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[5] ), + .A2(_13231_), + .B1(_15447_), + .C1(_10904_), + .X(_10875_) + ); + sky130_fd_sc_hd__nand2_2 _31412_ ( + .A(_05009_), + .B(_13231_), + .Y(_15448_) + ); + sky130_fd_sc_hd__o211a_2 _31413_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[6] ), + .A2(_13231_), + .B1(_15448_), + .C1(_10904_), + .X(_10876_) + ); + sky130_fd_sc_hd__nand2_2 _31414_ ( + .A(_05012_), + .B(_13231_), + .Y(_15449_) + ); + sky130_fd_sc_hd__o211a_2 _31415_ ( + .A1(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[7] ), + .A2(_13231_), + .B1(_15449_), + .C1(_10904_), + .X(_10877_) + ); + sky130_fd_sc_hd__nor2_2 _31416_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[7] ), + .Y(_15450_) + ); + sky130_fd_sc_hd__inv_4 _31417_ ( + .A(_15450_), + .Y(_05135_) + ); + sky130_fd_sc_hd__nand2b_2 _31418_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[7] ), + .Y(_05136_) + ); + sky130_fd_sc_hd__nor2_2 _31419_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[6] ), + .Y(_15451_) + ); + sky130_fd_sc_hd__inv_4 _31420_ ( + .A(_15451_), + .Y(_05137_) + ); + sky130_fd_sc_hd__nand2b_2 _31421_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[6] ), + .Y(_05138_) + ); + sky130_fd_sc_hd__nor2_2 _31422_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[5] ), + .Y(_15452_) + ); + sky130_fd_sc_hd__inv_4 _31423_ ( + .A(_15452_), + .Y(_05139_) + ); + sky130_fd_sc_hd__nand2b_2 _31424_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[5] ), + .Y(_05140_) + ); + sky130_fd_sc_hd__nor2_2 _31425_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[10] ), + .Y(_15453_) + ); + sky130_fd_sc_hd__inv_4 _31426_ ( + .A(_15453_), + .Y(_05141_) + ); + sky130_fd_sc_hd__nand2b_2 _31427_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[10] ), + .Y(_05142_) + ); + sky130_fd_sc_hd__nor2_2 _31428_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[11] ), + .Y(_15454_) + ); + sky130_fd_sc_hd__inv_4 _31429_ ( + .A(_15454_), + .Y(_05143_) + ); + sky130_fd_sc_hd__nand2b_2 _31430_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[11] ), + .Y(_05144_) + ); + sky130_fd_sc_hd__nor2_2 _31431_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[12] ), + .Y(_15455_) + ); + sky130_fd_sc_hd__inv_4 _31432_ ( + .A(_15455_), + .Y(_05145_) + ); + sky130_fd_sc_hd__nand2b_2 _31433_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[12] ), + .Y(_05146_) + ); + sky130_fd_sc_hd__nor2_2 _31434_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[1] ), + .Y(_15456_) + ); + sky130_fd_sc_hd__inv_4 _31435_ ( + .A(_15456_), + .Y(_05147_) + ); + sky130_fd_sc_hd__nand2b_2 _31436_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[1] ), + .Y(_05148_) + ); + sky130_fd_sc_hd__nor2_2 _31437_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[4] ), + .Y(_15457_) + ); + sky130_fd_sc_hd__inv_4 _31438_ ( + .A(_15457_), + .Y(_05149_) + ); + sky130_fd_sc_hd__nand2b_2 _31439_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[4] ), + .Y(_05150_) + ); + sky130_fd_sc_hd__nor2_2 _31440_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[3] ), + .Y(_15458_) + ); + sky130_fd_sc_hd__inv_4 _31441_ ( + .A(_15458_), + .Y(_05151_) + ); + sky130_fd_sc_hd__nand2b_2 _31442_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[3] ), + .Y(_05152_) + ); + sky130_fd_sc_hd__nor2_2 _31443_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[9] ), + .Y(_15459_) + ); + sky130_fd_sc_hd__inv_4 _31444_ ( + .A(_15459_), + .Y(_05153_) + ); + sky130_fd_sc_hd__nand2b_2 _31445_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[9] ), + .Y(_05154_) + ); + sky130_fd_sc_hd__nor2_2 _31446_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[8] ), + .Y(_15460_) + ); + sky130_fd_sc_hd__inv_4 _31447_ ( + .A(_15460_), + .Y(_05155_) + ); + sky130_fd_sc_hd__nand2b_2 _31448_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[8] ), + .Y(_05156_) + ); + sky130_fd_sc_hd__nor2_2 _31449_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[2] ), + .Y(_15461_) + ); + sky130_fd_sc_hd__inv_4 _31450_ ( + .A(_15461_), + .Y(_05157_) + ); + sky130_fd_sc_hd__nand2b_2 _31451_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[2] ), + .Y(_05158_) + ); + sky130_fd_sc_hd__nor2_2 _31452_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[0] ), + .Y(_15462_) + ); + sky130_fd_sc_hd__inv_4 _31453_ ( + .A(_15462_), + .Y(_05159_) + ); + sky130_fd_sc_hd__nand2b_2 _31454_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_1[0].gpio_defaults[0] ), + .Y(_05160_) + ); + sky130_fd_sc_hd__nor2_2 _31455_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[7] ), + .Y(_15463_) + ); + sky130_fd_sc_hd__inv_4 _31456_ ( + .A(_15463_), + .Y(_05161_) + ); + sky130_fd_sc_hd__nand2b_2 _31457_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[7] ), + .Y(_05162_) + ); + sky130_fd_sc_hd__nor2_2 _31458_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[6] ), + .Y(_15464_) + ); + sky130_fd_sc_hd__inv_4 _31459_ ( + .A(_15464_), + .Y(_05163_) + ); + sky130_fd_sc_hd__nand2b_2 _31460_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[6] ), + .Y(_05164_) + ); + sky130_fd_sc_hd__nor2_2 _31461_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[5] ), + .Y(_15465_) + ); + sky130_fd_sc_hd__inv_4 _31462_ ( + .A(_15465_), + .Y(_05165_) + ); + sky130_fd_sc_hd__nand2b_2 _31463_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[5] ), + .Y(_05166_) + ); + sky130_fd_sc_hd__nor2_2 _31464_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[10] ), + .Y(_15466_) + ); + sky130_fd_sc_hd__inv_4 _31465_ ( + .A(_15466_), + .Y(_05167_) + ); + sky130_fd_sc_hd__nand2b_2 _31466_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[10] ), + .Y(_05168_) + ); + sky130_fd_sc_hd__nor2_2 _31467_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[11] ), + .Y(_15467_) + ); + sky130_fd_sc_hd__inv_4 _31468_ ( + .A(_15467_), + .Y(_05169_) + ); + sky130_fd_sc_hd__nand2b_2 _31469_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[11] ), + .Y(_05170_) + ); + sky130_fd_sc_hd__nor2_2 _31470_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[12] ), + .Y(_15468_) + ); + sky130_fd_sc_hd__inv_4 _31471_ ( + .A(_15468_), + .Y(_05171_) + ); + sky130_fd_sc_hd__nand2b_2 _31472_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[12] ), + .Y(_05172_) + ); + sky130_fd_sc_hd__nor2_2 _31473_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[1] ), + .Y(_15469_) + ); + sky130_fd_sc_hd__inv_4 _31474_ ( + .A(_15469_), + .Y(_05173_) + ); + sky130_fd_sc_hd__nand2b_2 _31475_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[1] ), + .Y(_05174_) + ); + sky130_fd_sc_hd__nor2_2 _31476_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[4] ), + .Y(_15470_) + ); + sky130_fd_sc_hd__inv_4 _31477_ ( + .A(_15470_), + .Y(_05175_) + ); + sky130_fd_sc_hd__nand2b_2 _31478_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[4] ), + .Y(_05176_) + ); + sky130_fd_sc_hd__nor2_2 _31479_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[3] ), + .Y(_15471_) + ); + sky130_fd_sc_hd__inv_4 _31480_ ( + .A(_15471_), + .Y(_05177_) + ); + sky130_fd_sc_hd__nand2b_2 _31481_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[3] ), + .Y(_05178_) + ); + sky130_fd_sc_hd__nor2_2 _31482_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[9] ), + .Y(_15472_) + ); + sky130_fd_sc_hd__inv_4 _31483_ ( + .A(_15472_), + .Y(_05179_) + ); + sky130_fd_sc_hd__nand2b_2 _31484_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[9] ), + .Y(_05180_) + ); + sky130_fd_sc_hd__nor2_2 _31485_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[8] ), + .Y(_15473_) + ); + sky130_fd_sc_hd__inv_4 _31486_ ( + .A(_15473_), + .Y(_05181_) + ); + sky130_fd_sc_hd__nand2b_2 _31487_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[8] ), + .Y(_05182_) + ); + sky130_fd_sc_hd__nor2_2 _31488_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[2] ), + .Y(_15474_) + ); + sky130_fd_sc_hd__inv_4 _31489_ ( + .A(_15474_), + .Y(_05183_) + ); + sky130_fd_sc_hd__nand2b_2 _31490_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[2] ), + .Y(_05184_) + ); + sky130_fd_sc_hd__nor2_2 _31491_ ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[0] ), + .Y(_15475_) + ); + sky130_fd_sc_hd__inv_4 _31492_ ( + .A(_15475_), + .Y(_05185_) + ); + sky130_fd_sc_hd__nand2b_2 _31493_ ( + .A_N(\gpio_control_bidir_1[0].resetn_out ), + .B(\gpio_control_bidir_1[1].gpio_defaults[0] ), + .Y(_05186_) + ); + sky130_fd_sc_hd__nor2_2 _31494_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[7] ), + .Y(_15476_) + ); + sky130_fd_sc_hd__inv_4 _31495_ ( + .A(_15476_), + .Y(_05187_) + ); + sky130_fd_sc_hd__nand2b_2 _31496_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[7] ), + .Y(_05188_) + ); + sky130_fd_sc_hd__nor2_2 _31497_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[6] ), + .Y(_15477_) + ); + sky130_fd_sc_hd__inv_4 _31498_ ( + .A(_15477_), + .Y(_05189_) + ); + sky130_fd_sc_hd__nand2b_2 _31499_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[6] ), + .Y(_05190_) + ); + sky130_fd_sc_hd__nor2_2 _31500_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[5] ), + .Y(_15478_) + ); + sky130_fd_sc_hd__inv_4 _31501_ ( + .A(_15478_), + .Y(_05191_) + ); + sky130_fd_sc_hd__nand2b_2 _31502_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[5] ), + .Y(_05192_) + ); + sky130_fd_sc_hd__nor2_2 _31503_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[10] ), + .Y(_15479_) + ); + sky130_fd_sc_hd__inv_4 _31504_ ( + .A(_15479_), + .Y(_05193_) + ); + sky130_fd_sc_hd__nand2b_2 _31505_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[10] ), + .Y(_05194_) + ); + sky130_fd_sc_hd__nor2_2 _31506_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[11] ), + .Y(_15480_) + ); + sky130_fd_sc_hd__inv_4 _31507_ ( + .A(_15480_), + .Y(_05195_) + ); + sky130_fd_sc_hd__nand2b_2 _31508_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[11] ), + .Y(_05196_) + ); + sky130_fd_sc_hd__nor2_2 _31509_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[12] ), + .Y(_15481_) + ); + sky130_fd_sc_hd__inv_4 _31510_ ( + .A(_15481_), + .Y(_05197_) + ); + sky130_fd_sc_hd__nand2b_2 _31511_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[12] ), + .Y(_05198_) + ); + sky130_fd_sc_hd__nor2_2 _31512_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[1] ), + .Y(_15482_) + ); + sky130_fd_sc_hd__inv_4 _31513_ ( + .A(_15482_), + .Y(_05199_) + ); + sky130_fd_sc_hd__nand2b_2 _31514_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[1] ), + .Y(_05200_) + ); + sky130_fd_sc_hd__nor2_2 _31515_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[4] ), + .Y(_15483_) + ); + sky130_fd_sc_hd__inv_4 _31516_ ( + .A(_15483_), + .Y(_05201_) + ); + sky130_fd_sc_hd__nand2b_2 _31517_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[4] ), + .Y(_05202_) + ); + sky130_fd_sc_hd__nor2_2 _31518_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[3] ), + .Y(_15484_) + ); + sky130_fd_sc_hd__inv_4 _31519_ ( + .A(_15484_), + .Y(_05203_) + ); + sky130_fd_sc_hd__nand2b_2 _31520_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[3] ), + .Y(_05204_) + ); + sky130_fd_sc_hd__nor2_2 _31521_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[9] ), + .Y(_15485_) + ); + sky130_fd_sc_hd__inv_4 _31522_ ( + .A(_15485_), + .Y(_05205_) + ); + sky130_fd_sc_hd__nand2b_2 _31523_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[9] ), + .Y(_05206_) + ); + sky130_fd_sc_hd__nor2_2 _31524_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[8] ), + .Y(_15486_) + ); + sky130_fd_sc_hd__inv_4 _31525_ ( + .A(_15486_), + .Y(_05207_) + ); + sky130_fd_sc_hd__nand2b_2 _31526_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[8] ), + .Y(_05208_) + ); + sky130_fd_sc_hd__nor2_2 _31527_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[2] ), + .Y(_15487_) + ); + sky130_fd_sc_hd__inv_4 _31528_ ( + .A(_15487_), + .Y(_05209_) + ); + sky130_fd_sc_hd__nand2b_2 _31529_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[2] ), + .Y(_05210_) + ); + sky130_fd_sc_hd__nor2_2 _31530_ ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[0] ), + .Y(_15488_) + ); + sky130_fd_sc_hd__inv_4 _31531_ ( + .A(_15488_), + .Y(_05211_) + ); + sky130_fd_sc_hd__nand2b_2 _31532_ ( + .A_N(\gpio_control_bidir_1[1].resetn_out ), + .B(\gpio_control_in_1a[0].gpio_defaults[0] ), + .Y(_05212_) + ); + sky130_fd_sc_hd__nor2_2 _31533_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[7] ), + .Y(_15489_) + ); + sky130_fd_sc_hd__inv_4 _31534_ ( + .A(_15489_), + .Y(_05213_) + ); + sky130_fd_sc_hd__nand2b_2 _31535_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[7] ), + .Y(_05214_) + ); + sky130_fd_sc_hd__nor2_2 _31536_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[6] ), + .Y(_15490_) + ); + sky130_fd_sc_hd__inv_4 _31537_ ( + .A(_15490_), + .Y(_05215_) + ); + sky130_fd_sc_hd__nand2b_2 _31538_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[6] ), + .Y(_05216_) + ); + sky130_fd_sc_hd__nor2_2 _31539_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[5] ), + .Y(_15491_) + ); + sky130_fd_sc_hd__inv_4 _31540_ ( + .A(_15491_), + .Y(_05217_) + ); + sky130_fd_sc_hd__nand2b_2 _31541_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[5] ), + .Y(_05218_) + ); + sky130_fd_sc_hd__nor2_2 _31542_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[10] ), + .Y(_15492_) + ); + sky130_fd_sc_hd__inv_4 _31543_ ( + .A(_15492_), + .Y(_05219_) + ); + sky130_fd_sc_hd__nand2b_2 _31544_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[10] ), + .Y(_05220_) + ); + sky130_fd_sc_hd__nor2_2 _31545_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[11] ), + .Y(_15493_) + ); + sky130_fd_sc_hd__inv_4 _31546_ ( + .A(_15493_), + .Y(_05221_) + ); + sky130_fd_sc_hd__nand2b_2 _31547_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[11] ), + .Y(_05222_) + ); + sky130_fd_sc_hd__nor2_2 _31548_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[12] ), + .Y(_15494_) + ); + sky130_fd_sc_hd__inv_4 _31549_ ( + .A(_15494_), + .Y(_05223_) + ); + sky130_fd_sc_hd__nand2b_2 _31550_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[12] ), + .Y(_05224_) + ); + sky130_fd_sc_hd__nor2_2 _31551_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[1] ), + .Y(_15495_) + ); + sky130_fd_sc_hd__inv_4 _31552_ ( + .A(_15495_), + .Y(_05225_) + ); + sky130_fd_sc_hd__nand2b_2 _31553_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[1] ), + .Y(_05226_) + ); + sky130_fd_sc_hd__nor2_2 _31554_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[4] ), + .Y(_15496_) + ); + sky130_fd_sc_hd__inv_4 _31555_ ( + .A(_15496_), + .Y(_05227_) + ); + sky130_fd_sc_hd__nand2b_2 _31556_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[4] ), + .Y(_05228_) + ); + sky130_fd_sc_hd__nor2_2 _31557_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[3] ), + .Y(_15497_) + ); + sky130_fd_sc_hd__inv_4 _31558_ ( + .A(_15497_), + .Y(_05229_) + ); + sky130_fd_sc_hd__nand2b_2 _31559_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[3] ), + .Y(_05230_) + ); + sky130_fd_sc_hd__nor2_2 _31560_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[9] ), + .Y(_15498_) + ); + sky130_fd_sc_hd__inv_4 _31561_ ( + .A(_15498_), + .Y(_05231_) + ); + sky130_fd_sc_hd__nand2b_2 _31562_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[9] ), + .Y(_05232_) + ); + sky130_fd_sc_hd__nor2_2 _31563_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[8] ), + .Y(_15499_) + ); + sky130_fd_sc_hd__inv_4 _31564_ ( + .A(_15499_), + .Y(_05233_) + ); + sky130_fd_sc_hd__nand2b_2 _31565_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[8] ), + .Y(_05234_) + ); + sky130_fd_sc_hd__nor2_2 _31566_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[2] ), + .Y(_15500_) + ); + sky130_fd_sc_hd__inv_4 _31567_ ( + .A(_15500_), + .Y(_05235_) + ); + sky130_fd_sc_hd__nand2b_2 _31568_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[2] ), + .Y(_05236_) + ); + sky130_fd_sc_hd__nor2_2 _31569_ ( + .A(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[0] ), + .Y(_15501_) + ); + sky130_fd_sc_hd__inv_4 _31570_ ( + .A(_15501_), + .Y(_05237_) + ); + sky130_fd_sc_hd__nand2b_2 _31571_ ( + .A_N(\gpio_control_in_1a[0].resetn_out ), + .B(\gpio_control_in_1a[1].gpio_defaults[0] ), + .Y(_05238_) + ); + sky130_fd_sc_hd__nor2_2 _31572_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[7] ), + .Y(_15502_) + ); + sky130_fd_sc_hd__inv_4 _31573_ ( + .A(_15502_), + .Y(_05239_) + ); + sky130_fd_sc_hd__nand2b_2 _31574_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[7] ), + .Y(_05240_) + ); + sky130_fd_sc_hd__nor2_2 _31575_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[6] ), + .Y(_15503_) + ); + sky130_fd_sc_hd__inv_4 _31576_ ( + .A(_15503_), + .Y(_05241_) + ); + sky130_fd_sc_hd__nand2b_2 _31577_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[6] ), + .Y(_05242_) + ); + sky130_fd_sc_hd__nor2_2 _31578_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[5] ), + .Y(_15504_) + ); + sky130_fd_sc_hd__inv_4 _31579_ ( + .A(_15504_), + .Y(_05243_) + ); + sky130_fd_sc_hd__nand2b_2 _31580_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[5] ), + .Y(_05244_) + ); + sky130_fd_sc_hd__nor2_2 _31581_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[10] ), + .Y(_15505_) + ); + sky130_fd_sc_hd__inv_4 _31582_ ( + .A(_15505_), + .Y(_05245_) + ); + sky130_fd_sc_hd__nand2b_2 _31583_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[10] ), + .Y(_05246_) + ); + sky130_fd_sc_hd__nor2_2 _31584_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[11] ), + .Y(_15506_) + ); + sky130_fd_sc_hd__inv_4 _31585_ ( + .A(_15506_), + .Y(_05247_) + ); + sky130_fd_sc_hd__nand2b_2 _31586_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[11] ), + .Y(_05248_) + ); + sky130_fd_sc_hd__nor2_2 _31587_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[12] ), + .Y(_15507_) + ); + sky130_fd_sc_hd__inv_4 _31588_ ( + .A(_15507_), + .Y(_05249_) + ); + sky130_fd_sc_hd__nand2b_2 _31589_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[12] ), + .Y(_05250_) + ); + sky130_fd_sc_hd__nor2_2 _31590_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[1] ), + .Y(_15508_) + ); + sky130_fd_sc_hd__inv_4 _31591_ ( + .A(_15508_), + .Y(_05251_) + ); + sky130_fd_sc_hd__nand2b_2 _31592_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[1] ), + .Y(_05252_) + ); + sky130_fd_sc_hd__nor2_2 _31593_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[4] ), + .Y(_15509_) + ); + sky130_fd_sc_hd__inv_4 _31594_ ( + .A(_15509_), + .Y(_05253_) + ); + sky130_fd_sc_hd__nand2b_2 _31595_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[4] ), + .Y(_05254_) + ); + sky130_fd_sc_hd__nor2_2 _31596_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[3] ), + .Y(_15510_) + ); + sky130_fd_sc_hd__inv_4 _31597_ ( + .A(_15510_), + .Y(_05255_) + ); + sky130_fd_sc_hd__nand2b_2 _31598_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[3] ), + .Y(_05256_) + ); + sky130_fd_sc_hd__nor2_2 _31599_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[9] ), + .Y(_15511_) + ); + sky130_fd_sc_hd__inv_4 _31600_ ( + .A(_15511_), + .Y(_05257_) + ); + sky130_fd_sc_hd__nand2b_2 _31601_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[9] ), + .Y(_05258_) + ); + sky130_fd_sc_hd__nor2_2 _31602_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[8] ), + .Y(_15512_) + ); + sky130_fd_sc_hd__inv_4 _31603_ ( + .A(_15512_), + .Y(_05259_) + ); + sky130_fd_sc_hd__nand2b_2 _31604_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[8] ), + .Y(_05260_) + ); + sky130_fd_sc_hd__nor2_2 _31605_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[2] ), + .Y(_15513_) + ); + sky130_fd_sc_hd__inv_4 _31606_ ( + .A(_15513_), + .Y(_05261_) + ); + sky130_fd_sc_hd__nand2b_2 _31607_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[2] ), + .Y(_05262_) + ); + sky130_fd_sc_hd__nor2_2 _31608_ ( + .A(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[0] ), + .Y(_15514_) + ); + sky130_fd_sc_hd__inv_4 _31609_ ( + .A(_15514_), + .Y(_05263_) + ); + sky130_fd_sc_hd__nand2b_2 _31610_ ( + .A_N(\gpio_control_in_1a[1].resetn_out ), + .B(\gpio_control_in_1a[2].gpio_defaults[0] ), + .Y(_05264_) + ); + sky130_fd_sc_hd__nor2_2 _31611_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[7] ), + .Y(_15515_) + ); + sky130_fd_sc_hd__inv_4 _31612_ ( + .A(_15515_), + .Y(_05265_) + ); + sky130_fd_sc_hd__nand2b_2 _31613_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[7] ), + .Y(_05266_) + ); + sky130_fd_sc_hd__nor2_2 _31614_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[6] ), + .Y(_15516_) + ); + sky130_fd_sc_hd__inv_4 _31615_ ( + .A(_15516_), + .Y(_05267_) + ); + sky130_fd_sc_hd__nand2b_2 _31616_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[6] ), + .Y(_05268_) + ); + sky130_fd_sc_hd__nor2_2 _31617_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[5] ), + .Y(_15517_) + ); + sky130_fd_sc_hd__inv_4 _31618_ ( + .A(_15517_), + .Y(_05269_) + ); + sky130_fd_sc_hd__nand2b_2 _31619_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[5] ), + .Y(_05270_) + ); + sky130_fd_sc_hd__nor2_2 _31620_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[10] ), + .Y(_15518_) + ); + sky130_fd_sc_hd__inv_4 _31621_ ( + .A(_15518_), + .Y(_05271_) + ); + sky130_fd_sc_hd__nand2b_2 _31622_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[10] ), + .Y(_05272_) + ); + sky130_fd_sc_hd__nor2_2 _31623_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[11] ), + .Y(_15519_) + ); + sky130_fd_sc_hd__inv_4 _31624_ ( + .A(_15519_), + .Y(_05273_) + ); + sky130_fd_sc_hd__nand2b_2 _31625_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[11] ), + .Y(_05274_) + ); + sky130_fd_sc_hd__nor2_2 _31626_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[12] ), + .Y(_15520_) + ); + sky130_fd_sc_hd__inv_4 _31627_ ( + .A(_15520_), + .Y(_05275_) + ); + sky130_fd_sc_hd__nand2b_2 _31628_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[12] ), + .Y(_05276_) + ); + sky130_fd_sc_hd__nor2_2 _31629_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[1] ), + .Y(_15521_) + ); + sky130_fd_sc_hd__inv_4 _31630_ ( + .A(_15521_), + .Y(_05277_) + ); + sky130_fd_sc_hd__nand2b_2 _31631_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[1] ), + .Y(_05278_) + ); + sky130_fd_sc_hd__nor2_2 _31632_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[4] ), + .Y(_15522_) + ); + sky130_fd_sc_hd__inv_4 _31633_ ( + .A(_15522_), + .Y(_05279_) + ); + sky130_fd_sc_hd__nand2b_2 _31634_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[4] ), + .Y(_05280_) + ); + sky130_fd_sc_hd__nor2_2 _31635_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[3] ), + .Y(_15523_) + ); + sky130_fd_sc_hd__inv_4 _31636_ ( + .A(_15523_), + .Y(_05281_) + ); + sky130_fd_sc_hd__nand2b_2 _31637_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[3] ), + .Y(_05282_) + ); + sky130_fd_sc_hd__nor2_2 _31638_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[9] ), + .Y(_15524_) + ); + sky130_fd_sc_hd__inv_4 _31639_ ( + .A(_15524_), + .Y(_05283_) + ); + sky130_fd_sc_hd__nand2b_2 _31640_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[9] ), + .Y(_05284_) + ); + sky130_fd_sc_hd__nor2_2 _31641_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[8] ), + .Y(_15525_) + ); + sky130_fd_sc_hd__inv_4 _31642_ ( + .A(_15525_), + .Y(_05285_) + ); + sky130_fd_sc_hd__nand2b_2 _31643_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[8] ), + .Y(_05286_) + ); + sky130_fd_sc_hd__nor2_2 _31644_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[2] ), + .Y(_15526_) + ); + sky130_fd_sc_hd__inv_4 _31645_ ( + .A(_15526_), + .Y(_05287_) + ); + sky130_fd_sc_hd__nand2b_2 _31646_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[2] ), + .Y(_05288_) + ); + sky130_fd_sc_hd__nor2_2 _31647_ ( + .A(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[0] ), + .Y(_15527_) + ); + sky130_fd_sc_hd__inv_4 _31648_ ( + .A(_15527_), + .Y(_05289_) + ); + sky130_fd_sc_hd__nand2b_2 _31649_ ( + .A_N(\gpio_control_in_1a[2].resetn_out ), + .B(\gpio_control_in_1a[3].gpio_defaults[0] ), + .Y(_05290_) + ); + sky130_fd_sc_hd__nor2_2 _31650_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[7] ), + .Y(_15528_) + ); + sky130_fd_sc_hd__inv_4 _31651_ ( + .A(_15528_), + .Y(_05291_) + ); + sky130_fd_sc_hd__nand2b_2 _31652_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[7] ), + .Y(_05292_) + ); + sky130_fd_sc_hd__nor2_2 _31653_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[6] ), + .Y(_15529_) + ); + sky130_fd_sc_hd__inv_4 _31654_ ( + .A(_15529_), + .Y(_05293_) + ); + sky130_fd_sc_hd__nand2b_2 _31655_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[6] ), + .Y(_05294_) + ); + sky130_fd_sc_hd__nor2_2 _31656_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[5] ), + .Y(_15530_) + ); + sky130_fd_sc_hd__inv_4 _31657_ ( + .A(_15530_), + .Y(_05295_) + ); + sky130_fd_sc_hd__nand2b_2 _31658_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[5] ), + .Y(_05296_) + ); + sky130_fd_sc_hd__nor2_2 _31659_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[10] ), + .Y(_15531_) + ); + sky130_fd_sc_hd__inv_4 _31660_ ( + .A(_15531_), + .Y(_05297_) + ); + sky130_fd_sc_hd__nand2b_2 _31661_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[10] ), + .Y(_05298_) + ); + sky130_fd_sc_hd__nor2_2 _31662_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[11] ), + .Y(_15532_) + ); + sky130_fd_sc_hd__inv_4 _31663_ ( + .A(_15532_), + .Y(_05299_) + ); + sky130_fd_sc_hd__nand2b_2 _31664_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[11] ), + .Y(_05300_) + ); + sky130_fd_sc_hd__nor2_2 _31665_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[12] ), + .Y(_15533_) + ); + sky130_fd_sc_hd__inv_4 _31666_ ( + .A(_15533_), + .Y(_05301_) + ); + sky130_fd_sc_hd__nand2b_2 _31667_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[12] ), + .Y(_05302_) + ); + sky130_fd_sc_hd__nor2_2 _31668_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[1] ), + .Y(_15534_) + ); + sky130_fd_sc_hd__inv_4 _31669_ ( + .A(_15534_), + .Y(_05303_) + ); + sky130_fd_sc_hd__nand2b_2 _31670_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[1] ), + .Y(_05304_) + ); + sky130_fd_sc_hd__nor2_2 _31671_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[4] ), + .Y(_15535_) + ); + sky130_fd_sc_hd__inv_4 _31672_ ( + .A(_15535_), + .Y(_05305_) + ); + sky130_fd_sc_hd__nand2b_2 _31673_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[4] ), + .Y(_05306_) + ); + sky130_fd_sc_hd__nor2_2 _31674_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[3] ), + .Y(_15536_) + ); + sky130_fd_sc_hd__inv_4 _31675_ ( + .A(_15536_), + .Y(_05307_) + ); + sky130_fd_sc_hd__nand2b_2 _31676_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[3] ), + .Y(_05308_) + ); + sky130_fd_sc_hd__nor2_2 _31677_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[9] ), + .Y(_15537_) + ); + sky130_fd_sc_hd__inv_4 _31678_ ( + .A(_15537_), + .Y(_05309_) + ); + sky130_fd_sc_hd__nand2b_2 _31679_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[9] ), + .Y(_05310_) + ); + sky130_fd_sc_hd__nor2_2 _31680_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[8] ), + .Y(_15538_) + ); + sky130_fd_sc_hd__inv_4 _31681_ ( + .A(_15538_), + .Y(_05311_) + ); + sky130_fd_sc_hd__nand2b_2 _31682_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[8] ), + .Y(_05312_) + ); + sky130_fd_sc_hd__nor2_2 _31683_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[2] ), + .Y(_15539_) + ); + sky130_fd_sc_hd__inv_4 _31684_ ( + .A(_15539_), + .Y(_05313_) + ); + sky130_fd_sc_hd__nand2b_2 _31685_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[2] ), + .Y(_05314_) + ); + sky130_fd_sc_hd__nor2_2 _31686_ ( + .A(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[0] ), + .Y(_15540_) + ); + sky130_fd_sc_hd__inv_4 _31687_ ( + .A(_15540_), + .Y(_05315_) + ); + sky130_fd_sc_hd__nand2b_2 _31688_ ( + .A_N(\gpio_control_in_1a[3].resetn_out ), + .B(\gpio_control_in_1a[4].gpio_defaults[0] ), + .Y(_05316_) + ); + sky130_fd_sc_hd__nor2_2 _31689_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[7] ), + .Y(_15541_) + ); + sky130_fd_sc_hd__inv_4 _31690_ ( + .A(_15541_), + .Y(_05317_) + ); + sky130_fd_sc_hd__nand2b_2 _31691_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[7] ), + .Y(_05318_) + ); + sky130_fd_sc_hd__nor2_2 _31692_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[6] ), + .Y(_15542_) + ); + sky130_fd_sc_hd__inv_4 _31693_ ( + .A(_15542_), + .Y(_05319_) + ); + sky130_fd_sc_hd__nand2b_2 _31694_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[6] ), + .Y(_05320_) + ); + sky130_fd_sc_hd__nor2_2 _31695_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[5] ), + .Y(_15543_) + ); + sky130_fd_sc_hd__inv_4 _31696_ ( + .A(_15543_), + .Y(_05321_) + ); + sky130_fd_sc_hd__nand2b_2 _31697_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[5] ), + .Y(_05322_) + ); + sky130_fd_sc_hd__nor2_2 _31698_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[10] ), + .Y(_15544_) + ); + sky130_fd_sc_hd__inv_4 _31699_ ( + .A(_15544_), + .Y(_05323_) + ); + sky130_fd_sc_hd__nand2b_2 _31700_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[10] ), + .Y(_05324_) + ); + sky130_fd_sc_hd__nor2_2 _31701_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[11] ), + .Y(_15545_) + ); + sky130_fd_sc_hd__inv_4 _31702_ ( + .A(_15545_), + .Y(_05325_) + ); + sky130_fd_sc_hd__nand2b_2 _31703_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[11] ), + .Y(_05326_) + ); + sky130_fd_sc_hd__nor2_2 _31704_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[12] ), + .Y(_15546_) + ); + sky130_fd_sc_hd__inv_4 _31705_ ( + .A(_15546_), + .Y(_05327_) + ); + sky130_fd_sc_hd__nand2b_2 _31706_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[12] ), + .Y(_05328_) + ); + sky130_fd_sc_hd__nor2_2 _31707_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[1] ), + .Y(_15547_) + ); + sky130_fd_sc_hd__inv_4 _31708_ ( + .A(_15547_), + .Y(_05329_) + ); + sky130_fd_sc_hd__nand2b_2 _31709_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[1] ), + .Y(_05330_) + ); + sky130_fd_sc_hd__nor2_2 _31710_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[4] ), + .Y(_15548_) + ); + sky130_fd_sc_hd__inv_4 _31711_ ( + .A(_15548_), + .Y(_05331_) + ); + sky130_fd_sc_hd__nand2b_2 _31712_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[4] ), + .Y(_05332_) + ); + sky130_fd_sc_hd__nor2_2 _31713_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[3] ), + .Y(_15549_) + ); + sky130_fd_sc_hd__inv_4 _31714_ ( + .A(_15549_), + .Y(_05333_) + ); + sky130_fd_sc_hd__nand2b_2 _31715_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[3] ), + .Y(_05334_) + ); + sky130_fd_sc_hd__nor2_2 _31716_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[9] ), + .Y(_15550_) + ); + sky130_fd_sc_hd__inv_4 _31717_ ( + .A(_15550_), + .Y(_05335_) + ); + sky130_fd_sc_hd__nand2b_2 _31718_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[9] ), + .Y(_05336_) + ); + sky130_fd_sc_hd__nor2_2 _31719_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[8] ), + .Y(_15551_) + ); + sky130_fd_sc_hd__inv_4 _31720_ ( + .A(_15551_), + .Y(_05337_) + ); + sky130_fd_sc_hd__nand2b_2 _31721_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[8] ), + .Y(_05338_) + ); + sky130_fd_sc_hd__nor2_2 _31722_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[2] ), + .Y(_15552_) + ); + sky130_fd_sc_hd__inv_4 _31723_ ( + .A(_15552_), + .Y(_05339_) + ); + sky130_fd_sc_hd__nand2b_2 _31724_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[2] ), + .Y(_05340_) + ); + sky130_fd_sc_hd__nor2_2 _31725_ ( + .A(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[0] ), + .Y(_15553_) + ); + sky130_fd_sc_hd__inv_4 _31726_ ( + .A(_15553_), + .Y(_05341_) + ); + sky130_fd_sc_hd__nand2b_2 _31727_ ( + .A_N(\gpio_control_in_1a[4].resetn_out ), + .B(\gpio_control_in_1a[5].gpio_defaults[0] ), + .Y(_05342_) + ); + sky130_fd_sc_hd__nor2_2 _31728_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[7] ), + .Y(_15554_) + ); + sky130_fd_sc_hd__inv_4 _31729_ ( + .A(_15554_), + .Y(_05343_) + ); + sky130_fd_sc_hd__nand2b_2 _31730_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[7] ), + .Y(_05344_) + ); + sky130_fd_sc_hd__nor2_2 _31731_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[6] ), + .Y(_15555_) + ); + sky130_fd_sc_hd__inv_4 _31732_ ( + .A(_15555_), + .Y(_05345_) + ); + sky130_fd_sc_hd__nand2b_2 _31733_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[6] ), + .Y(_05346_) + ); + sky130_fd_sc_hd__nor2_2 _31734_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[5] ), + .Y(_15556_) + ); + sky130_fd_sc_hd__inv_4 _31735_ ( + .A(_15556_), + .Y(_05347_) + ); + sky130_fd_sc_hd__nand2b_2 _31736_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[5] ), + .Y(_05348_) + ); + sky130_fd_sc_hd__nor2_2 _31737_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[10] ), + .Y(_15557_) + ); + sky130_fd_sc_hd__inv_4 _31738_ ( + .A(_15557_), + .Y(_05349_) + ); + sky130_fd_sc_hd__nand2b_2 _31739_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[10] ), + .Y(_05350_) + ); + sky130_fd_sc_hd__nor2_2 _31740_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[11] ), + .Y(_15558_) + ); + sky130_fd_sc_hd__inv_4 _31741_ ( + .A(_15558_), + .Y(_05351_) + ); + sky130_fd_sc_hd__nand2b_2 _31742_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[11] ), + .Y(_05352_) + ); + sky130_fd_sc_hd__nor2_2 _31743_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[12] ), + .Y(_15559_) + ); + sky130_fd_sc_hd__inv_4 _31744_ ( + .A(_15559_), + .Y(_05353_) + ); + sky130_fd_sc_hd__nand2b_2 _31745_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[12] ), + .Y(_05354_) + ); + sky130_fd_sc_hd__nor2_2 _31746_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[1] ), + .Y(_15560_) + ); + sky130_fd_sc_hd__inv_4 _31747_ ( + .A(_15560_), + .Y(_05355_) + ); + sky130_fd_sc_hd__nand2b_2 _31748_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[1] ), + .Y(_05356_) + ); + sky130_fd_sc_hd__nor2_2 _31749_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[4] ), + .Y(_15561_) + ); + sky130_fd_sc_hd__inv_4 _31750_ ( + .A(_15561_), + .Y(_05357_) + ); + sky130_fd_sc_hd__nand2b_2 _31751_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[4] ), + .Y(_05358_) + ); + sky130_fd_sc_hd__nor2_2 _31752_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[3] ), + .Y(_15562_) + ); + sky130_fd_sc_hd__inv_4 _31753_ ( + .A(_15562_), + .Y(_05359_) + ); + sky130_fd_sc_hd__nand2b_2 _31754_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[3] ), + .Y(_05360_) + ); + sky130_fd_sc_hd__nor2_2 _31755_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[9] ), + .Y(_15563_) + ); + sky130_fd_sc_hd__inv_4 _31756_ ( + .A(_15563_), + .Y(_05361_) + ); + sky130_fd_sc_hd__nand2b_2 _31757_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[9] ), + .Y(_05362_) + ); + sky130_fd_sc_hd__nor2_2 _31758_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[8] ), + .Y(_15564_) + ); + sky130_fd_sc_hd__inv_4 _31759_ ( + .A(_15564_), + .Y(_05363_) + ); + sky130_fd_sc_hd__nand2b_2 _31760_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[8] ), + .Y(_05364_) + ); + sky130_fd_sc_hd__nor2_2 _31761_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[2] ), + .Y(_15565_) + ); + sky130_fd_sc_hd__inv_4 _31762_ ( + .A(_15565_), + .Y(_05365_) + ); + sky130_fd_sc_hd__nand2b_2 _31763_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[2] ), + .Y(_05366_) + ); + sky130_fd_sc_hd__nor2_2 _31764_ ( + .A(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[0] ), + .Y(_15566_) + ); + sky130_fd_sc_hd__inv_4 _31765_ ( + .A(_15566_), + .Y(_05367_) + ); + sky130_fd_sc_hd__nand2b_2 _31766_ ( + .A_N(\gpio_control_in_1[0].resetn ), + .B(\gpio_control_in_1[0].gpio_defaults[0] ), + .Y(_05368_) + ); + sky130_fd_sc_hd__nor2_2 _31767_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[7] ), + .Y(_15567_) + ); + sky130_fd_sc_hd__inv_4 _31768_ ( + .A(_15567_), + .Y(_05369_) + ); + sky130_fd_sc_hd__nand2b_2 _31769_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[7] ), + .Y(_05370_) + ); + sky130_fd_sc_hd__nor2_2 _31770_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[6] ), + .Y(_15568_) + ); + sky130_fd_sc_hd__inv_4 _31771_ ( + .A(_15568_), + .Y(_05371_) + ); + sky130_fd_sc_hd__nand2b_2 _31772_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[6] ), + .Y(_05372_) + ); + sky130_fd_sc_hd__nor2_2 _31773_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[5] ), + .Y(_15569_) + ); + sky130_fd_sc_hd__inv_4 _31774_ ( + .A(_15569_), + .Y(_05373_) + ); + sky130_fd_sc_hd__nand2b_2 _31775_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[5] ), + .Y(_05374_) + ); + sky130_fd_sc_hd__nor2_2 _31776_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[10] ), + .Y(_15570_) + ); + sky130_fd_sc_hd__inv_4 _31777_ ( + .A(_15570_), + .Y(_05375_) + ); + sky130_fd_sc_hd__nand2b_2 _31778_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[10] ), + .Y(_05376_) + ); + sky130_fd_sc_hd__nor2_2 _31779_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[11] ), + .Y(_15571_) + ); + sky130_fd_sc_hd__inv_4 _31780_ ( + .A(_15571_), + .Y(_05377_) + ); + sky130_fd_sc_hd__nand2b_2 _31781_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[11] ), + .Y(_05378_) + ); + sky130_fd_sc_hd__nor2_2 _31782_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[12] ), + .Y(_15572_) + ); + sky130_fd_sc_hd__inv_4 _31783_ ( + .A(_15572_), + .Y(_05379_) + ); + sky130_fd_sc_hd__nand2b_2 _31784_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[12] ), + .Y(_05380_) + ); + sky130_fd_sc_hd__nor2_2 _31785_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[1] ), + .Y(_15573_) + ); + sky130_fd_sc_hd__inv_4 _31786_ ( + .A(_15573_), + .Y(_05381_) + ); + sky130_fd_sc_hd__nand2b_2 _31787_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[1] ), + .Y(_05382_) + ); + sky130_fd_sc_hd__nor2_2 _31788_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[4] ), + .Y(_15574_) + ); + sky130_fd_sc_hd__inv_4 _31789_ ( + .A(_15574_), + .Y(_05383_) + ); + sky130_fd_sc_hd__nand2b_2 _31790_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[4] ), + .Y(_05384_) + ); + sky130_fd_sc_hd__nor2_2 _31791_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[3] ), + .Y(_15575_) + ); + sky130_fd_sc_hd__inv_4 _31792_ ( + .A(_15575_), + .Y(_05385_) + ); + sky130_fd_sc_hd__nand2b_2 _31793_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[3] ), + .Y(_05386_) + ); + sky130_fd_sc_hd__nor2_2 _31794_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[9] ), + .Y(_15576_) + ); + sky130_fd_sc_hd__inv_4 _31795_ ( + .A(_15576_), + .Y(_05387_) + ); + sky130_fd_sc_hd__nand2b_2 _31796_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[9] ), + .Y(_05388_) + ); + sky130_fd_sc_hd__nor2_2 _31797_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[8] ), + .Y(_15577_) + ); + sky130_fd_sc_hd__inv_4 _31798_ ( + .A(_15577_), + .Y(_05389_) + ); + sky130_fd_sc_hd__nand2b_2 _31799_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[8] ), + .Y(_05390_) + ); + sky130_fd_sc_hd__nor2_2 _31800_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[2] ), + .Y(_15578_) + ); + sky130_fd_sc_hd__inv_4 _31801_ ( + .A(_15578_), + .Y(_05391_) + ); + sky130_fd_sc_hd__nand2b_2 _31802_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[2] ), + .Y(_05392_) + ); + sky130_fd_sc_hd__nor2_2 _31803_ ( + .A(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[0] ), + .Y(_15579_) + ); + sky130_fd_sc_hd__inv_4 _31804_ ( + .A(_15579_), + .Y(_05393_) + ); + sky130_fd_sc_hd__nand2b_2 _31805_ ( + .A_N(\gpio_control_in_1[0].resetn_out ), + .B(\gpio_control_in_1[1].gpio_defaults[0] ), + .Y(_05394_) + ); + sky130_fd_sc_hd__nor2_2 _31806_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[7] ), + .Y(_15580_) + ); + sky130_fd_sc_hd__inv_4 _31807_ ( + .A(_15580_), + .Y(_05395_) + ); + sky130_fd_sc_hd__nand2b_2 _31808_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[7] ), + .Y(_05396_) + ); + sky130_fd_sc_hd__nor2_2 _31809_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[6] ), + .Y(_15581_) + ); + sky130_fd_sc_hd__inv_4 _31810_ ( + .A(_15581_), + .Y(_05397_) + ); + sky130_fd_sc_hd__nand2b_2 _31811_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[6] ), + .Y(_05398_) + ); + sky130_fd_sc_hd__nor2_2 _31812_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[5] ), + .Y(_15582_) + ); + sky130_fd_sc_hd__inv_4 _31813_ ( + .A(_15582_), + .Y(_05399_) + ); + sky130_fd_sc_hd__nand2b_2 _31814_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[5] ), + .Y(_05400_) + ); + sky130_fd_sc_hd__nor2_2 _31815_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[10] ), + .Y(_15583_) + ); + sky130_fd_sc_hd__inv_4 _31816_ ( + .A(_15583_), + .Y(_05401_) + ); + sky130_fd_sc_hd__nand2b_2 _31817_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[10] ), + .Y(_05402_) + ); + sky130_fd_sc_hd__nor2_2 _31818_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[11] ), + .Y(_15584_) + ); + sky130_fd_sc_hd__inv_4 _31819_ ( + .A(_15584_), + .Y(_05403_) + ); + sky130_fd_sc_hd__nand2b_2 _31820_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[11] ), + .Y(_05404_) + ); + sky130_fd_sc_hd__nor2_2 _31821_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[12] ), + .Y(_15585_) + ); + sky130_fd_sc_hd__inv_4 _31822_ ( + .A(_15585_), + .Y(_05405_) + ); + sky130_fd_sc_hd__nand2b_2 _31823_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[12] ), + .Y(_05406_) + ); + sky130_fd_sc_hd__nor2_2 _31824_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[1] ), + .Y(_15586_) + ); + sky130_fd_sc_hd__inv_4 _31825_ ( + .A(_15586_), + .Y(_05407_) + ); + sky130_fd_sc_hd__nand2b_2 _31826_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[1] ), + .Y(_05408_) + ); + sky130_fd_sc_hd__nor2_2 _31827_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[4] ), + .Y(_15587_) + ); + sky130_fd_sc_hd__inv_4 _31828_ ( + .A(_15587_), + .Y(_05409_) + ); + sky130_fd_sc_hd__nand2b_2 _31829_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[4] ), + .Y(_05410_) + ); + sky130_fd_sc_hd__nor2_2 _31830_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[3] ), + .Y(_15588_) + ); + sky130_fd_sc_hd__inv_4 _31831_ ( + .A(_15588_), + .Y(_05411_) + ); + sky130_fd_sc_hd__nand2b_2 _31832_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[3] ), + .Y(_05412_) + ); + sky130_fd_sc_hd__nor2_2 _31833_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[9] ), + .Y(_15589_) + ); + sky130_fd_sc_hd__inv_4 _31834_ ( + .A(_15589_), + .Y(_05413_) + ); + sky130_fd_sc_hd__nand2b_2 _31835_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[9] ), + .Y(_05414_) + ); + sky130_fd_sc_hd__nor2_2 _31836_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[8] ), + .Y(_15590_) + ); + sky130_fd_sc_hd__inv_4 _31837_ ( + .A(_15590_), + .Y(_05415_) + ); + sky130_fd_sc_hd__nand2b_2 _31838_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[8] ), + .Y(_05416_) + ); + sky130_fd_sc_hd__nor2_2 _31839_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[2] ), + .Y(_15591_) + ); + sky130_fd_sc_hd__inv_4 _31840_ ( + .A(_15591_), + .Y(_05417_) + ); + sky130_fd_sc_hd__nand2b_2 _31841_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[2] ), + .Y(_05418_) + ); + sky130_fd_sc_hd__nor2_2 _31842_ ( + .A(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[0] ), + .Y(_15592_) + ); + sky130_fd_sc_hd__inv_4 _31843_ ( + .A(_15592_), + .Y(_05419_) + ); + sky130_fd_sc_hd__nand2b_2 _31844_ ( + .A_N(\gpio_control_in_1[1].resetn_out ), + .B(\gpio_control_in_1[2].gpio_defaults[0] ), + .Y(_05420_) + ); + sky130_fd_sc_hd__nor2_2 _31845_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[7] ), + .Y(_15593_) + ); + sky130_fd_sc_hd__inv_4 _31846_ ( + .A(_15593_), + .Y(_05421_) + ); + sky130_fd_sc_hd__nand2b_2 _31847_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[7] ), + .Y(_05422_) + ); + sky130_fd_sc_hd__nor2_2 _31848_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[6] ), + .Y(_15594_) + ); + sky130_fd_sc_hd__inv_4 _31849_ ( + .A(_15594_), + .Y(_05423_) + ); + sky130_fd_sc_hd__nand2b_2 _31850_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[6] ), + .Y(_05424_) + ); + sky130_fd_sc_hd__nor2_2 _31851_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[5] ), + .Y(_15595_) + ); + sky130_fd_sc_hd__inv_4 _31852_ ( + .A(_15595_), + .Y(_05425_) + ); + sky130_fd_sc_hd__nand2b_2 _31853_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[5] ), + .Y(_05426_) + ); + sky130_fd_sc_hd__nor2_2 _31854_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[10] ), + .Y(_15596_) + ); + sky130_fd_sc_hd__inv_4 _31855_ ( + .A(_15596_), + .Y(_05427_) + ); + sky130_fd_sc_hd__nand2b_2 _31856_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[10] ), + .Y(_05428_) + ); + sky130_fd_sc_hd__nor2_2 _31857_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[11] ), + .Y(_15597_) + ); + sky130_fd_sc_hd__inv_4 _31858_ ( + .A(_15597_), + .Y(_05429_) + ); + sky130_fd_sc_hd__nand2b_2 _31859_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[11] ), + .Y(_05430_) + ); + sky130_fd_sc_hd__nor2_2 _31860_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[12] ), + .Y(_15598_) + ); + sky130_fd_sc_hd__inv_4 _31861_ ( + .A(_15598_), + .Y(_05431_) + ); + sky130_fd_sc_hd__nand2b_2 _31862_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[12] ), + .Y(_05432_) + ); + sky130_fd_sc_hd__nor2_2 _31863_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[1] ), + .Y(_15599_) + ); + sky130_fd_sc_hd__inv_4 _31864_ ( + .A(_15599_), + .Y(_05433_) + ); + sky130_fd_sc_hd__nand2b_2 _31865_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[1] ), + .Y(_05434_) + ); + sky130_fd_sc_hd__nor2_2 _31866_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[4] ), + .Y(_15600_) + ); + sky130_fd_sc_hd__inv_4 _31867_ ( + .A(_15600_), + .Y(_05435_) + ); + sky130_fd_sc_hd__nand2b_2 _31868_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[4] ), + .Y(_05436_) + ); + sky130_fd_sc_hd__nor2_2 _31869_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[3] ), + .Y(_15601_) + ); + sky130_fd_sc_hd__inv_4 _31870_ ( + .A(_15601_), + .Y(_05437_) + ); + sky130_fd_sc_hd__nand2b_2 _31871_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[3] ), + .Y(_05438_) + ); + sky130_fd_sc_hd__nor2_2 _31872_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[9] ), + .Y(_15602_) + ); + sky130_fd_sc_hd__inv_4 _31873_ ( + .A(_15602_), + .Y(_05439_) + ); + sky130_fd_sc_hd__nand2b_2 _31874_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[9] ), + .Y(_05440_) + ); + sky130_fd_sc_hd__nor2_2 _31875_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[8] ), + .Y(_15603_) + ); + sky130_fd_sc_hd__inv_4 _31876_ ( + .A(_15603_), + .Y(_05441_) + ); + sky130_fd_sc_hd__nand2b_2 _31877_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[8] ), + .Y(_05442_) + ); + sky130_fd_sc_hd__nor2_2 _31878_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[2] ), + .Y(_15604_) + ); + sky130_fd_sc_hd__inv_4 _31879_ ( + .A(_15604_), + .Y(_05443_) + ); + sky130_fd_sc_hd__nand2b_2 _31880_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[2] ), + .Y(_05444_) + ); + sky130_fd_sc_hd__nor2_2 _31881_ ( + .A(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[0] ), + .Y(_15605_) + ); + sky130_fd_sc_hd__inv_4 _31882_ ( + .A(_15605_), + .Y(_05445_) + ); + sky130_fd_sc_hd__nand2b_2 _31883_ ( + .A_N(\gpio_control_in_1[2].resetn_out ), + .B(\gpio_control_in_1[3].gpio_defaults[0] ), + .Y(_05446_) + ); + sky130_fd_sc_hd__nor2_2 _31884_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[7] ), + .Y(_15606_) + ); + sky130_fd_sc_hd__inv_4 _31885_ ( + .A(_15606_), + .Y(_05447_) + ); + sky130_fd_sc_hd__nand2b_2 _31886_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[7] ), + .Y(_05448_) + ); + sky130_fd_sc_hd__nor2_2 _31887_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[6] ), + .Y(_15607_) + ); + sky130_fd_sc_hd__inv_4 _31888_ ( + .A(_15607_), + .Y(_05449_) + ); + sky130_fd_sc_hd__nand2b_2 _31889_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[6] ), + .Y(_05450_) + ); + sky130_fd_sc_hd__nor2_2 _31890_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[5] ), + .Y(_15608_) + ); + sky130_fd_sc_hd__inv_4 _31891_ ( + .A(_15608_), + .Y(_05451_) + ); + sky130_fd_sc_hd__nand2b_2 _31892_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[5] ), + .Y(_05452_) + ); + sky130_fd_sc_hd__nor2_2 _31893_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[10] ), + .Y(_15609_) + ); + sky130_fd_sc_hd__inv_4 _31894_ ( + .A(_15609_), + .Y(_05453_) + ); + sky130_fd_sc_hd__nand2b_2 _31895_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[10] ), + .Y(_05454_) + ); + sky130_fd_sc_hd__nor2_2 _31896_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[11] ), + .Y(_15610_) + ); + sky130_fd_sc_hd__inv_4 _31897_ ( + .A(_15610_), + .Y(_05455_) + ); + sky130_fd_sc_hd__nand2b_2 _31898_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[11] ), + .Y(_05456_) + ); + sky130_fd_sc_hd__nor2_2 _31899_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[12] ), + .Y(_15611_) + ); + sky130_fd_sc_hd__inv_4 _31900_ ( + .A(_15611_), + .Y(_05457_) + ); + sky130_fd_sc_hd__nand2b_2 _31901_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[12] ), + .Y(_05458_) + ); + sky130_fd_sc_hd__nor2_2 _31902_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[1] ), + .Y(_15612_) + ); + sky130_fd_sc_hd__inv_4 _31903_ ( + .A(_15612_), + .Y(_05459_) + ); + sky130_fd_sc_hd__nand2b_2 _31904_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[1] ), + .Y(_05460_) + ); + sky130_fd_sc_hd__nor2_2 _31905_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[4] ), + .Y(_15613_) + ); + sky130_fd_sc_hd__inv_4 _31906_ ( + .A(_15613_), + .Y(_05461_) + ); + sky130_fd_sc_hd__nand2b_2 _31907_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[4] ), + .Y(_05462_) + ); + sky130_fd_sc_hd__nor2_2 _31908_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[3] ), + .Y(_15614_) + ); + sky130_fd_sc_hd__inv_4 _31909_ ( + .A(_15614_), + .Y(_05463_) + ); + sky130_fd_sc_hd__nand2b_2 _31910_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[3] ), + .Y(_05464_) + ); + sky130_fd_sc_hd__nor2_2 _31911_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[9] ), + .Y(_15615_) + ); + sky130_fd_sc_hd__inv_4 _31912_ ( + .A(_15615_), + .Y(_05465_) + ); + sky130_fd_sc_hd__nand2b_2 _31913_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[9] ), + .Y(_05466_) + ); + sky130_fd_sc_hd__nor2_2 _31914_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[8] ), + .Y(_15616_) + ); + sky130_fd_sc_hd__inv_4 _31915_ ( + .A(_15616_), + .Y(_05467_) + ); + sky130_fd_sc_hd__nand2b_2 _31916_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[8] ), + .Y(_05468_) + ); + sky130_fd_sc_hd__nor2_2 _31917_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[2] ), + .Y(_15617_) + ); + sky130_fd_sc_hd__inv_4 _31918_ ( + .A(_15617_), + .Y(_05469_) + ); + sky130_fd_sc_hd__nand2b_2 _31919_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[2] ), + .Y(_05470_) + ); + sky130_fd_sc_hd__nor2_2 _31920_ ( + .A(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[0] ), + .Y(_15618_) + ); + sky130_fd_sc_hd__inv_4 _31921_ ( + .A(_15618_), + .Y(_05471_) + ); + sky130_fd_sc_hd__nand2b_2 _31922_ ( + .A_N(\gpio_control_in_1[3].resetn_out ), + .B(\gpio_control_in_1[4].gpio_defaults[0] ), + .Y(_05472_) + ); + sky130_fd_sc_hd__nor2_2 _31923_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[7] ), + .Y(_15619_) + ); + sky130_fd_sc_hd__inv_4 _31924_ ( + .A(_15619_), + .Y(_05473_) + ); + sky130_fd_sc_hd__nand2b_2 _31925_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[7] ), + .Y(_05474_) + ); + sky130_fd_sc_hd__nor2_2 _31926_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[6] ), + .Y(_15620_) + ); + sky130_fd_sc_hd__inv_4 _31927_ ( + .A(_15620_), + .Y(_05475_) + ); + sky130_fd_sc_hd__nand2b_2 _31928_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[6] ), + .Y(_05476_) + ); + sky130_fd_sc_hd__nor2_2 _31929_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[5] ), + .Y(_15621_) + ); + sky130_fd_sc_hd__inv_4 _31930_ ( + .A(_15621_), + .Y(_05477_) + ); + sky130_fd_sc_hd__nand2b_2 _31931_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[5] ), + .Y(_05478_) + ); + sky130_fd_sc_hd__nor2_2 _31932_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[10] ), + .Y(_15622_) + ); + sky130_fd_sc_hd__inv_4 _31933_ ( + .A(_15622_), + .Y(_05479_) + ); + sky130_fd_sc_hd__nand2b_2 _31934_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[10] ), + .Y(_05480_) + ); + sky130_fd_sc_hd__nor2_2 _31935_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[11] ), + .Y(_15623_) + ); + sky130_fd_sc_hd__inv_4 _31936_ ( + .A(_15623_), + .Y(_05481_) + ); + sky130_fd_sc_hd__nand2b_2 _31937_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[11] ), + .Y(_05482_) + ); + sky130_fd_sc_hd__nor2_2 _31938_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[12] ), + .Y(_15624_) + ); + sky130_fd_sc_hd__inv_4 _31939_ ( + .A(_15624_), + .Y(_05483_) + ); + sky130_fd_sc_hd__nand2b_2 _31940_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[12] ), + .Y(_05484_) + ); + sky130_fd_sc_hd__nor2_2 _31941_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[1] ), + .Y(_15625_) + ); + sky130_fd_sc_hd__inv_4 _31942_ ( + .A(_15625_), + .Y(_05485_) + ); + sky130_fd_sc_hd__nand2b_2 _31943_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[1] ), + .Y(_05486_) + ); + sky130_fd_sc_hd__nor2_2 _31944_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[4] ), + .Y(_15626_) + ); + sky130_fd_sc_hd__inv_4 _31945_ ( + .A(_15626_), + .Y(_05487_) + ); + sky130_fd_sc_hd__nand2b_2 _31946_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[4] ), + .Y(_05488_) + ); + sky130_fd_sc_hd__nor2_2 _31947_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[3] ), + .Y(_15627_) + ); + sky130_fd_sc_hd__inv_4 _31948_ ( + .A(_15627_), + .Y(_05489_) + ); + sky130_fd_sc_hd__nand2b_2 _31949_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[3] ), + .Y(_05490_) + ); + sky130_fd_sc_hd__nor2_2 _31950_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[9] ), + .Y(_15628_) + ); + sky130_fd_sc_hd__inv_4 _31951_ ( + .A(_15628_), + .Y(_05491_) + ); + sky130_fd_sc_hd__nand2b_2 _31952_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[9] ), + .Y(_05492_) + ); + sky130_fd_sc_hd__nor2_2 _31953_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[8] ), + .Y(_15629_) + ); + sky130_fd_sc_hd__inv_4 _31954_ ( + .A(_15629_), + .Y(_05493_) + ); + sky130_fd_sc_hd__nand2b_2 _31955_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[8] ), + .Y(_05494_) + ); + sky130_fd_sc_hd__nor2_2 _31956_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[2] ), + .Y(_15630_) + ); + sky130_fd_sc_hd__inv_4 _31957_ ( + .A(_15630_), + .Y(_05495_) + ); + sky130_fd_sc_hd__nand2b_2 _31958_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[2] ), + .Y(_05496_) + ); + sky130_fd_sc_hd__nor2_2 _31959_ ( + .A(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[0] ), + .Y(_15631_) + ); + sky130_fd_sc_hd__inv_4 _31960_ ( + .A(_15631_), + .Y(_05497_) + ); + sky130_fd_sc_hd__nand2b_2 _31961_ ( + .A_N(\gpio_control_in_1[4].resetn_out ), + .B(\gpio_control_in_1[5].gpio_defaults[0] ), + .Y(_05498_) + ); + sky130_fd_sc_hd__nor2_2 _31962_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[7] ), + .Y(_15632_) + ); + sky130_fd_sc_hd__inv_4 _31963_ ( + .A(_15632_), + .Y(_05499_) + ); + sky130_fd_sc_hd__nand2b_2 _31964_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[7] ), + .Y(_05500_) + ); + sky130_fd_sc_hd__nor2_2 _31965_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[6] ), + .Y(_15633_) + ); + sky130_fd_sc_hd__inv_4 _31966_ ( + .A(_15633_), + .Y(_05501_) + ); + sky130_fd_sc_hd__nand2b_2 _31967_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[6] ), + .Y(_05502_) + ); + sky130_fd_sc_hd__nor2_2 _31968_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[5] ), + .Y(_15634_) + ); + sky130_fd_sc_hd__inv_4 _31969_ ( + .A(_15634_), + .Y(_05503_) + ); + sky130_fd_sc_hd__nand2b_2 _31970_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[5] ), + .Y(_05504_) + ); + sky130_fd_sc_hd__nor2_2 _31971_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[10] ), + .Y(_15635_) + ); + sky130_fd_sc_hd__inv_4 _31972_ ( + .A(_15635_), + .Y(_05505_) + ); + sky130_fd_sc_hd__nand2b_2 _31973_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[10] ), + .Y(_05506_) + ); + sky130_fd_sc_hd__nor2_2 _31974_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[11] ), + .Y(_15636_) + ); + sky130_fd_sc_hd__inv_4 _31975_ ( + .A(_15636_), + .Y(_05507_) + ); + sky130_fd_sc_hd__nand2b_2 _31976_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[11] ), + .Y(_05508_) + ); + sky130_fd_sc_hd__nor2_2 _31977_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[12] ), + .Y(_15637_) + ); + sky130_fd_sc_hd__inv_4 _31978_ ( + .A(_15637_), + .Y(_05509_) + ); + sky130_fd_sc_hd__nand2b_2 _31979_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[12] ), + .Y(_05510_) + ); + sky130_fd_sc_hd__nor2_2 _31980_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[1] ), + .Y(_15638_) + ); + sky130_fd_sc_hd__inv_4 _31981_ ( + .A(_15638_), + .Y(_05511_) + ); + sky130_fd_sc_hd__nand2b_2 _31982_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[1] ), + .Y(_05512_) + ); + sky130_fd_sc_hd__nor2_2 _31983_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[4] ), + .Y(_15639_) + ); + sky130_fd_sc_hd__inv_4 _31984_ ( + .A(_15639_), + .Y(_05513_) + ); + sky130_fd_sc_hd__nand2b_2 _31985_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[4] ), + .Y(_05514_) + ); + sky130_fd_sc_hd__nor2_2 _31986_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[3] ), + .Y(_15640_) + ); + sky130_fd_sc_hd__inv_4 _31987_ ( + .A(_15640_), + .Y(_05515_) + ); + sky130_fd_sc_hd__nand2b_2 _31988_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[3] ), + .Y(_05516_) + ); + sky130_fd_sc_hd__nor2_2 _31989_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[9] ), + .Y(_15641_) + ); + sky130_fd_sc_hd__inv_4 _31990_ ( + .A(_15641_), + .Y(_05517_) + ); + sky130_fd_sc_hd__nand2b_2 _31991_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[9] ), + .Y(_05518_) + ); + sky130_fd_sc_hd__nor2_2 _31992_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[8] ), + .Y(_15642_) + ); + sky130_fd_sc_hd__inv_4 _31993_ ( + .A(_15642_), + .Y(_05519_) + ); + sky130_fd_sc_hd__nand2b_2 _31994_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[8] ), + .Y(_05520_) + ); + sky130_fd_sc_hd__nor2_2 _31995_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[2] ), + .Y(_15643_) + ); + sky130_fd_sc_hd__inv_4 _31996_ ( + .A(_15643_), + .Y(_05521_) + ); + sky130_fd_sc_hd__nand2b_2 _31997_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[2] ), + .Y(_05522_) + ); + sky130_fd_sc_hd__nor2_2 _31998_ ( + .A(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[0] ), + .Y(_15644_) + ); + sky130_fd_sc_hd__inv_4 _31999_ ( + .A(_15644_), + .Y(_05523_) + ); + sky130_fd_sc_hd__nand2b_2 _32000_ ( + .A_N(\gpio_control_in_1[5].resetn_out ), + .B(\gpio_control_in_1[6].gpio_defaults[0] ), + .Y(_05524_) + ); + sky130_fd_sc_hd__nor2_2 _32001_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[7] ), + .Y(_15645_) + ); + sky130_fd_sc_hd__inv_4 _32002_ ( + .A(_15645_), + .Y(_05525_) + ); + sky130_fd_sc_hd__nand2b_2 _32003_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[7] ), + .Y(_05526_) + ); + sky130_fd_sc_hd__nor2_2 _32004_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[6] ), + .Y(_15646_) + ); + sky130_fd_sc_hd__inv_4 _32005_ ( + .A(_15646_), + .Y(_05527_) + ); + sky130_fd_sc_hd__nand2b_2 _32006_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[6] ), + .Y(_05528_) + ); + sky130_fd_sc_hd__nor2_2 _32007_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[5] ), + .Y(_15647_) + ); + sky130_fd_sc_hd__inv_4 _32008_ ( + .A(_15647_), + .Y(_05529_) + ); + sky130_fd_sc_hd__nand2b_2 _32009_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[5] ), + .Y(_05530_) + ); + sky130_fd_sc_hd__nor2_2 _32010_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[10] ), + .Y(_15648_) + ); + sky130_fd_sc_hd__inv_4 _32011_ ( + .A(_15648_), + .Y(_05531_) + ); + sky130_fd_sc_hd__nand2b_2 _32012_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[10] ), + .Y(_05532_) + ); + sky130_fd_sc_hd__nor2_2 _32013_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[11] ), + .Y(_15649_) + ); + sky130_fd_sc_hd__inv_4 _32014_ ( + .A(_15649_), + .Y(_05533_) + ); + sky130_fd_sc_hd__nand2b_2 _32015_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[11] ), + .Y(_05534_) + ); + sky130_fd_sc_hd__nor2_2 _32016_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[12] ), + .Y(_15650_) + ); + sky130_fd_sc_hd__inv_4 _32017_ ( + .A(_15650_), + .Y(_05535_) + ); + sky130_fd_sc_hd__nand2b_2 _32018_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[12] ), + .Y(_05536_) + ); + sky130_fd_sc_hd__nor2_2 _32019_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[1] ), + .Y(_15651_) + ); + sky130_fd_sc_hd__inv_4 _32020_ ( + .A(_15651_), + .Y(_05537_) + ); + sky130_fd_sc_hd__nand2b_2 _32021_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[1] ), + .Y(_05538_) + ); + sky130_fd_sc_hd__nor2_2 _32022_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[4] ), + .Y(_15652_) + ); + sky130_fd_sc_hd__inv_4 _32023_ ( + .A(_15652_), + .Y(_05539_) + ); + sky130_fd_sc_hd__nand2b_2 _32024_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[4] ), + .Y(_05540_) + ); + sky130_fd_sc_hd__nor2_2 _32025_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[3] ), + .Y(_15653_) + ); + sky130_fd_sc_hd__inv_4 _32026_ ( + .A(_15653_), + .Y(_05541_) + ); + sky130_fd_sc_hd__nand2b_2 _32027_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[3] ), + .Y(_05542_) + ); + sky130_fd_sc_hd__nor2_2 _32028_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[9] ), + .Y(_15654_) + ); + sky130_fd_sc_hd__inv_4 _32029_ ( + .A(_15654_), + .Y(_05543_) + ); + sky130_fd_sc_hd__nand2b_2 _32030_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[9] ), + .Y(_05544_) + ); + sky130_fd_sc_hd__nor2_2 _32031_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[8] ), + .Y(_15655_) + ); + sky130_fd_sc_hd__inv_4 _32032_ ( + .A(_15655_), + .Y(_05545_) + ); + sky130_fd_sc_hd__nand2b_2 _32033_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[8] ), + .Y(_05546_) + ); + sky130_fd_sc_hd__nor2_2 _32034_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[2] ), + .Y(_15656_) + ); + sky130_fd_sc_hd__inv_4 _32035_ ( + .A(_15656_), + .Y(_05547_) + ); + sky130_fd_sc_hd__nand2b_2 _32036_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[2] ), + .Y(_05548_) + ); + sky130_fd_sc_hd__nor2_2 _32037_ ( + .A(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[0] ), + .Y(_15657_) + ); + sky130_fd_sc_hd__inv_4 _32038_ ( + .A(_15657_), + .Y(_05549_) + ); + sky130_fd_sc_hd__nand2b_2 _32039_ ( + .A_N(\gpio_control_in_1[6].resetn_out ), + .B(\gpio_control_in_1[7].gpio_defaults[0] ), + .Y(_05550_) + ); + sky130_fd_sc_hd__nor2_2 _32040_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[7] ), + .Y(_15658_) + ); + sky130_fd_sc_hd__inv_4 _32041_ ( + .A(_15658_), + .Y(_05551_) + ); + sky130_fd_sc_hd__nand2b_2 _32042_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[7] ), + .Y(_05552_) + ); + sky130_fd_sc_hd__nor2_2 _32043_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[6] ), + .Y(_15659_) + ); + sky130_fd_sc_hd__inv_4 _32044_ ( + .A(_15659_), + .Y(_05553_) + ); + sky130_fd_sc_hd__nand2b_2 _32045_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[6] ), + .Y(_05554_) + ); + sky130_fd_sc_hd__nor2_2 _32046_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[5] ), + .Y(_15660_) + ); + sky130_fd_sc_hd__inv_4 _32047_ ( + .A(_15660_), + .Y(_05555_) + ); + sky130_fd_sc_hd__nand2b_2 _32048_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[5] ), + .Y(_05556_) + ); + sky130_fd_sc_hd__nor2_2 _32049_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[10] ), + .Y(_15661_) + ); + sky130_fd_sc_hd__inv_4 _32050_ ( + .A(_15661_), + .Y(_05557_) + ); + sky130_fd_sc_hd__nand2b_2 _32051_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[10] ), + .Y(_05558_) + ); + sky130_fd_sc_hd__nor2_2 _32052_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[11] ), + .Y(_15662_) + ); + sky130_fd_sc_hd__inv_4 _32053_ ( + .A(_15662_), + .Y(_05559_) + ); + sky130_fd_sc_hd__nand2b_2 _32054_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[11] ), + .Y(_05560_) + ); + sky130_fd_sc_hd__nor2_2 _32055_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[12] ), + .Y(_15663_) + ); + sky130_fd_sc_hd__inv_4 _32056_ ( + .A(_15663_), + .Y(_05561_) + ); + sky130_fd_sc_hd__nand2b_2 _32057_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[12] ), + .Y(_05562_) + ); + sky130_fd_sc_hd__nor2_2 _32058_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[1] ), + .Y(_15664_) + ); + sky130_fd_sc_hd__inv_4 _32059_ ( + .A(_15664_), + .Y(_05563_) + ); + sky130_fd_sc_hd__nand2b_2 _32060_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[1] ), + .Y(_05564_) + ); + sky130_fd_sc_hd__nor2_2 _32061_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[4] ), + .Y(_15665_) + ); + sky130_fd_sc_hd__inv_4 _32062_ ( + .A(_15665_), + .Y(_05565_) + ); + sky130_fd_sc_hd__nand2b_2 _32063_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[4] ), + .Y(_05566_) + ); + sky130_fd_sc_hd__nor2_2 _32064_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[3] ), + .Y(_15666_) + ); + sky130_fd_sc_hd__inv_4 _32065_ ( + .A(_15666_), + .Y(_05567_) + ); + sky130_fd_sc_hd__nand2b_2 _32066_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[3] ), + .Y(_05568_) + ); + sky130_fd_sc_hd__nor2_2 _32067_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[9] ), + .Y(_15667_) + ); + sky130_fd_sc_hd__inv_4 _32068_ ( + .A(_15667_), + .Y(_05569_) + ); + sky130_fd_sc_hd__nand2b_2 _32069_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[9] ), + .Y(_05570_) + ); + sky130_fd_sc_hd__nor2_2 _32070_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[8] ), + .Y(_15668_) + ); + sky130_fd_sc_hd__inv_4 _32071_ ( + .A(_15668_), + .Y(_05571_) + ); + sky130_fd_sc_hd__nand2b_2 _32072_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[8] ), + .Y(_05572_) + ); + sky130_fd_sc_hd__nor2_2 _32073_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[2] ), + .Y(_15669_) + ); + sky130_fd_sc_hd__inv_4 _32074_ ( + .A(_15669_), + .Y(_05573_) + ); + sky130_fd_sc_hd__nand2b_2 _32075_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[2] ), + .Y(_05574_) + ); + sky130_fd_sc_hd__nor2_2 _32076_ ( + .A(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[0] ), + .Y(_15670_) + ); + sky130_fd_sc_hd__inv_4 _32077_ ( + .A(_15670_), + .Y(_05575_) + ); + sky130_fd_sc_hd__nand2b_2 _32078_ ( + .A_N(\gpio_control_in_1[7].resetn_out ), + .B(\gpio_control_in_1[8].gpio_defaults[0] ), + .Y(_05576_) + ); + sky130_fd_sc_hd__nor2_2 _32079_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[7] ), + .Y(_15671_) + ); + sky130_fd_sc_hd__inv_4 _32080_ ( + .A(_15671_), + .Y(_05577_) + ); + sky130_fd_sc_hd__nand2b_2 _32081_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[7] ), + .Y(_05578_) + ); + sky130_fd_sc_hd__nor2_2 _32082_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[6] ), + .Y(_15672_) + ); + sky130_fd_sc_hd__inv_4 _32083_ ( + .A(_15672_), + .Y(_05579_) + ); + sky130_fd_sc_hd__nand2b_2 _32084_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[6] ), + .Y(_05580_) + ); + sky130_fd_sc_hd__nor2_2 _32085_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[5] ), + .Y(_15673_) + ); + sky130_fd_sc_hd__inv_4 _32086_ ( + .A(_15673_), + .Y(_05581_) + ); + sky130_fd_sc_hd__nand2b_2 _32087_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[5] ), + .Y(_05582_) + ); + sky130_fd_sc_hd__nor2_2 _32088_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[10] ), + .Y(_15674_) + ); + sky130_fd_sc_hd__inv_4 _32089_ ( + .A(_15674_), + .Y(_05583_) + ); + sky130_fd_sc_hd__nand2b_2 _32090_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[10] ), + .Y(_05584_) + ); + sky130_fd_sc_hd__nor2_2 _32091_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[11] ), + .Y(_15675_) + ); + sky130_fd_sc_hd__inv_4 _32092_ ( + .A(_15675_), + .Y(_05585_) + ); + sky130_fd_sc_hd__nand2b_2 _32093_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[11] ), + .Y(_05586_) + ); + sky130_fd_sc_hd__nor2_2 _32094_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[12] ), + .Y(_15676_) + ); + sky130_fd_sc_hd__inv_4 _32095_ ( + .A(_15676_), + .Y(_05587_) + ); + sky130_fd_sc_hd__nand2b_2 _32096_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[12] ), + .Y(_05588_) + ); + sky130_fd_sc_hd__nor2_2 _32097_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[1] ), + .Y(_15677_) + ); + sky130_fd_sc_hd__inv_4 _32098_ ( + .A(_15677_), + .Y(_05589_) + ); + sky130_fd_sc_hd__nand2b_2 _32099_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[1] ), + .Y(_05590_) + ); + sky130_fd_sc_hd__nor2_2 _32100_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[4] ), + .Y(_15678_) + ); + sky130_fd_sc_hd__inv_4 _32101_ ( + .A(_15678_), + .Y(_05591_) + ); + sky130_fd_sc_hd__nand2b_2 _32102_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[4] ), + .Y(_05592_) + ); + sky130_fd_sc_hd__nor2_2 _32103_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[3] ), + .Y(_15679_) + ); + sky130_fd_sc_hd__inv_4 _32104_ ( + .A(_15679_), + .Y(_05593_) + ); + sky130_fd_sc_hd__nand2b_2 _32105_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[3] ), + .Y(_05594_) + ); + sky130_fd_sc_hd__nor2_2 _32106_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[9] ), + .Y(_15680_) + ); + sky130_fd_sc_hd__inv_4 _32107_ ( + .A(_15680_), + .Y(_05595_) + ); + sky130_fd_sc_hd__nand2b_2 _32108_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[9] ), + .Y(_05596_) + ); + sky130_fd_sc_hd__nor2_2 _32109_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[8] ), + .Y(_15681_) + ); + sky130_fd_sc_hd__inv_4 _32110_ ( + .A(_15681_), + .Y(_05597_) + ); + sky130_fd_sc_hd__nand2b_2 _32111_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[8] ), + .Y(_05598_) + ); + sky130_fd_sc_hd__nor2_2 _32112_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[2] ), + .Y(_15682_) + ); + sky130_fd_sc_hd__inv_4 _32113_ ( + .A(_15682_), + .Y(_05599_) + ); + sky130_fd_sc_hd__nand2b_2 _32114_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[2] ), + .Y(_05600_) + ); + sky130_fd_sc_hd__nor2_2 _32115_ ( + .A(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[0] ), + .Y(_15683_) + ); + sky130_fd_sc_hd__inv_4 _32116_ ( + .A(_15683_), + .Y(_05601_) + ); + sky130_fd_sc_hd__nand2b_2 _32117_ ( + .A_N(\gpio_control_in_1[8].resetn_out ), + .B(\gpio_control_in_1[9].gpio_defaults[0] ), + .Y(_05602_) + ); + sky130_fd_sc_hd__nor2_2 _32118_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[7] ), + .Y(_15684_) + ); + sky130_fd_sc_hd__inv_4 _32119_ ( + .A(_15684_), + .Y(_05603_) + ); + sky130_fd_sc_hd__nand2b_2 _32120_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[7] ), + .Y(_05604_) + ); + sky130_fd_sc_hd__nor2_2 _32121_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[6] ), + .Y(_15685_) + ); + sky130_fd_sc_hd__inv_4 _32122_ ( + .A(_15685_), + .Y(_05605_) + ); + sky130_fd_sc_hd__nand2b_2 _32123_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[6] ), + .Y(_05606_) + ); + sky130_fd_sc_hd__nor2_2 _32124_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[5] ), + .Y(_15686_) + ); + sky130_fd_sc_hd__inv_4 _32125_ ( + .A(_15686_), + .Y(_05607_) + ); + sky130_fd_sc_hd__nand2b_2 _32126_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[5] ), + .Y(_05608_) + ); + sky130_fd_sc_hd__nor2_2 _32127_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[10] ), + .Y(_15687_) + ); + sky130_fd_sc_hd__inv_4 _32128_ ( + .A(_15687_), + .Y(_05609_) + ); + sky130_fd_sc_hd__nand2b_2 _32129_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[10] ), + .Y(_05610_) + ); + sky130_fd_sc_hd__nor2_2 _32130_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[11] ), + .Y(_15688_) + ); + sky130_fd_sc_hd__inv_4 _32131_ ( + .A(_15688_), + .Y(_05611_) + ); + sky130_fd_sc_hd__nand2b_2 _32132_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[11] ), + .Y(_05612_) + ); + sky130_fd_sc_hd__nor2_2 _32133_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[12] ), + .Y(_15689_) + ); + sky130_fd_sc_hd__inv_4 _32134_ ( + .A(_15689_), + .Y(_05613_) + ); + sky130_fd_sc_hd__nand2b_2 _32135_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[12] ), + .Y(_05614_) + ); + sky130_fd_sc_hd__nor2_2 _32136_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[1] ), + .Y(_15690_) + ); + sky130_fd_sc_hd__inv_4 _32137_ ( + .A(_15690_), + .Y(_05615_) + ); + sky130_fd_sc_hd__nand2b_2 _32138_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[1] ), + .Y(_05616_) + ); + sky130_fd_sc_hd__nor2_2 _32139_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[4] ), + .Y(_15691_) + ); + sky130_fd_sc_hd__inv_4 _32140_ ( + .A(_15691_), + .Y(_05617_) + ); + sky130_fd_sc_hd__nand2b_2 _32141_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[4] ), + .Y(_05618_) + ); + sky130_fd_sc_hd__nor2_2 _32142_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[3] ), + .Y(_15692_) + ); + sky130_fd_sc_hd__inv_4 _32143_ ( + .A(_15692_), + .Y(_05619_) + ); + sky130_fd_sc_hd__nand2b_2 _32144_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[3] ), + .Y(_05620_) + ); + sky130_fd_sc_hd__nor2_2 _32145_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[9] ), + .Y(_15693_) + ); + sky130_fd_sc_hd__inv_4 _32146_ ( + .A(_15693_), + .Y(_05621_) + ); + sky130_fd_sc_hd__nand2b_2 _32147_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[9] ), + .Y(_05622_) + ); + sky130_fd_sc_hd__nor2_2 _32148_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[8] ), + .Y(_15694_) + ); + sky130_fd_sc_hd__inv_4 _32149_ ( + .A(_15694_), + .Y(_05623_) + ); + sky130_fd_sc_hd__nand2b_2 _32150_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[8] ), + .Y(_05624_) + ); + sky130_fd_sc_hd__nor2_2 _32151_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[2] ), + .Y(_15695_) + ); + sky130_fd_sc_hd__inv_4 _32152_ ( + .A(_15695_), + .Y(_05625_) + ); + sky130_fd_sc_hd__nand2b_2 _32153_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[2] ), + .Y(_05626_) + ); + sky130_fd_sc_hd__nor2_2 _32154_ ( + .A(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[0] ), + .Y(_15696_) + ); + sky130_fd_sc_hd__inv_4 _32155_ ( + .A(_15696_), + .Y(_05627_) + ); + sky130_fd_sc_hd__nand2b_2 _32156_ ( + .A_N(\gpio_control_in_1[10].resetn ), + .B(\gpio_control_in_1[10].gpio_defaults[0] ), + .Y(_05628_) + ); + sky130_fd_sc_hd__nor2_2 _32157_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[7] ), + .Y(_15697_) + ); + sky130_fd_sc_hd__inv_4 _32158_ ( + .A(_15697_), + .Y(_05629_) + ); + sky130_fd_sc_hd__nand2b_2 _32159_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[7] ), + .Y(_05630_) + ); + sky130_fd_sc_hd__nor2_2 _32160_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[6] ), + .Y(_15698_) + ); + sky130_fd_sc_hd__inv_4 _32161_ ( + .A(_15698_), + .Y(_05631_) + ); + sky130_fd_sc_hd__nand2b_2 _32162_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[6] ), + .Y(_05632_) + ); + sky130_fd_sc_hd__nor2_2 _32163_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[5] ), + .Y(_15699_) + ); + sky130_fd_sc_hd__inv_4 _32164_ ( + .A(_15699_), + .Y(_05633_) + ); + sky130_fd_sc_hd__nand2b_2 _32165_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[5] ), + .Y(_05634_) + ); + sky130_fd_sc_hd__nor2_2 _32166_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[10] ), + .Y(_15700_) + ); + sky130_fd_sc_hd__inv_4 _32167_ ( + .A(_15700_), + .Y(_05635_) + ); + sky130_fd_sc_hd__nand2b_2 _32168_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[10] ), + .Y(_05636_) + ); + sky130_fd_sc_hd__nor2_2 _32169_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[11] ), + .Y(_15701_) + ); + sky130_fd_sc_hd__inv_4 _32170_ ( + .A(_15701_), + .Y(_05637_) + ); + sky130_fd_sc_hd__nand2b_2 _32171_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[11] ), + .Y(_05638_) + ); + sky130_fd_sc_hd__nor2_2 _32172_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[12] ), + .Y(_15702_) + ); + sky130_fd_sc_hd__inv_4 _32173_ ( + .A(_15702_), + .Y(_05639_) + ); + sky130_fd_sc_hd__nand2b_2 _32174_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[12] ), + .Y(_05640_) + ); + sky130_fd_sc_hd__nor2_2 _32175_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[1] ), + .Y(_15703_) + ); + sky130_fd_sc_hd__inv_4 _32176_ ( + .A(_15703_), + .Y(_05641_) + ); + sky130_fd_sc_hd__nand2b_2 _32177_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[1] ), + .Y(_05642_) + ); + sky130_fd_sc_hd__nor2_2 _32178_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[4] ), + .Y(_15704_) + ); + sky130_fd_sc_hd__inv_4 _32179_ ( + .A(_15704_), + .Y(_05643_) + ); + sky130_fd_sc_hd__nand2b_2 _32180_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[4] ), + .Y(_05644_) + ); + sky130_fd_sc_hd__nor2_2 _32181_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[3] ), + .Y(_15705_) + ); + sky130_fd_sc_hd__inv_4 _32182_ ( + .A(_15705_), + .Y(_05645_) + ); + sky130_fd_sc_hd__nand2b_2 _32183_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[3] ), + .Y(_05646_) + ); + sky130_fd_sc_hd__nor2_2 _32184_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[9] ), + .Y(_15706_) + ); + sky130_fd_sc_hd__inv_4 _32185_ ( + .A(_15706_), + .Y(_05647_) + ); + sky130_fd_sc_hd__nand2b_2 _32186_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[9] ), + .Y(_05648_) + ); + sky130_fd_sc_hd__nor2_2 _32187_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[8] ), + .Y(_15707_) + ); + sky130_fd_sc_hd__inv_4 _32188_ ( + .A(_15707_), + .Y(_05649_) + ); + sky130_fd_sc_hd__nand2b_2 _32189_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[8] ), + .Y(_05650_) + ); + sky130_fd_sc_hd__nor2_2 _32190_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[2] ), + .Y(_15708_) + ); + sky130_fd_sc_hd__inv_4 _32191_ ( + .A(_15708_), + .Y(_05651_) + ); + sky130_fd_sc_hd__nand2b_2 _32192_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[2] ), + .Y(_05652_) + ); + sky130_fd_sc_hd__nor2_2 _32193_ ( + .A(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[0] ), + .Y(_15709_) + ); + sky130_fd_sc_hd__inv_4 _32194_ ( + .A(_15709_), + .Y(_05653_) + ); + sky130_fd_sc_hd__nand2b_2 _32195_ ( + .A_N(\gpio_control_bidir_2[0].resetn ), + .B(\gpio_control_bidir_2[0].gpio_defaults[0] ), + .Y(_05654_) + ); + sky130_fd_sc_hd__nor2_2 _32196_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[7] ), + .Y(_15710_) + ); + sky130_fd_sc_hd__inv_4 _32197_ ( + .A(_15710_), + .Y(_05655_) + ); + sky130_fd_sc_hd__nand2b_2 _32198_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[7] ), + .Y(_05656_) + ); + sky130_fd_sc_hd__nor2_2 _32199_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[6] ), + .Y(_15711_) + ); + sky130_fd_sc_hd__inv_4 _32200_ ( + .A(_15711_), + .Y(_05657_) + ); + sky130_fd_sc_hd__nand2b_2 _32201_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[6] ), + .Y(_05658_) + ); + sky130_fd_sc_hd__nor2_2 _32202_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[5] ), + .Y(_15712_) + ); + sky130_fd_sc_hd__inv_4 _32203_ ( + .A(_15712_), + .Y(_05659_) + ); + sky130_fd_sc_hd__nand2b_2 _32204_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[5] ), + .Y(_05660_) + ); + sky130_fd_sc_hd__nor2_2 _32205_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[10] ), + .Y(_15713_) + ); + sky130_fd_sc_hd__inv_4 _32206_ ( + .A(_15713_), + .Y(_05661_) + ); + sky130_fd_sc_hd__nand2b_2 _32207_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[10] ), + .Y(_05662_) + ); + sky130_fd_sc_hd__nor2_2 _32208_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[11] ), + .Y(_15714_) + ); + sky130_fd_sc_hd__inv_4 _32209_ ( + .A(_15714_), + .Y(_05663_) + ); + sky130_fd_sc_hd__nand2b_2 _32210_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[11] ), + .Y(_05664_) + ); + sky130_fd_sc_hd__nor2_2 _32211_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[12] ), + .Y(_15715_) + ); + sky130_fd_sc_hd__inv_4 _32212_ ( + .A(_15715_), + .Y(_05665_) + ); + sky130_fd_sc_hd__nand2b_2 _32213_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[12] ), + .Y(_05666_) + ); + sky130_fd_sc_hd__nor2_2 _32214_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[1] ), + .Y(_15716_) + ); + sky130_fd_sc_hd__inv_4 _32215_ ( + .A(_15716_), + .Y(_05667_) + ); + sky130_fd_sc_hd__nand2b_2 _32216_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[1] ), + .Y(_05668_) + ); + sky130_fd_sc_hd__nor2_2 _32217_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[4] ), + .Y(_15717_) + ); + sky130_fd_sc_hd__inv_4 _32218_ ( + .A(_15717_), + .Y(_05669_) + ); + sky130_fd_sc_hd__nand2b_2 _32219_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[4] ), + .Y(_05670_) + ); + sky130_fd_sc_hd__nor2_2 _32220_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[3] ), + .Y(_15718_) + ); + sky130_fd_sc_hd__inv_4 _32221_ ( + .A(_15718_), + .Y(_05671_) + ); + sky130_fd_sc_hd__nand2b_2 _32222_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[3] ), + .Y(_05672_) + ); + sky130_fd_sc_hd__nor2_2 _32223_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[9] ), + .Y(_15719_) + ); + sky130_fd_sc_hd__inv_4 _32224_ ( + .A(_15719_), + .Y(_05673_) + ); + sky130_fd_sc_hd__nand2b_2 _32225_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[9] ), + .Y(_05674_) + ); + sky130_fd_sc_hd__nor2_2 _32226_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[8] ), + .Y(_15720_) + ); + sky130_fd_sc_hd__inv_4 _32227_ ( + .A(_15720_), + .Y(_05675_) + ); + sky130_fd_sc_hd__nand2b_2 _32228_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[8] ), + .Y(_05676_) + ); + sky130_fd_sc_hd__nor2_2 _32229_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[2] ), + .Y(_15721_) + ); + sky130_fd_sc_hd__inv_4 _32230_ ( + .A(_15721_), + .Y(_05677_) + ); + sky130_fd_sc_hd__nand2b_2 _32231_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[2] ), + .Y(_05678_) + ); + sky130_fd_sc_hd__nor2_2 _32232_ ( + .A(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[0] ), + .Y(_15722_) + ); + sky130_fd_sc_hd__inv_4 _32233_ ( + .A(_15722_), + .Y(_05679_) + ); + sky130_fd_sc_hd__nand2b_2 _32234_ ( + .A_N(\gpio_control_bidir_2[1].resetn ), + .B(\gpio_control_bidir_2[1].gpio_defaults[0] ), + .Y(_05680_) + ); + sky130_fd_sc_hd__nor2_2 _32235_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[7] ), + .Y(_15723_) + ); + sky130_fd_sc_hd__inv_4 _32236_ ( + .A(_15723_), + .Y(_05681_) + ); + sky130_fd_sc_hd__nand2b_2 _32237_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[7] ), + .Y(_05682_) + ); + sky130_fd_sc_hd__nor2_2 _32238_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[6] ), + .Y(_15724_) + ); + sky130_fd_sc_hd__inv_4 _32239_ ( + .A(_15724_), + .Y(_05683_) + ); + sky130_fd_sc_hd__nand2b_2 _32240_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[6] ), + .Y(_05684_) + ); + sky130_fd_sc_hd__nor2_2 _32241_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[5] ), + .Y(_15725_) + ); + sky130_fd_sc_hd__inv_4 _32242_ ( + .A(_15725_), + .Y(_05685_) + ); + sky130_fd_sc_hd__nand2b_2 _32243_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[5] ), + .Y(_05686_) + ); + sky130_fd_sc_hd__nor2_2 _32244_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[10] ), + .Y(_15726_) + ); + sky130_fd_sc_hd__inv_4 _32245_ ( + .A(_15726_), + .Y(_05687_) + ); + sky130_fd_sc_hd__nand2b_2 _32246_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[10] ), + .Y(_05688_) + ); + sky130_fd_sc_hd__nor2_2 _32247_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[11] ), + .Y(_15727_) + ); + sky130_fd_sc_hd__inv_4 _32248_ ( + .A(_15727_), + .Y(_05689_) + ); + sky130_fd_sc_hd__nand2b_2 _32249_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[11] ), + .Y(_05690_) + ); + sky130_fd_sc_hd__nor2_2 _32250_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[12] ), + .Y(_15728_) + ); + sky130_fd_sc_hd__inv_4 _32251_ ( + .A(_15728_), + .Y(_05691_) + ); + sky130_fd_sc_hd__nand2b_2 _32252_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[12] ), + .Y(_05692_) + ); + sky130_fd_sc_hd__nor2_2 _32253_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[1] ), + .Y(_15729_) + ); + sky130_fd_sc_hd__inv_4 _32254_ ( + .A(_15729_), + .Y(_05693_) + ); + sky130_fd_sc_hd__nand2b_2 _32255_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[1] ), + .Y(_05694_) + ); + sky130_fd_sc_hd__nor2_2 _32256_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[4] ), + .Y(_15730_) + ); + sky130_fd_sc_hd__inv_4 _32257_ ( + .A(_15730_), + .Y(_05695_) + ); + sky130_fd_sc_hd__nand2b_2 _32258_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[4] ), + .Y(_05696_) + ); + sky130_fd_sc_hd__nor2_2 _32259_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[3] ), + .Y(_15731_) + ); + sky130_fd_sc_hd__inv_4 _32260_ ( + .A(_15731_), + .Y(_05697_) + ); + sky130_fd_sc_hd__nand2b_2 _32261_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[3] ), + .Y(_05698_) + ); + sky130_fd_sc_hd__nor2_2 _32262_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[9] ), + .Y(_15732_) + ); + sky130_fd_sc_hd__inv_4 _32263_ ( + .A(_15732_), + .Y(_05699_) + ); + sky130_fd_sc_hd__nand2b_2 _32264_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[9] ), + .Y(_05700_) + ); + sky130_fd_sc_hd__nor2_2 _32265_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[8] ), + .Y(_15733_) + ); + sky130_fd_sc_hd__inv_4 _32266_ ( + .A(_15733_), + .Y(_05701_) + ); + sky130_fd_sc_hd__nand2b_2 _32267_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[8] ), + .Y(_05702_) + ); + sky130_fd_sc_hd__nor2_2 _32268_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[2] ), + .Y(_15734_) + ); + sky130_fd_sc_hd__inv_4 _32269_ ( + .A(_15734_), + .Y(_05703_) + ); + sky130_fd_sc_hd__nand2b_2 _32270_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[2] ), + .Y(_05704_) + ); + sky130_fd_sc_hd__nor2_2 _32271_ ( + .A(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[0] ), + .Y(_15735_) + ); + sky130_fd_sc_hd__inv_4 _32272_ ( + .A(_15735_), + .Y(_05705_) + ); + sky130_fd_sc_hd__nand2b_2 _32273_ ( + .A_N(\gpio_control_bidir_1[0].resetn ), + .B(\gpio_control_bidir_2[2].gpio_defaults[0] ), + .Y(_05706_) + ); + sky130_fd_sc_hd__nor2_2 _32274_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[7] ), + .Y(_15736_) + ); + sky130_fd_sc_hd__inv_4 _32275_ ( + .A(_15736_), + .Y(_05707_) + ); + sky130_fd_sc_hd__nand2b_2 _32276_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[7] ), + .Y(_05708_) + ); + sky130_fd_sc_hd__nor2_2 _32277_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[6] ), + .Y(_15737_) + ); + sky130_fd_sc_hd__inv_4 _32278_ ( + .A(_15737_), + .Y(_05709_) + ); + sky130_fd_sc_hd__nand2b_2 _32279_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[6] ), + .Y(_05710_) + ); + sky130_fd_sc_hd__nor2_2 _32280_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[5] ), + .Y(_15738_) + ); + sky130_fd_sc_hd__inv_4 _32281_ ( + .A(_15738_), + .Y(_05711_) + ); + sky130_fd_sc_hd__nand2b_2 _32282_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[5] ), + .Y(_05712_) + ); + sky130_fd_sc_hd__nor2_2 _32283_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[10] ), + .Y(_15739_) + ); + sky130_fd_sc_hd__inv_4 _32284_ ( + .A(_15739_), + .Y(_05713_) + ); + sky130_fd_sc_hd__nand2b_2 _32285_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[10] ), + .Y(_05714_) + ); + sky130_fd_sc_hd__nor2_2 _32286_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[11] ), + .Y(_15740_) + ); + sky130_fd_sc_hd__inv_4 _32287_ ( + .A(_15740_), + .Y(_05715_) + ); + sky130_fd_sc_hd__nand2b_2 _32288_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[11] ), + .Y(_05716_) + ); + sky130_fd_sc_hd__nor2_2 _32289_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[12] ), + .Y(_15741_) + ); + sky130_fd_sc_hd__inv_4 _32290_ ( + .A(_15741_), + .Y(_05717_) + ); + sky130_fd_sc_hd__nand2b_2 _32291_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[12] ), + .Y(_05718_) + ); + sky130_fd_sc_hd__nor2_2 _32292_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[1] ), + .Y(_15742_) + ); + sky130_fd_sc_hd__inv_4 _32293_ ( + .A(_15742_), + .Y(_05719_) + ); + sky130_fd_sc_hd__nand2b_2 _32294_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[1] ), + .Y(_05720_) + ); + sky130_fd_sc_hd__nor2_2 _32295_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[4] ), + .Y(_15743_) + ); + sky130_fd_sc_hd__inv_4 _32296_ ( + .A(_15743_), + .Y(_05721_) + ); + sky130_fd_sc_hd__nand2b_2 _32297_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[4] ), + .Y(_05722_) + ); + sky130_fd_sc_hd__nor2_2 _32298_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[3] ), + .Y(_15744_) + ); + sky130_fd_sc_hd__inv_4 _32299_ ( + .A(_15744_), + .Y(_05723_) + ); + sky130_fd_sc_hd__nand2b_2 _32300_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[3] ), + .Y(_05724_) + ); + sky130_fd_sc_hd__nor2_2 _32301_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[9] ), + .Y(_15745_) + ); + sky130_fd_sc_hd__inv_4 _32302_ ( + .A(_15745_), + .Y(_05725_) + ); + sky130_fd_sc_hd__nand2b_2 _32303_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[9] ), + .Y(_05726_) + ); + sky130_fd_sc_hd__nor2_2 _32304_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[8] ), + .Y(_15746_) + ); + sky130_fd_sc_hd__inv_4 _32305_ ( + .A(_15746_), + .Y(_05727_) + ); + sky130_fd_sc_hd__nand2b_2 _32306_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[8] ), + .Y(_05728_) + ); + sky130_fd_sc_hd__nor2_2 _32307_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[2] ), + .Y(_15747_) + ); + sky130_fd_sc_hd__inv_4 _32308_ ( + .A(_15747_), + .Y(_05729_) + ); + sky130_fd_sc_hd__nand2b_2 _32309_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[2] ), + .Y(_05730_) + ); + sky130_fd_sc_hd__nor2_2 _32310_ ( + .A(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[0] ), + .Y(_15748_) + ); + sky130_fd_sc_hd__inv_4 _32311_ ( + .A(_15748_), + .Y(_05731_) + ); + sky130_fd_sc_hd__nand2b_2 _32312_ ( + .A_N(\gpio_control_in_2[0].resetn ), + .B(\gpio_control_in_2[0].gpio_defaults[0] ), + .Y(_05732_) + ); + sky130_fd_sc_hd__nor2_2 _32313_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[7] ), + .Y(_15749_) + ); + sky130_fd_sc_hd__inv_4 _32314_ ( + .A(_15749_), + .Y(_05733_) + ); + sky130_fd_sc_hd__nand2b_2 _32315_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[7] ), + .Y(_05734_) + ); + sky130_fd_sc_hd__nor2_2 _32316_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[6] ), + .Y(_15750_) + ); + sky130_fd_sc_hd__inv_4 _32317_ ( + .A(_15750_), + .Y(_05735_) + ); + sky130_fd_sc_hd__nand2b_2 _32318_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[6] ), + .Y(_05736_) + ); + sky130_fd_sc_hd__nor2_2 _32319_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[5] ), + .Y(_15751_) + ); + sky130_fd_sc_hd__inv_4 _32320_ ( + .A(_15751_), + .Y(_05737_) + ); + sky130_fd_sc_hd__nand2b_2 _32321_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[5] ), + .Y(_05738_) + ); + sky130_fd_sc_hd__nor2_2 _32322_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[10] ), + .Y(_15752_) + ); + sky130_fd_sc_hd__inv_4 _32323_ ( + .A(_15752_), + .Y(_05739_) + ); + sky130_fd_sc_hd__nand2b_2 _32324_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[10] ), + .Y(_05740_) + ); + sky130_fd_sc_hd__nor2_2 _32325_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[11] ), + .Y(_15753_) + ); + sky130_fd_sc_hd__inv_4 _32326_ ( + .A(_15753_), + .Y(_05741_) + ); + sky130_fd_sc_hd__nand2b_2 _32327_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[11] ), + .Y(_05742_) + ); + sky130_fd_sc_hd__nor2_2 _32328_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[12] ), + .Y(_15754_) + ); + sky130_fd_sc_hd__inv_4 _32329_ ( + .A(_15754_), + .Y(_05743_) + ); + sky130_fd_sc_hd__nand2b_2 _32330_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[12] ), + .Y(_05744_) + ); + sky130_fd_sc_hd__nor2_2 _32331_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[1] ), + .Y(_15755_) + ); + sky130_fd_sc_hd__inv_4 _32332_ ( + .A(_15755_), + .Y(_05745_) + ); + sky130_fd_sc_hd__nand2b_2 _32333_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[1] ), + .Y(_05746_) + ); + sky130_fd_sc_hd__nor2_2 _32334_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[4] ), + .Y(_15756_) + ); + sky130_fd_sc_hd__inv_4 _32335_ ( + .A(_15756_), + .Y(_05747_) + ); + sky130_fd_sc_hd__nand2b_2 _32336_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[4] ), + .Y(_05748_) + ); + sky130_fd_sc_hd__nor2_2 _32337_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[3] ), + .Y(_15757_) + ); + sky130_fd_sc_hd__inv_4 _32338_ ( + .A(_15757_), + .Y(_05749_) + ); + sky130_fd_sc_hd__nand2b_2 _32339_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[3] ), + .Y(_05750_) + ); + sky130_fd_sc_hd__nor2_2 _32340_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[9] ), + .Y(_15758_) + ); + sky130_fd_sc_hd__inv_4 _32341_ ( + .A(_15758_), + .Y(_05751_) + ); + sky130_fd_sc_hd__nand2b_2 _32342_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[9] ), + .Y(_05752_) + ); + sky130_fd_sc_hd__nor2_2 _32343_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[8] ), + .Y(_15759_) + ); + sky130_fd_sc_hd__inv_4 _32344_ ( + .A(_15759_), + .Y(_05753_) + ); + sky130_fd_sc_hd__nand2b_2 _32345_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[8] ), + .Y(_05754_) + ); + sky130_fd_sc_hd__nor2_2 _32346_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[2] ), + .Y(_15760_) + ); + sky130_fd_sc_hd__inv_4 _32347_ ( + .A(_15760_), + .Y(_05755_) + ); + sky130_fd_sc_hd__nand2b_2 _32348_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[2] ), + .Y(_05756_) + ); + sky130_fd_sc_hd__nor2_2 _32349_ ( + .A(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[0] ), + .Y(_15761_) + ); + sky130_fd_sc_hd__inv_4 _32350_ ( + .A(_15761_), + .Y(_05757_) + ); + sky130_fd_sc_hd__nand2b_2 _32351_ ( + .A_N(\gpio_control_in_2[1].resetn ), + .B(\gpio_control_in_2[1].gpio_defaults[0] ), + .Y(_05758_) + ); + sky130_fd_sc_hd__nor2_2 _32352_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[7] ), + .Y(_15762_) + ); + sky130_fd_sc_hd__inv_4 _32353_ ( + .A(_15762_), + .Y(_05759_) + ); + sky130_fd_sc_hd__nand2b_2 _32354_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[7] ), + .Y(_05760_) + ); + sky130_fd_sc_hd__nor2_2 _32355_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[6] ), + .Y(_15763_) + ); + sky130_fd_sc_hd__inv_4 _32356_ ( + .A(_15763_), + .Y(_05761_) + ); + sky130_fd_sc_hd__nand2b_2 _32357_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[6] ), + .Y(_05762_) + ); + sky130_fd_sc_hd__nor2_2 _32358_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[5] ), + .Y(_15764_) + ); + sky130_fd_sc_hd__inv_4 _32359_ ( + .A(_15764_), + .Y(_05763_) + ); + sky130_fd_sc_hd__nand2b_2 _32360_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[5] ), + .Y(_05764_) + ); + sky130_fd_sc_hd__nor2_2 _32361_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[10] ), + .Y(_15765_) + ); + sky130_fd_sc_hd__inv_4 _32362_ ( + .A(_15765_), + .Y(_05765_) + ); + sky130_fd_sc_hd__nand2b_2 _32363_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[10] ), + .Y(_05766_) + ); + sky130_fd_sc_hd__nor2_2 _32364_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[11] ), + .Y(_15766_) + ); + sky130_fd_sc_hd__inv_4 _32365_ ( + .A(_15766_), + .Y(_05767_) + ); + sky130_fd_sc_hd__nand2b_2 _32366_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[11] ), + .Y(_05768_) + ); + sky130_fd_sc_hd__nor2_2 _32367_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[12] ), + .Y(_15767_) + ); + sky130_fd_sc_hd__inv_4 _32368_ ( + .A(_15767_), + .Y(_05769_) + ); + sky130_fd_sc_hd__nand2b_2 _32369_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[12] ), + .Y(_05770_) + ); + sky130_fd_sc_hd__nor2_2 _32370_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[1] ), + .Y(_15768_) + ); + sky130_fd_sc_hd__inv_4 _32371_ ( + .A(_15768_), + .Y(_05771_) + ); + sky130_fd_sc_hd__nand2b_2 _32372_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[1] ), + .Y(_05772_) + ); + sky130_fd_sc_hd__nor2_2 _32373_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[4] ), + .Y(_15769_) + ); + sky130_fd_sc_hd__inv_4 _32374_ ( + .A(_15769_), + .Y(_05773_) + ); + sky130_fd_sc_hd__nand2b_2 _32375_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[4] ), + .Y(_05774_) + ); + sky130_fd_sc_hd__nor2_2 _32376_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[3] ), + .Y(_15770_) + ); + sky130_fd_sc_hd__inv_4 _32377_ ( + .A(_15770_), + .Y(_05775_) + ); + sky130_fd_sc_hd__nand2b_2 _32378_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[3] ), + .Y(_05776_) + ); + sky130_fd_sc_hd__nor2_2 _32379_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[9] ), + .Y(_15771_) + ); + sky130_fd_sc_hd__inv_4 _32380_ ( + .A(_15771_), + .Y(_05777_) + ); + sky130_fd_sc_hd__nand2b_2 _32381_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[9] ), + .Y(_05778_) + ); + sky130_fd_sc_hd__nor2_2 _32382_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[8] ), + .Y(_15772_) + ); + sky130_fd_sc_hd__inv_4 _32383_ ( + .A(_15772_), + .Y(_05779_) + ); + sky130_fd_sc_hd__nand2b_2 _32384_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[8] ), + .Y(_05780_) + ); + sky130_fd_sc_hd__nor2_2 _32385_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[2] ), + .Y(_15773_) + ); + sky130_fd_sc_hd__inv_4 _32386_ ( + .A(_15773_), + .Y(_05781_) + ); + sky130_fd_sc_hd__nand2b_2 _32387_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[2] ), + .Y(_05782_) + ); + sky130_fd_sc_hd__nor2_2 _32388_ ( + .A(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[0] ), + .Y(_15774_) + ); + sky130_fd_sc_hd__inv_4 _32389_ ( + .A(_15774_), + .Y(_05783_) + ); + sky130_fd_sc_hd__nand2b_2 _32390_ ( + .A_N(\gpio_control_in_2[2].resetn ), + .B(\gpio_control_in_2[2].gpio_defaults[0] ), + .Y(_05784_) + ); + sky130_fd_sc_hd__nor2_2 _32391_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[7] ), + .Y(_15775_) + ); + sky130_fd_sc_hd__inv_4 _32392_ ( + .A(_15775_), + .Y(_05785_) + ); + sky130_fd_sc_hd__nand2b_2 _32393_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[7] ), + .Y(_05786_) + ); + sky130_fd_sc_hd__nor2_2 _32394_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[6] ), + .Y(_15776_) + ); + sky130_fd_sc_hd__inv_4 _32395_ ( + .A(_15776_), + .Y(_05787_) + ); + sky130_fd_sc_hd__nand2b_2 _32396_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[6] ), + .Y(_05788_) + ); + sky130_fd_sc_hd__nor2_2 _32397_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[5] ), + .Y(_15777_) + ); + sky130_fd_sc_hd__inv_4 _32398_ ( + .A(_15777_), + .Y(_05789_) + ); + sky130_fd_sc_hd__nand2b_2 _32399_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[5] ), + .Y(_05790_) + ); + sky130_fd_sc_hd__nor2_2 _32400_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[10] ), + .Y(_15778_) + ); + sky130_fd_sc_hd__inv_4 _32401_ ( + .A(_15778_), + .Y(_05791_) + ); + sky130_fd_sc_hd__nand2b_2 _32402_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[10] ), + .Y(_05792_) + ); + sky130_fd_sc_hd__nor2_2 _32403_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[11] ), + .Y(_15779_) + ); + sky130_fd_sc_hd__inv_4 _32404_ ( + .A(_15779_), + .Y(_05793_) + ); + sky130_fd_sc_hd__nand2b_2 _32405_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[11] ), + .Y(_05794_) + ); + sky130_fd_sc_hd__nor2_2 _32406_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[12] ), + .Y(_15780_) + ); + sky130_fd_sc_hd__inv_4 _32407_ ( + .A(_15780_), + .Y(_05795_) + ); + sky130_fd_sc_hd__nand2b_2 _32408_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[12] ), + .Y(_05796_) + ); + sky130_fd_sc_hd__nor2_2 _32409_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[1] ), + .Y(_15781_) + ); + sky130_fd_sc_hd__inv_4 _32410_ ( + .A(_15781_), + .Y(_05797_) + ); + sky130_fd_sc_hd__nand2b_2 _32411_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[1] ), + .Y(_05798_) + ); + sky130_fd_sc_hd__nor2_2 _32412_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[4] ), + .Y(_15782_) + ); + sky130_fd_sc_hd__inv_4 _32413_ ( + .A(_15782_), + .Y(_05799_) + ); + sky130_fd_sc_hd__nand2b_2 _32414_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[4] ), + .Y(_05800_) + ); + sky130_fd_sc_hd__nor2_2 _32415_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[3] ), + .Y(_15783_) + ); + sky130_fd_sc_hd__inv_4 _32416_ ( + .A(_15783_), + .Y(_05801_) + ); + sky130_fd_sc_hd__nand2b_2 _32417_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[3] ), + .Y(_05802_) + ); + sky130_fd_sc_hd__nor2_2 _32418_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[9] ), + .Y(_15784_) + ); + sky130_fd_sc_hd__inv_4 _32419_ ( + .A(_15784_), + .Y(_05803_) + ); + sky130_fd_sc_hd__nand2b_2 _32420_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[9] ), + .Y(_05804_) + ); + sky130_fd_sc_hd__nor2_2 _32421_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[8] ), + .Y(_15785_) + ); + sky130_fd_sc_hd__inv_4 _32422_ ( + .A(_15785_), + .Y(_05805_) + ); + sky130_fd_sc_hd__nand2b_2 _32423_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[8] ), + .Y(_05806_) + ); + sky130_fd_sc_hd__nor2_2 _32424_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[2] ), + .Y(_15786_) + ); + sky130_fd_sc_hd__inv_4 _32425_ ( + .A(_15786_), + .Y(_05807_) + ); + sky130_fd_sc_hd__nand2b_2 _32426_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[2] ), + .Y(_05808_) + ); + sky130_fd_sc_hd__nor2_2 _32427_ ( + .A(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[0] ), + .Y(_15787_) + ); + sky130_fd_sc_hd__inv_4 _32428_ ( + .A(_15787_), + .Y(_05809_) + ); + sky130_fd_sc_hd__nand2b_2 _32429_ ( + .A_N(\gpio_control_in_2[3].resetn ), + .B(\gpio_control_in_2[3].gpio_defaults[0] ), + .Y(_05810_) + ); + sky130_fd_sc_hd__nor2_2 _32430_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[7] ), + .Y(_15788_) + ); + sky130_fd_sc_hd__inv_4 _32431_ ( + .A(_15788_), + .Y(_05811_) + ); + sky130_fd_sc_hd__nand2b_2 _32432_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[7] ), + .Y(_05812_) + ); + sky130_fd_sc_hd__nor2_2 _32433_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[6] ), + .Y(_15789_) + ); + sky130_fd_sc_hd__inv_4 _32434_ ( + .A(_15789_), + .Y(_05813_) + ); + sky130_fd_sc_hd__nand2b_2 _32435_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[6] ), + .Y(_05814_) + ); + sky130_fd_sc_hd__nor2_2 _32436_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[5] ), + .Y(_15790_) + ); + sky130_fd_sc_hd__inv_4 _32437_ ( + .A(_15790_), + .Y(_05815_) + ); + sky130_fd_sc_hd__nand2b_2 _32438_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[5] ), + .Y(_05816_) + ); + sky130_fd_sc_hd__nor2_2 _32439_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[10] ), + .Y(_15791_) + ); + sky130_fd_sc_hd__inv_4 _32440_ ( + .A(_15791_), + .Y(_05817_) + ); + sky130_fd_sc_hd__nand2b_2 _32441_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[10] ), + .Y(_05818_) + ); + sky130_fd_sc_hd__nor2_2 _32442_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[11] ), + .Y(_15792_) + ); + sky130_fd_sc_hd__inv_4 _32443_ ( + .A(_15792_), + .Y(_05819_) + ); + sky130_fd_sc_hd__nand2b_2 _32444_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[11] ), + .Y(_05820_) + ); + sky130_fd_sc_hd__nor2_2 _32445_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[12] ), + .Y(_15793_) + ); + sky130_fd_sc_hd__inv_4 _32446_ ( + .A(_15793_), + .Y(_05821_) + ); + sky130_fd_sc_hd__nand2b_2 _32447_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[12] ), + .Y(_05822_) + ); + sky130_fd_sc_hd__nor2_2 _32448_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[1] ), + .Y(_15794_) + ); + sky130_fd_sc_hd__inv_4 _32449_ ( + .A(_15794_), + .Y(_05823_) + ); + sky130_fd_sc_hd__nand2b_2 _32450_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[1] ), + .Y(_05824_) + ); + sky130_fd_sc_hd__nor2_2 _32451_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[4] ), + .Y(_15795_) + ); + sky130_fd_sc_hd__inv_4 _32452_ ( + .A(_15795_), + .Y(_05825_) + ); + sky130_fd_sc_hd__nand2b_2 _32453_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[4] ), + .Y(_05826_) + ); + sky130_fd_sc_hd__nor2_2 _32454_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[3] ), + .Y(_15796_) + ); + sky130_fd_sc_hd__inv_4 _32455_ ( + .A(_15796_), + .Y(_05827_) + ); + sky130_fd_sc_hd__nand2b_2 _32456_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[3] ), + .Y(_05828_) + ); + sky130_fd_sc_hd__nor2_2 _32457_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[9] ), + .Y(_15797_) + ); + sky130_fd_sc_hd__inv_4 _32458_ ( + .A(_15797_), + .Y(_05829_) + ); + sky130_fd_sc_hd__nand2b_2 _32459_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[9] ), + .Y(_05830_) + ); + sky130_fd_sc_hd__nor2_2 _32460_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[8] ), + .Y(_15798_) + ); + sky130_fd_sc_hd__inv_4 _32461_ ( + .A(_15798_), + .Y(_05831_) + ); + sky130_fd_sc_hd__nand2b_2 _32462_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[8] ), + .Y(_05832_) + ); + sky130_fd_sc_hd__nor2_2 _32463_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[2] ), + .Y(_15799_) + ); + sky130_fd_sc_hd__inv_4 _32464_ ( + .A(_15799_), + .Y(_05833_) + ); + sky130_fd_sc_hd__nand2b_2 _32465_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[2] ), + .Y(_05834_) + ); + sky130_fd_sc_hd__nor2_2 _32466_ ( + .A(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[0] ), + .Y(_15800_) + ); + sky130_fd_sc_hd__inv_4 _32467_ ( + .A(_15800_), + .Y(_05835_) + ); + sky130_fd_sc_hd__nand2b_2 _32468_ ( + .A_N(\gpio_control_in_2[4].resetn ), + .B(\gpio_control_in_2[4].gpio_defaults[0] ), + .Y(_05836_) + ); + sky130_fd_sc_hd__nor2_2 _32469_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[7] ), + .Y(_15801_) + ); + sky130_fd_sc_hd__inv_4 _32470_ ( + .A(_15801_), + .Y(_05837_) + ); + sky130_fd_sc_hd__nand2b_2 _32471_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[7] ), + .Y(_05838_) + ); + sky130_fd_sc_hd__nor2_2 _32472_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[6] ), + .Y(_15802_) + ); + sky130_fd_sc_hd__inv_4 _32473_ ( + .A(_15802_), + .Y(_05839_) + ); + sky130_fd_sc_hd__nand2b_2 _32474_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[6] ), + .Y(_05840_) + ); + sky130_fd_sc_hd__nor2_2 _32475_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[5] ), + .Y(_15803_) + ); + sky130_fd_sc_hd__inv_4 _32476_ ( + .A(_15803_), + .Y(_05841_) + ); + sky130_fd_sc_hd__nand2b_2 _32477_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[5] ), + .Y(_05842_) + ); + sky130_fd_sc_hd__nor2_2 _32478_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[10] ), + .Y(_15804_) + ); + sky130_fd_sc_hd__inv_4 _32479_ ( + .A(_15804_), + .Y(_05843_) + ); + sky130_fd_sc_hd__nand2b_2 _32480_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[10] ), + .Y(_05844_) + ); + sky130_fd_sc_hd__nor2_2 _32481_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[11] ), + .Y(_15805_) + ); + sky130_fd_sc_hd__inv_4 _32482_ ( + .A(_15805_), + .Y(_05845_) + ); + sky130_fd_sc_hd__nand2b_2 _32483_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[11] ), + .Y(_05846_) + ); + sky130_fd_sc_hd__nor2_2 _32484_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[12] ), + .Y(_15806_) + ); + sky130_fd_sc_hd__inv_4 _32485_ ( + .A(_15806_), + .Y(_05847_) + ); + sky130_fd_sc_hd__nand2b_2 _32486_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[12] ), + .Y(_05848_) + ); + sky130_fd_sc_hd__nor2_2 _32487_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[1] ), + .Y(_15807_) + ); + sky130_fd_sc_hd__inv_4 _32488_ ( + .A(_15807_), + .Y(_05849_) + ); + sky130_fd_sc_hd__nand2b_2 _32489_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[1] ), + .Y(_05850_) + ); + sky130_fd_sc_hd__nor2_2 _32490_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[4] ), + .Y(_15808_) + ); + sky130_fd_sc_hd__inv_4 _32491_ ( + .A(_15808_), + .Y(_05851_) + ); + sky130_fd_sc_hd__nand2b_2 _32492_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[4] ), + .Y(_05852_) + ); + sky130_fd_sc_hd__nor2_2 _32493_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[3] ), + .Y(_15809_) + ); + sky130_fd_sc_hd__inv_4 _32494_ ( + .A(_15809_), + .Y(_05853_) + ); + sky130_fd_sc_hd__nand2b_2 _32495_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[3] ), + .Y(_05854_) + ); + sky130_fd_sc_hd__nor2_2 _32496_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[9] ), + .Y(_15810_) + ); + sky130_fd_sc_hd__inv_4 _32497_ ( + .A(_15810_), + .Y(_05855_) + ); + sky130_fd_sc_hd__nand2b_2 _32498_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[9] ), + .Y(_05856_) + ); + sky130_fd_sc_hd__nor2_2 _32499_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[8] ), + .Y(_15811_) + ); + sky130_fd_sc_hd__inv_4 _32500_ ( + .A(_15811_), + .Y(_05857_) + ); + sky130_fd_sc_hd__nand2b_2 _32501_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[8] ), + .Y(_05858_) + ); + sky130_fd_sc_hd__nor2_2 _32502_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[2] ), + .Y(_15812_) + ); + sky130_fd_sc_hd__inv_4 _32503_ ( + .A(_15812_), + .Y(_05859_) + ); + sky130_fd_sc_hd__nand2b_2 _32504_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[2] ), + .Y(_05860_) + ); + sky130_fd_sc_hd__nor2_2 _32505_ ( + .A(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[0] ), + .Y(_15813_) + ); + sky130_fd_sc_hd__inv_4 _32506_ ( + .A(_15813_), + .Y(_05861_) + ); + sky130_fd_sc_hd__nand2b_2 _32507_ ( + .A_N(\gpio_control_in_2[5].resetn ), + .B(\gpio_control_in_2[5].gpio_defaults[0] ), + .Y(_05862_) + ); + sky130_fd_sc_hd__nor2_2 _32508_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[7] ), + .Y(_15814_) + ); + sky130_fd_sc_hd__inv_4 _32509_ ( + .A(_15814_), + .Y(_05863_) + ); + sky130_fd_sc_hd__nand2b_2 _32510_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[7] ), + .Y(_05864_) + ); + sky130_fd_sc_hd__nor2_2 _32511_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[6] ), + .Y(_15815_) + ); + sky130_fd_sc_hd__inv_4 _32512_ ( + .A(_15815_), + .Y(_05865_) + ); + sky130_fd_sc_hd__nand2b_2 _32513_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[6] ), + .Y(_05866_) + ); + sky130_fd_sc_hd__nor2_2 _32514_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[5] ), + .Y(_15816_) + ); + sky130_fd_sc_hd__inv_4 _32515_ ( + .A(_15816_), + .Y(_05867_) + ); + sky130_fd_sc_hd__nand2b_2 _32516_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[5] ), + .Y(_05868_) + ); + sky130_fd_sc_hd__nor2_2 _32517_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[10] ), + .Y(_15817_) + ); + sky130_fd_sc_hd__inv_4 _32518_ ( + .A(_15817_), + .Y(_05869_) + ); + sky130_fd_sc_hd__nand2b_2 _32519_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[10] ), + .Y(_05870_) + ); + sky130_fd_sc_hd__nor2_2 _32520_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[11] ), + .Y(_15818_) + ); + sky130_fd_sc_hd__inv_4 _32521_ ( + .A(_15818_), + .Y(_05871_) + ); + sky130_fd_sc_hd__nand2b_2 _32522_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[11] ), + .Y(_05872_) + ); + sky130_fd_sc_hd__nor2_2 _32523_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[12] ), + .Y(_15819_) + ); + sky130_fd_sc_hd__inv_4 _32524_ ( + .A(_15819_), + .Y(_05873_) + ); + sky130_fd_sc_hd__nand2b_2 _32525_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[12] ), + .Y(_05874_) + ); + sky130_fd_sc_hd__nor2_2 _32526_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[1] ), + .Y(_15820_) + ); + sky130_fd_sc_hd__inv_4 _32527_ ( + .A(_15820_), + .Y(_05875_) + ); + sky130_fd_sc_hd__nand2b_2 _32528_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[1] ), + .Y(_05876_) + ); + sky130_fd_sc_hd__nor2_2 _32529_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[4] ), + .Y(_15821_) + ); + sky130_fd_sc_hd__inv_4 _32530_ ( + .A(_15821_), + .Y(_05877_) + ); + sky130_fd_sc_hd__nand2b_2 _32531_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[4] ), + .Y(_05878_) + ); + sky130_fd_sc_hd__nor2_2 _32532_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[3] ), + .Y(_15822_) + ); + sky130_fd_sc_hd__inv_4 _32533_ ( + .A(_15822_), + .Y(_05879_) + ); + sky130_fd_sc_hd__nand2b_2 _32534_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[3] ), + .Y(_05880_) + ); + sky130_fd_sc_hd__nor2_2 _32535_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[9] ), + .Y(_15823_) + ); + sky130_fd_sc_hd__inv_4 _32536_ ( + .A(_15823_), + .Y(_05881_) + ); + sky130_fd_sc_hd__nand2b_2 _32537_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[9] ), + .Y(_05882_) + ); + sky130_fd_sc_hd__nor2_2 _32538_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[8] ), + .Y(_15824_) + ); + sky130_fd_sc_hd__inv_4 _32539_ ( + .A(_15824_), + .Y(_05883_) + ); + sky130_fd_sc_hd__nand2b_2 _32540_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[8] ), + .Y(_05884_) + ); + sky130_fd_sc_hd__nor2_2 _32541_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[2] ), + .Y(_15825_) + ); + sky130_fd_sc_hd__inv_4 _32542_ ( + .A(_15825_), + .Y(_05885_) + ); + sky130_fd_sc_hd__nand2b_2 _32543_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[2] ), + .Y(_05886_) + ); + sky130_fd_sc_hd__nor2_2 _32544_ ( + .A(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[0] ), + .Y(_15826_) + ); + sky130_fd_sc_hd__inv_4 _32545_ ( + .A(_15826_), + .Y(_05887_) + ); + sky130_fd_sc_hd__nand2b_2 _32546_ ( + .A_N(\gpio_control_in_2[6].resetn ), + .B(\gpio_control_in_2[6].gpio_defaults[0] ), + .Y(_05888_) + ); + sky130_fd_sc_hd__nor2_2 _32547_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[7] ), + .Y(_15827_) + ); + sky130_fd_sc_hd__inv_4 _32548_ ( + .A(_15827_), + .Y(_05889_) + ); + sky130_fd_sc_hd__nand2b_2 _32549_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[7] ), + .Y(_05890_) + ); + sky130_fd_sc_hd__nor2_2 _32550_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[6] ), + .Y(_15828_) + ); + sky130_fd_sc_hd__inv_4 _32551_ ( + .A(_15828_), + .Y(_05891_) + ); + sky130_fd_sc_hd__nand2b_2 _32552_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[6] ), + .Y(_05892_) + ); + sky130_fd_sc_hd__nor2_2 _32553_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[5] ), + .Y(_15829_) + ); + sky130_fd_sc_hd__inv_4 _32554_ ( + .A(_15829_), + .Y(_05893_) + ); + sky130_fd_sc_hd__nand2b_2 _32555_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[5] ), + .Y(_05894_) + ); + sky130_fd_sc_hd__nor2_2 _32556_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[10] ), + .Y(_15830_) + ); + sky130_fd_sc_hd__inv_4 _32557_ ( + .A(_15830_), + .Y(_05895_) + ); + sky130_fd_sc_hd__nand2b_2 _32558_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[10] ), + .Y(_05896_) + ); + sky130_fd_sc_hd__nor2_2 _32559_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[11] ), + .Y(_15831_) + ); + sky130_fd_sc_hd__inv_4 _32560_ ( + .A(_15831_), + .Y(_05897_) + ); + sky130_fd_sc_hd__nand2b_2 _32561_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[11] ), + .Y(_05898_) + ); + sky130_fd_sc_hd__nor2_2 _32562_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[12] ), + .Y(_15832_) + ); + sky130_fd_sc_hd__inv_4 _32563_ ( + .A(_15832_), + .Y(_05899_) + ); + sky130_fd_sc_hd__nand2b_2 _32564_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[12] ), + .Y(_05900_) + ); + sky130_fd_sc_hd__nor2_2 _32565_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[1] ), + .Y(_15833_) + ); + sky130_fd_sc_hd__inv_4 _32566_ ( + .A(_15833_), + .Y(_05901_) + ); + sky130_fd_sc_hd__nand2b_2 _32567_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[1] ), + .Y(_05902_) + ); + sky130_fd_sc_hd__nor2_2 _32568_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[4] ), + .Y(_15834_) + ); + sky130_fd_sc_hd__inv_4 _32569_ ( + .A(_15834_), + .Y(_05903_) + ); + sky130_fd_sc_hd__nand2b_2 _32570_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[4] ), + .Y(_05904_) + ); + sky130_fd_sc_hd__nor2_2 _32571_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[3] ), + .Y(_15835_) + ); + sky130_fd_sc_hd__inv_4 _32572_ ( + .A(_15835_), + .Y(_05905_) + ); + sky130_fd_sc_hd__nand2b_2 _32573_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[3] ), + .Y(_05906_) + ); + sky130_fd_sc_hd__nor2_2 _32574_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[9] ), + .Y(_15836_) + ); + sky130_fd_sc_hd__inv_4 _32575_ ( + .A(_15836_), + .Y(_05907_) + ); + sky130_fd_sc_hd__nand2b_2 _32576_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[9] ), + .Y(_05908_) + ); + sky130_fd_sc_hd__nor2_2 _32577_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[8] ), + .Y(_15837_) + ); + sky130_fd_sc_hd__inv_4 _32578_ ( + .A(_15837_), + .Y(_05909_) + ); + sky130_fd_sc_hd__nand2b_2 _32579_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[8] ), + .Y(_05910_) + ); + sky130_fd_sc_hd__nor2_2 _32580_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[2] ), + .Y(_15838_) + ); + sky130_fd_sc_hd__inv_4 _32581_ ( + .A(_15838_), + .Y(_05911_) + ); + sky130_fd_sc_hd__nand2b_2 _32582_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[2] ), + .Y(_05912_) + ); + sky130_fd_sc_hd__nor2_2 _32583_ ( + .A(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[0] ), + .Y(_15839_) + ); + sky130_fd_sc_hd__inv_4 _32584_ ( + .A(_15839_), + .Y(_05913_) + ); + sky130_fd_sc_hd__nand2b_2 _32585_ ( + .A_N(\gpio_control_in_2[7].resetn ), + .B(\gpio_control_in_2[7].gpio_defaults[0] ), + .Y(_05914_) + ); + sky130_fd_sc_hd__nor2_2 _32586_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[7] ), + .Y(_15840_) + ); + sky130_fd_sc_hd__inv_4 _32587_ ( + .A(_15840_), + .Y(_05915_) + ); + sky130_fd_sc_hd__nand2b_2 _32588_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[7] ), + .Y(_05916_) + ); + sky130_fd_sc_hd__nor2_2 _32589_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[6] ), + .Y(_15841_) + ); + sky130_fd_sc_hd__inv_4 _32590_ ( + .A(_15841_), + .Y(_05917_) + ); + sky130_fd_sc_hd__nand2b_2 _32591_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[6] ), + .Y(_05918_) + ); + sky130_fd_sc_hd__nor2_2 _32592_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[5] ), + .Y(_15842_) + ); + sky130_fd_sc_hd__inv_4 _32593_ ( + .A(_15842_), + .Y(_05919_) + ); + sky130_fd_sc_hd__nand2b_2 _32594_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[5] ), + .Y(_05920_) + ); + sky130_fd_sc_hd__nor2_2 _32595_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[10] ), + .Y(_15843_) + ); + sky130_fd_sc_hd__inv_4 _32596_ ( + .A(_15843_), + .Y(_05921_) + ); + sky130_fd_sc_hd__nand2b_2 _32597_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[10] ), + .Y(_05922_) + ); + sky130_fd_sc_hd__nor2_2 _32598_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[11] ), + .Y(_15844_) + ); + sky130_fd_sc_hd__inv_4 _32599_ ( + .A(_15844_), + .Y(_05923_) + ); + sky130_fd_sc_hd__nand2b_2 _32600_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[11] ), + .Y(_05924_) + ); + sky130_fd_sc_hd__nor2_2 _32601_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[12] ), + .Y(_15845_) + ); + sky130_fd_sc_hd__inv_4 _32602_ ( + .A(_15845_), + .Y(_05925_) + ); + sky130_fd_sc_hd__nand2b_2 _32603_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[12] ), + .Y(_05926_) + ); + sky130_fd_sc_hd__nor2_2 _32604_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[1] ), + .Y(_15846_) + ); + sky130_fd_sc_hd__inv_4 _32605_ ( + .A(_15846_), + .Y(_05927_) + ); + sky130_fd_sc_hd__nand2b_2 _32606_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[1] ), + .Y(_05928_) + ); + sky130_fd_sc_hd__nor2_2 _32607_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[4] ), + .Y(_15847_) + ); + sky130_fd_sc_hd__inv_4 _32608_ ( + .A(_15847_), + .Y(_05929_) + ); + sky130_fd_sc_hd__nand2b_2 _32609_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[4] ), + .Y(_05930_) + ); + sky130_fd_sc_hd__nor2_2 _32610_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[3] ), + .Y(_15848_) + ); + sky130_fd_sc_hd__inv_4 _32611_ ( + .A(_15848_), + .Y(_05931_) + ); + sky130_fd_sc_hd__nand2b_2 _32612_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[3] ), + .Y(_05932_) + ); + sky130_fd_sc_hd__nor2_2 _32613_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[9] ), + .Y(_15849_) + ); + sky130_fd_sc_hd__inv_4 _32614_ ( + .A(_15849_), + .Y(_05933_) + ); + sky130_fd_sc_hd__nand2b_2 _32615_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[9] ), + .Y(_05934_) + ); + sky130_fd_sc_hd__nor2_2 _32616_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[8] ), + .Y(_15850_) + ); + sky130_fd_sc_hd__inv_4 _32617_ ( + .A(_15850_), + .Y(_05935_) + ); + sky130_fd_sc_hd__nand2b_2 _32618_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[8] ), + .Y(_05936_) + ); + sky130_fd_sc_hd__nor2_2 _32619_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[2] ), + .Y(_15851_) + ); + sky130_fd_sc_hd__inv_4 _32620_ ( + .A(_15851_), + .Y(_05937_) + ); + sky130_fd_sc_hd__nand2b_2 _32621_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[2] ), + .Y(_05938_) + ); + sky130_fd_sc_hd__nor2_2 _32622_ ( + .A(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[0] ), + .Y(_15852_) + ); + sky130_fd_sc_hd__inv_4 _32623_ ( + .A(_15852_), + .Y(_05939_) + ); + sky130_fd_sc_hd__nand2b_2 _32624_ ( + .A_N(\gpio_control_in_2[8].resetn ), + .B(\gpio_control_in_2[8].gpio_defaults[0] ), + .Y(_05940_) + ); + sky130_fd_sc_hd__nor2_2 _32625_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[7] ), + .Y(_15853_) + ); + sky130_fd_sc_hd__inv_4 _32626_ ( + .A(_15853_), + .Y(_05941_) + ); + sky130_fd_sc_hd__nand2b_2 _32627_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[7] ), + .Y(_05942_) + ); + sky130_fd_sc_hd__nor2_2 _32628_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[6] ), + .Y(_15854_) + ); + sky130_fd_sc_hd__inv_4 _32629_ ( + .A(_15854_), + .Y(_05943_) + ); + sky130_fd_sc_hd__nand2b_2 _32630_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[6] ), + .Y(_05944_) + ); + sky130_fd_sc_hd__nor2_2 _32631_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[5] ), + .Y(_15855_) + ); + sky130_fd_sc_hd__inv_4 _32632_ ( + .A(_15855_), + .Y(_05945_) + ); + sky130_fd_sc_hd__nand2b_2 _32633_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[5] ), + .Y(_05946_) + ); + sky130_fd_sc_hd__nor2_2 _32634_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[10] ), + .Y(_15856_) + ); + sky130_fd_sc_hd__inv_4 _32635_ ( + .A(_15856_), + .Y(_05947_) + ); + sky130_fd_sc_hd__nand2b_2 _32636_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[10] ), + .Y(_05948_) + ); + sky130_fd_sc_hd__nor2_2 _32637_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[11] ), + .Y(_15857_) + ); + sky130_fd_sc_hd__inv_4 _32638_ ( + .A(_15857_), + .Y(_05949_) + ); + sky130_fd_sc_hd__nand2b_2 _32639_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[11] ), + .Y(_05950_) + ); + sky130_fd_sc_hd__nor2_2 _32640_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[12] ), + .Y(_15858_) + ); + sky130_fd_sc_hd__inv_4 _32641_ ( + .A(_15858_), + .Y(_05951_) + ); + sky130_fd_sc_hd__nand2b_2 _32642_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[12] ), + .Y(_05952_) + ); + sky130_fd_sc_hd__nor2_2 _32643_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[1] ), + .Y(_15859_) + ); + sky130_fd_sc_hd__inv_4 _32644_ ( + .A(_15859_), + .Y(_05953_) + ); + sky130_fd_sc_hd__nand2b_2 _32645_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[1] ), + .Y(_05954_) + ); + sky130_fd_sc_hd__nor2_2 _32646_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[4] ), + .Y(_15860_) + ); + sky130_fd_sc_hd__inv_4 _32647_ ( + .A(_15860_), + .Y(_05955_) + ); + sky130_fd_sc_hd__nand2b_2 _32648_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[4] ), + .Y(_05956_) + ); + sky130_fd_sc_hd__nor2_2 _32649_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[3] ), + .Y(_15861_) + ); + sky130_fd_sc_hd__inv_4 _32650_ ( + .A(_15861_), + .Y(_05957_) + ); + sky130_fd_sc_hd__nand2b_2 _32651_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[3] ), + .Y(_05958_) + ); + sky130_fd_sc_hd__nor2_2 _32652_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[9] ), + .Y(_15862_) + ); + sky130_fd_sc_hd__inv_4 _32653_ ( + .A(_15862_), + .Y(_05959_) + ); + sky130_fd_sc_hd__nand2b_2 _32654_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[9] ), + .Y(_05960_) + ); + sky130_fd_sc_hd__nor2_2 _32655_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[8] ), + .Y(_15863_) + ); + sky130_fd_sc_hd__inv_4 _32656_ ( + .A(_15863_), + .Y(_05961_) + ); + sky130_fd_sc_hd__nand2b_2 _32657_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[8] ), + .Y(_05962_) + ); + sky130_fd_sc_hd__nor2_2 _32658_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[2] ), + .Y(_15864_) + ); + sky130_fd_sc_hd__inv_4 _32659_ ( + .A(_15864_), + .Y(_05963_) + ); + sky130_fd_sc_hd__nand2b_2 _32660_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[2] ), + .Y(_05964_) + ); + sky130_fd_sc_hd__nor2_2 _32661_ ( + .A(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[0] ), + .Y(_15865_) + ); + sky130_fd_sc_hd__inv_4 _32662_ ( + .A(_15865_), + .Y(_05965_) + ); + sky130_fd_sc_hd__nand2b_2 _32663_ ( + .A_N(\gpio_control_in_2[10].resetn_out ), + .B(\gpio_control_in_2[9].gpio_defaults[0] ), + .Y(_05966_) + ); + sky130_fd_sc_hd__nor2_2 _32664_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[7] ), + .Y(_15866_) + ); + sky130_fd_sc_hd__inv_4 _32665_ ( + .A(_15866_), + .Y(_05967_) + ); + sky130_fd_sc_hd__nand2b_2 _32666_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[7] ), + .Y(_05968_) + ); + sky130_fd_sc_hd__nor2_2 _32667_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[6] ), + .Y(_15867_) + ); + sky130_fd_sc_hd__inv_4 _32668_ ( + .A(_15867_), + .Y(_05969_) + ); + sky130_fd_sc_hd__nand2b_2 _32669_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[6] ), + .Y(_05970_) + ); + sky130_fd_sc_hd__nor2_2 _32670_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[5] ), + .Y(_15868_) + ); + sky130_fd_sc_hd__inv_4 _32671_ ( + .A(_15868_), + .Y(_05971_) + ); + sky130_fd_sc_hd__nand2b_2 _32672_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[5] ), + .Y(_05972_) + ); + sky130_fd_sc_hd__nor2_2 _32673_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[10] ), + .Y(_15869_) + ); + sky130_fd_sc_hd__inv_4 _32674_ ( + .A(_15869_), + .Y(_05973_) + ); + sky130_fd_sc_hd__nand2b_2 _32675_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[10] ), + .Y(_05974_) + ); + sky130_fd_sc_hd__nor2_2 _32676_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[11] ), + .Y(_15870_) + ); + sky130_fd_sc_hd__inv_4 _32677_ ( + .A(_15870_), + .Y(_05975_) + ); + sky130_fd_sc_hd__nand2b_2 _32678_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[11] ), + .Y(_05976_) + ); + sky130_fd_sc_hd__nor2_2 _32679_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[12] ), + .Y(_15871_) + ); + sky130_fd_sc_hd__inv_4 _32680_ ( + .A(_15871_), + .Y(_05977_) + ); + sky130_fd_sc_hd__nand2b_2 _32681_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[12] ), + .Y(_05978_) + ); + sky130_fd_sc_hd__nor2_2 _32682_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[1] ), + .Y(_15872_) + ); + sky130_fd_sc_hd__inv_4 _32683_ ( + .A(_15872_), + .Y(_05979_) + ); + sky130_fd_sc_hd__nand2b_2 _32684_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[1] ), + .Y(_05980_) + ); + sky130_fd_sc_hd__nor2_2 _32685_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[4] ), + .Y(_15873_) + ); + sky130_fd_sc_hd__inv_4 _32686_ ( + .A(_15873_), + .Y(_05981_) + ); + sky130_fd_sc_hd__nand2b_2 _32687_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[4] ), + .Y(_05982_) + ); + sky130_fd_sc_hd__nor2_2 _32688_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[3] ), + .Y(_15874_) + ); + sky130_fd_sc_hd__inv_4 _32689_ ( + .A(_15874_), + .Y(_05983_) + ); + sky130_fd_sc_hd__nand2b_2 _32690_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[3] ), + .Y(_05984_) + ); + sky130_fd_sc_hd__nor2_2 _32691_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[9] ), + .Y(_15875_) + ); + sky130_fd_sc_hd__inv_4 _32692_ ( + .A(_15875_), + .Y(_05985_) + ); + sky130_fd_sc_hd__nand2b_2 _32693_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[9] ), + .Y(_05986_) + ); + sky130_fd_sc_hd__nor2_2 _32694_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[8] ), + .Y(_15876_) + ); + sky130_fd_sc_hd__inv_4 _32695_ ( + .A(_15876_), + .Y(_05987_) + ); + sky130_fd_sc_hd__nand2b_2 _32696_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[8] ), + .Y(_05988_) + ); + sky130_fd_sc_hd__nor2_2 _32697_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[2] ), + .Y(_15877_) + ); + sky130_fd_sc_hd__inv_4 _32698_ ( + .A(_15877_), + .Y(_05989_) + ); + sky130_fd_sc_hd__nand2b_2 _32699_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[2] ), + .Y(_05990_) + ); + sky130_fd_sc_hd__nor2_2 _32700_ ( + .A(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[0] ), + .Y(_15878_) + ); + sky130_fd_sc_hd__inv_4 _32701_ ( + .A(_15878_), + .Y(_05991_) + ); + sky130_fd_sc_hd__nand2b_2 _32702_ ( + .A_N(\gpio_control_in_2[10].resetn ), + .B(\gpio_control_in_2[10].gpio_defaults[0] ), + .Y(_05992_) + ); + sky130_fd_sc_hd__nor2_2 _32703_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[7] ), + .Y(_15879_) + ); + sky130_fd_sc_hd__inv_4 _32704_ ( + .A(_15879_), + .Y(_05993_) + ); + sky130_fd_sc_hd__nand2b_2 _32705_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[7] ), + .Y(_05994_) + ); + sky130_fd_sc_hd__nor2_2 _32706_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[6] ), + .Y(_15880_) + ); + sky130_fd_sc_hd__inv_4 _32707_ ( + .A(_15880_), + .Y(_05995_) + ); + sky130_fd_sc_hd__nand2b_2 _32708_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[6] ), + .Y(_05996_) + ); + sky130_fd_sc_hd__nor2_2 _32709_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[5] ), + .Y(_15881_) + ); + sky130_fd_sc_hd__inv_4 _32710_ ( + .A(_15881_), + .Y(_05997_) + ); + sky130_fd_sc_hd__nand2b_2 _32711_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[5] ), + .Y(_05998_) + ); + sky130_fd_sc_hd__nor2_2 _32712_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[10] ), + .Y(_15882_) + ); + sky130_fd_sc_hd__inv_4 _32713_ ( + .A(_15882_), + .Y(_05999_) + ); + sky130_fd_sc_hd__nand2b_2 _32714_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[10] ), + .Y(_06000_) + ); + sky130_fd_sc_hd__nor2_2 _32715_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[11] ), + .Y(_15883_) + ); + sky130_fd_sc_hd__inv_4 _32716_ ( + .A(_15883_), + .Y(_06001_) + ); + sky130_fd_sc_hd__nand2b_2 _32717_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[11] ), + .Y(_06002_) + ); + sky130_fd_sc_hd__nor2_2 _32718_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[12] ), + .Y(_15884_) + ); + sky130_fd_sc_hd__inv_4 _32719_ ( + .A(_15884_), + .Y(_06003_) + ); + sky130_fd_sc_hd__nand2b_2 _32720_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[12] ), + .Y(_06004_) + ); + sky130_fd_sc_hd__nor2_2 _32721_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[1] ), + .Y(_15885_) + ); + sky130_fd_sc_hd__inv_4 _32722_ ( + .A(_15885_), + .Y(_06005_) + ); + sky130_fd_sc_hd__nand2b_2 _32723_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[1] ), + .Y(_06006_) + ); + sky130_fd_sc_hd__nor2_2 _32724_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[4] ), + .Y(_15886_) + ); + sky130_fd_sc_hd__inv_4 _32725_ ( + .A(_15886_), + .Y(_06007_) + ); + sky130_fd_sc_hd__nand2b_2 _32726_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[4] ), + .Y(_06008_) + ); + sky130_fd_sc_hd__nor2_2 _32727_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[3] ), + .Y(_15887_) + ); + sky130_fd_sc_hd__inv_4 _32728_ ( + .A(_15887_), + .Y(_06009_) + ); + sky130_fd_sc_hd__nand2b_2 _32729_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[3] ), + .Y(_06010_) + ); + sky130_fd_sc_hd__nor2_2 _32730_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[9] ), + .Y(_15888_) + ); + sky130_fd_sc_hd__inv_4 _32731_ ( + .A(_15888_), + .Y(_06011_) + ); + sky130_fd_sc_hd__nand2b_2 _32732_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[9] ), + .Y(_06012_) + ); + sky130_fd_sc_hd__nor2_2 _32733_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[8] ), + .Y(_15889_) + ); + sky130_fd_sc_hd__inv_4 _32734_ ( + .A(_15889_), + .Y(_06013_) + ); + sky130_fd_sc_hd__nand2b_2 _32735_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[8] ), + .Y(_06014_) + ); + sky130_fd_sc_hd__nor2_2 _32736_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[2] ), + .Y(_15890_) + ); + sky130_fd_sc_hd__inv_4 _32737_ ( + .A(_15890_), + .Y(_06015_) + ); + sky130_fd_sc_hd__nand2b_2 _32738_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[2] ), + .Y(_06016_) + ); + sky130_fd_sc_hd__nor2_2 _32739_ ( + .A(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[0] ), + .Y(_15891_) + ); + sky130_fd_sc_hd__inv_4 _32740_ ( + .A(_15891_), + .Y(_06017_) + ); + sky130_fd_sc_hd__nand2b_2 _32741_ ( + .A_N(\gpio_control_in_2[11].resetn ), + .B(\gpio_control_in_2[11].gpio_defaults[0] ), + .Y(_06018_) + ); + sky130_fd_sc_hd__nor2_2 _32742_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[7] ), + .Y(_15892_) + ); + sky130_fd_sc_hd__inv_4 _32743_ ( + .A(_15892_), + .Y(_06019_) + ); + sky130_fd_sc_hd__nand2b_2 _32744_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[7] ), + .Y(_06020_) + ); + sky130_fd_sc_hd__nor2_2 _32745_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[6] ), + .Y(_15893_) + ); + sky130_fd_sc_hd__inv_4 _32746_ ( + .A(_15893_), + .Y(_06021_) + ); + sky130_fd_sc_hd__nand2b_2 _32747_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[6] ), + .Y(_06022_) + ); + sky130_fd_sc_hd__nor2_2 _32748_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[5] ), + .Y(_15894_) + ); + sky130_fd_sc_hd__inv_4 _32749_ ( + .A(_15894_), + .Y(_06023_) + ); + sky130_fd_sc_hd__nand2b_2 _32750_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[5] ), + .Y(_06024_) + ); + sky130_fd_sc_hd__nor2_2 _32751_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[10] ), + .Y(_15895_) + ); + sky130_fd_sc_hd__inv_4 _32752_ ( + .A(_15895_), + .Y(_06025_) + ); + sky130_fd_sc_hd__nand2b_2 _32753_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[10] ), + .Y(_06026_) + ); + sky130_fd_sc_hd__nor2_2 _32754_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[11] ), + .Y(_15896_) + ); + sky130_fd_sc_hd__inv_4 _32755_ ( + .A(_15896_), + .Y(_06027_) + ); + sky130_fd_sc_hd__nand2b_2 _32756_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[11] ), + .Y(_06028_) + ); + sky130_fd_sc_hd__nor2_2 _32757_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[12] ), + .Y(_15897_) + ); + sky130_fd_sc_hd__inv_4 _32758_ ( + .A(_15897_), + .Y(_06029_) + ); + sky130_fd_sc_hd__nand2b_2 _32759_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[12] ), + .Y(_06030_) + ); + sky130_fd_sc_hd__nor2_2 _32760_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[1] ), + .Y(_15898_) + ); + sky130_fd_sc_hd__inv_4 _32761_ ( + .A(_15898_), + .Y(_06031_) + ); + sky130_fd_sc_hd__nand2b_2 _32762_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[1] ), + .Y(_06032_) + ); + sky130_fd_sc_hd__nor2_2 _32763_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[4] ), + .Y(_15899_) + ); + sky130_fd_sc_hd__inv_4 _32764_ ( + .A(_15899_), + .Y(_06033_) + ); + sky130_fd_sc_hd__nand2b_2 _32765_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[4] ), + .Y(_06034_) + ); + sky130_fd_sc_hd__nor2_2 _32766_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[3] ), + .Y(_15900_) + ); + sky130_fd_sc_hd__inv_4 _32767_ ( + .A(_15900_), + .Y(_06035_) + ); + sky130_fd_sc_hd__nand2b_2 _32768_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[3] ), + .Y(_06036_) + ); + sky130_fd_sc_hd__nor2_2 _32769_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[9] ), + .Y(_15901_) + ); + sky130_fd_sc_hd__inv_4 _32770_ ( + .A(_15901_), + .Y(_06037_) + ); + sky130_fd_sc_hd__nand2b_2 _32771_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[9] ), + .Y(_06038_) + ); + sky130_fd_sc_hd__nor2_2 _32772_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[8] ), + .Y(_15902_) + ); + sky130_fd_sc_hd__inv_4 _32773_ ( + .A(_15902_), + .Y(_06039_) + ); + sky130_fd_sc_hd__nand2b_2 _32774_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[8] ), + .Y(_06040_) + ); + sky130_fd_sc_hd__nor2_2 _32775_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[2] ), + .Y(_15903_) + ); + sky130_fd_sc_hd__inv_4 _32776_ ( + .A(_15903_), + .Y(_06041_) + ); + sky130_fd_sc_hd__nand2b_2 _32777_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[2] ), + .Y(_06042_) + ); + sky130_fd_sc_hd__nor2_2 _32778_ ( + .A(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[0] ), + .Y(_15904_) + ); + sky130_fd_sc_hd__inv_4 _32779_ ( + .A(_15904_), + .Y(_06043_) + ); + sky130_fd_sc_hd__nand2b_2 _32780_ ( + .A_N(\gpio_control_in_2[12].resetn ), + .B(\gpio_control_in_2[12].gpio_defaults[0] ), + .Y(_06044_) + ); + sky130_fd_sc_hd__nor2_2 _32781_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[7] ), + .Y(_15905_) + ); + sky130_fd_sc_hd__inv_4 _32782_ ( + .A(_15905_), + .Y(_06045_) + ); + sky130_fd_sc_hd__nand2b_2 _32783_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[7] ), + .Y(_06046_) + ); + sky130_fd_sc_hd__nor2_2 _32784_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[6] ), + .Y(_15906_) + ); + sky130_fd_sc_hd__inv_4 _32785_ ( + .A(_15906_), + .Y(_06047_) + ); + sky130_fd_sc_hd__nand2b_2 _32786_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[6] ), + .Y(_06048_) + ); + sky130_fd_sc_hd__nor2_2 _32787_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[5] ), + .Y(_15907_) + ); + sky130_fd_sc_hd__inv_4 _32788_ ( + .A(_15907_), + .Y(_06049_) + ); + sky130_fd_sc_hd__nand2b_2 _32789_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[5] ), + .Y(_06050_) + ); + sky130_fd_sc_hd__nor2_2 _32790_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[10] ), + .Y(_15908_) + ); + sky130_fd_sc_hd__inv_4 _32791_ ( + .A(_15908_), + .Y(_06051_) + ); + sky130_fd_sc_hd__nand2b_2 _32792_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[10] ), + .Y(_06052_) + ); + sky130_fd_sc_hd__nor2_2 _32793_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[11] ), + .Y(_15909_) + ); + sky130_fd_sc_hd__inv_4 _32794_ ( + .A(_15909_), + .Y(_06053_) + ); + sky130_fd_sc_hd__nand2b_2 _32795_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[11] ), + .Y(_06054_) + ); + sky130_fd_sc_hd__nor2_2 _32796_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[12] ), + .Y(_15910_) + ); + sky130_fd_sc_hd__inv_4 _32797_ ( + .A(_15910_), + .Y(_06055_) + ); + sky130_fd_sc_hd__nand2b_2 _32798_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[12] ), + .Y(_06056_) + ); + sky130_fd_sc_hd__nor2_2 _32799_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[1] ), + .Y(_15911_) + ); + sky130_fd_sc_hd__inv_4 _32800_ ( + .A(_15911_), + .Y(_06057_) + ); + sky130_fd_sc_hd__nand2b_2 _32801_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[1] ), + .Y(_06058_) + ); + sky130_fd_sc_hd__nor2_2 _32802_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[4] ), + .Y(_15912_) + ); + sky130_fd_sc_hd__inv_4 _32803_ ( + .A(_15912_), + .Y(_06059_) + ); + sky130_fd_sc_hd__nand2b_2 _32804_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[4] ), + .Y(_06060_) + ); + sky130_fd_sc_hd__nor2_2 _32805_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[3] ), + .Y(_15913_) + ); + sky130_fd_sc_hd__inv_4 _32806_ ( + .A(_15913_), + .Y(_06061_) + ); + sky130_fd_sc_hd__nand2b_2 _32807_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[3] ), + .Y(_06062_) + ); + sky130_fd_sc_hd__nor2_2 _32808_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[9] ), + .Y(_15914_) + ); + sky130_fd_sc_hd__inv_4 _32809_ ( + .A(_15914_), + .Y(_06063_) + ); + sky130_fd_sc_hd__nand2b_2 _32810_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[9] ), + .Y(_06064_) + ); + sky130_fd_sc_hd__nor2_2 _32811_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[8] ), + .Y(_15915_) + ); + sky130_fd_sc_hd__inv_4 _32812_ ( + .A(_15915_), + .Y(_06065_) + ); + sky130_fd_sc_hd__nand2b_2 _32813_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[8] ), + .Y(_06066_) + ); + sky130_fd_sc_hd__nor2_2 _32814_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[2] ), + .Y(_15916_) + ); + sky130_fd_sc_hd__inv_4 _32815_ ( + .A(_15916_), + .Y(_06067_) + ); + sky130_fd_sc_hd__nand2b_2 _32816_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[2] ), + .Y(_06068_) + ); + sky130_fd_sc_hd__nor2_2 _32817_ ( + .A(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[0] ), + .Y(_15917_) + ); + sky130_fd_sc_hd__inv_4 _32818_ ( + .A(_15917_), + .Y(_06069_) + ); + sky130_fd_sc_hd__nand2b_2 _32819_ ( + .A_N(\gpio_control_in_2[13].resetn ), + .B(\gpio_control_in_2[13].gpio_defaults[0] ), + .Y(_06070_) + ); + sky130_fd_sc_hd__nor2_2 _32820_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[7] ), + .Y(_15918_) + ); + sky130_fd_sc_hd__inv_4 _32821_ ( + .A(_15918_), + .Y(_06071_) + ); + sky130_fd_sc_hd__nand2b_2 _32822_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[7] ), + .Y(_06072_) + ); + sky130_fd_sc_hd__nor2_2 _32823_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[6] ), + .Y(_15919_) + ); + sky130_fd_sc_hd__inv_4 _32824_ ( + .A(_15919_), + .Y(_06073_) + ); + sky130_fd_sc_hd__nand2b_2 _32825_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[6] ), + .Y(_06074_) + ); + sky130_fd_sc_hd__nor2_2 _32826_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[5] ), + .Y(_15920_) + ); + sky130_fd_sc_hd__inv_4 _32827_ ( + .A(_15920_), + .Y(_06075_) + ); + sky130_fd_sc_hd__nand2b_2 _32828_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[5] ), + .Y(_06076_) + ); + sky130_fd_sc_hd__nor2_2 _32829_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[10] ), + .Y(_15921_) + ); + sky130_fd_sc_hd__inv_4 _32830_ ( + .A(_15921_), + .Y(_06077_) + ); + sky130_fd_sc_hd__nand2b_2 _32831_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[10] ), + .Y(_06078_) + ); + sky130_fd_sc_hd__nor2_2 _32832_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[11] ), + .Y(_15922_) + ); + sky130_fd_sc_hd__inv_4 _32833_ ( + .A(_15922_), + .Y(_06079_) + ); + sky130_fd_sc_hd__nand2b_2 _32834_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[11] ), + .Y(_06080_) + ); + sky130_fd_sc_hd__nor2_2 _32835_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[12] ), + .Y(_15923_) + ); + sky130_fd_sc_hd__inv_4 _32836_ ( + .A(_15923_), + .Y(_06081_) + ); + sky130_fd_sc_hd__nand2b_2 _32837_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[12] ), + .Y(_06082_) + ); + sky130_fd_sc_hd__nor2_2 _32838_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[1] ), + .Y(_15924_) + ); + sky130_fd_sc_hd__inv_4 _32839_ ( + .A(_15924_), + .Y(_06083_) + ); + sky130_fd_sc_hd__nand2b_2 _32840_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[1] ), + .Y(_06084_) + ); + sky130_fd_sc_hd__nor2_2 _32841_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[4] ), + .Y(_15925_) + ); + sky130_fd_sc_hd__inv_4 _32842_ ( + .A(_15925_), + .Y(_06085_) + ); + sky130_fd_sc_hd__nand2b_2 _32843_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[4] ), + .Y(_06086_) + ); + sky130_fd_sc_hd__nor2_2 _32844_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[3] ), + .Y(_15926_) + ); + sky130_fd_sc_hd__inv_4 _32845_ ( + .A(_15926_), + .Y(_06087_) + ); + sky130_fd_sc_hd__nand2b_2 _32846_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[3] ), + .Y(_06088_) + ); + sky130_fd_sc_hd__nor2_2 _32847_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[9] ), + .Y(_15927_) + ); + sky130_fd_sc_hd__inv_4 _32848_ ( + .A(_15927_), + .Y(_06089_) + ); + sky130_fd_sc_hd__nand2b_2 _32849_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[9] ), + .Y(_06090_) + ); + sky130_fd_sc_hd__nor2_2 _32850_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[8] ), + .Y(_15928_) + ); + sky130_fd_sc_hd__inv_4 _32851_ ( + .A(_15928_), + .Y(_06091_) + ); + sky130_fd_sc_hd__nand2b_2 _32852_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[8] ), + .Y(_06092_) + ); + sky130_fd_sc_hd__nor2_2 _32853_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[2] ), + .Y(_15929_) + ); + sky130_fd_sc_hd__inv_4 _32854_ ( + .A(_15929_), + .Y(_06093_) + ); + sky130_fd_sc_hd__nand2b_2 _32855_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[2] ), + .Y(_06094_) + ); + sky130_fd_sc_hd__nor2_2 _32856_ ( + .A(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[0] ), + .Y(_15930_) + ); + sky130_fd_sc_hd__inv_4 _32857_ ( + .A(_15930_), + .Y(_06095_) + ); + sky130_fd_sc_hd__nand2b_2 _32858_ ( + .A_N(\gpio_control_in_2[14].resetn ), + .B(\gpio_control_in_2[14].gpio_defaults[0] ), + .Y(_06096_) + ); + sky130_fd_sc_hd__nor2_2 _32859_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[7] ), + .Y(_15931_) + ); + sky130_fd_sc_hd__inv_4 _32860_ ( + .A(_15931_), + .Y(_06097_) + ); + sky130_fd_sc_hd__nand2b_2 _32861_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[7] ), + .Y(_06098_) + ); + sky130_fd_sc_hd__nor2_2 _32862_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[6] ), + .Y(_15932_) + ); + sky130_fd_sc_hd__inv_4 _32863_ ( + .A(_15932_), + .Y(_06099_) + ); + sky130_fd_sc_hd__nand2b_2 _32864_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[6] ), + .Y(_06100_) + ); + sky130_fd_sc_hd__nor2_2 _32865_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[5] ), + .Y(_15933_) + ); + sky130_fd_sc_hd__inv_4 _32866_ ( + .A(_15933_), + .Y(_06101_) + ); + sky130_fd_sc_hd__nand2b_2 _32867_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[5] ), + .Y(_06102_) + ); + sky130_fd_sc_hd__nor2_2 _32868_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[10] ), + .Y(_15934_) + ); + sky130_fd_sc_hd__inv_4 _32869_ ( + .A(_15934_), + .Y(_06103_) + ); + sky130_fd_sc_hd__nand2b_2 _32870_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[10] ), + .Y(_06104_) + ); + sky130_fd_sc_hd__nor2_2 _32871_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[11] ), + .Y(_15935_) + ); + sky130_fd_sc_hd__inv_4 _32872_ ( + .A(_15935_), + .Y(_06105_) + ); + sky130_fd_sc_hd__nand2b_2 _32873_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[11] ), + .Y(_06106_) + ); + sky130_fd_sc_hd__nor2_2 _32874_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[12] ), + .Y(_15936_) + ); + sky130_fd_sc_hd__inv_4 _32875_ ( + .A(_15936_), + .Y(_06107_) + ); + sky130_fd_sc_hd__nand2b_2 _32876_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[12] ), + .Y(_06108_) + ); + sky130_fd_sc_hd__nor2_2 _32877_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[1] ), + .Y(_15937_) + ); + sky130_fd_sc_hd__inv_4 _32878_ ( + .A(_15937_), + .Y(_06109_) + ); + sky130_fd_sc_hd__nand2b_2 _32879_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[1] ), + .Y(_06110_) + ); + sky130_fd_sc_hd__nor2_2 _32880_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[4] ), + .Y(_15938_) + ); + sky130_fd_sc_hd__inv_4 _32881_ ( + .A(_15938_), + .Y(_06111_) + ); + sky130_fd_sc_hd__nand2b_2 _32882_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[4] ), + .Y(_06112_) + ); + sky130_fd_sc_hd__nor2_2 _32883_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[3] ), + .Y(_15939_) + ); + sky130_fd_sc_hd__inv_4 _32884_ ( + .A(_15939_), + .Y(_06113_) + ); + sky130_fd_sc_hd__nand2b_2 _32885_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[3] ), + .Y(_06114_) + ); + sky130_fd_sc_hd__nor2_2 _32886_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[9] ), + .Y(_15940_) + ); + sky130_fd_sc_hd__inv_4 _32887_ ( + .A(_15940_), + .Y(_06115_) + ); + sky130_fd_sc_hd__nand2b_2 _32888_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[9] ), + .Y(_06116_) + ); + sky130_fd_sc_hd__nor2_2 _32889_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[8] ), + .Y(_15941_) + ); + sky130_fd_sc_hd__inv_4 _32890_ ( + .A(_15941_), + .Y(_06117_) + ); + sky130_fd_sc_hd__nand2b_2 _32891_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[8] ), + .Y(_06118_) + ); + sky130_fd_sc_hd__nor2_2 _32892_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[2] ), + .Y(_15942_) + ); + sky130_fd_sc_hd__inv_4 _32893_ ( + .A(_15942_), + .Y(_06119_) + ); + sky130_fd_sc_hd__nand2b_2 _32894_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[2] ), + .Y(_06120_) + ); + sky130_fd_sc_hd__nor2_2 _32895_ ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[0] ), + .Y(_15943_) + ); + sky130_fd_sc_hd__inv_4 _32896_ ( + .A(_15943_), + .Y(_06121_) + ); + sky130_fd_sc_hd__nand2b_2 _32897_ ( + .A_N(\gpio_control_bidir_2[0].resetn_out ), + .B(\gpio_control_in_2[15].gpio_defaults[0] ), + .Y(_06122_) + ); + sky130_fd_sc_hd__buf_4 _32898_ ( + .A(\soc.core.grant[1] ), + .X(_04917_) + ); + sky130_fd_sc_hd__buf_4 _32899_ ( + .A(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[13] ), + .X(_04143_) + ); + sky130_fd_sc_hd__buf_4 _32900_ ( + .A(\pll.pll_control.tint[4] ), + .X(_04585_) + ); + sky130_fd_sc_hd__buf_4 _32901_ ( + .A(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ), + .X(_04803_) + ); + sky130_fd_sc_hd__buf_4 _32902_ ( + .A(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ), + .X(_04805_) + ); + sky130_fd_sc_hd__nor2_2 _32903_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06124_) + ); + sky130_fd_sc_hd__nor2_2 _32904_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06125_) + ); + sky130_fd_sc_hd__nor2_2 _32905_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06126_) + ); + sky130_fd_sc_hd__nor2_2 _32906_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06127_) + ); + sky130_fd_sc_hd__nor2_2 _32907_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06128_) + ); + sky130_fd_sc_hd__nor2_2 _32908_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06129_) + ); + sky130_fd_sc_hd__nor2_2 _32909_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06130_) + ); + sky130_fd_sc_hd__nor2_2 _32910_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06131_) + ); + sky130_fd_sc_hd__nor2_2 _32911_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06132_) + ); + sky130_fd_sc_hd__nor2_2 _32912_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06133_) + ); + sky130_fd_sc_hd__nor2_2 _32913_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06134_) + ); + sky130_fd_sc_hd__nor2_2 _32914_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06135_) + ); + sky130_fd_sc_hd__nor2_2 _32915_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06136_) + ); + sky130_fd_sc_hd__nor2_2 _32916_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06137_) + ); + sky130_fd_sc_hd__nor2_2 _32917_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06138_) + ); + sky130_fd_sc_hd__nor2_2 _32918_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06139_) + ); + sky130_fd_sc_hd__nor2_2 _32919_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06140_) + ); + sky130_fd_sc_hd__nor2_2 _32920_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06141_) + ); + sky130_fd_sc_hd__nor2_2 _32921_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06142_) + ); + sky130_fd_sc_hd__nor2_2 _32922_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06143_) + ); + sky130_fd_sc_hd__nor2_2 _32923_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06144_) + ); + sky130_fd_sc_hd__nor2_2 _32924_ ( + .A(\pll.dco ), + .B(\pll.ireset ), + .Y(_06145_) + ); + sky130_fd_sc_hd__inv_4 _32925_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06147_) + ); + sky130_fd_sc_hd__inv_4 _32926_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06148_) + ); + sky130_fd_sc_hd__inv_4 _32927_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06149_) + ); + sky130_fd_sc_hd__inv_4 _32928_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06150_) + ); + sky130_fd_sc_hd__inv_4 _32929_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06151_) + ); + sky130_fd_sc_hd__inv_4 _32930_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06152_) + ); + sky130_fd_sc_hd__inv_4 _32931_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06153_) + ); + sky130_fd_sc_hd__inv_4 _32932_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06154_) + ); + sky130_fd_sc_hd__inv_4 _32933_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06155_) + ); + sky130_fd_sc_hd__inv_4 _32934_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06156_) + ); + sky130_fd_sc_hd__inv_4 _32935_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06157_) + ); + sky130_fd_sc_hd__inv_4 _32936_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06158_) + ); + sky130_fd_sc_hd__inv_4 _32937_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06161_) + ); + sky130_fd_sc_hd__inv_4 _32938_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06162_) + ); + sky130_fd_sc_hd__inv_4 _32939_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06163_) + ); + sky130_fd_sc_hd__inv_4 _32940_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06164_) + ); + sky130_fd_sc_hd__inv_4 _32941_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06165_) + ); + sky130_fd_sc_hd__inv_4 _32942_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06166_) + ); + sky130_fd_sc_hd__inv_4 _32943_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06167_) + ); + sky130_fd_sc_hd__inv_4 _32944_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06168_) + ); + sky130_fd_sc_hd__inv_4 _32945_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06169_) + ); + sky130_fd_sc_hd__inv_4 _32946_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06170_) + ); + sky130_fd_sc_hd__inv_4 _32947_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06171_) + ); + sky130_fd_sc_hd__inv_4 _32948_ ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .Y(_06172_) + ); + sky130_fd_sc_hd__inv_4 _32949_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06175_) + ); + sky130_fd_sc_hd__inv_4 _32950_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06176_) + ); + sky130_fd_sc_hd__inv_4 _32951_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06177_) + ); + sky130_fd_sc_hd__inv_4 _32952_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06178_) + ); + sky130_fd_sc_hd__inv_4 _32953_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06179_) + ); + sky130_fd_sc_hd__inv_4 _32954_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06180_) + ); + sky130_fd_sc_hd__inv_4 _32955_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06181_) + ); + sky130_fd_sc_hd__inv_4 _32956_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06182_) + ); + sky130_fd_sc_hd__inv_4 _32957_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06183_) + ); + sky130_fd_sc_hd__inv_4 _32958_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06184_) + ); + sky130_fd_sc_hd__inv_4 _32959_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06185_) + ); + sky130_fd_sc_hd__inv_4 _32960_ ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .Y(_06186_) + ); + sky130_fd_sc_hd__inv_4 _32961_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06189_) + ); + sky130_fd_sc_hd__inv_4 _32962_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06190_) + ); + sky130_fd_sc_hd__inv_4 _32963_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06191_) + ); + sky130_fd_sc_hd__inv_4 _32964_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06192_) + ); + sky130_fd_sc_hd__inv_4 _32965_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06193_) + ); + sky130_fd_sc_hd__inv_4 _32966_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06194_) + ); + sky130_fd_sc_hd__inv_4 _32967_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06195_) + ); + sky130_fd_sc_hd__inv_4 _32968_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06196_) + ); + sky130_fd_sc_hd__inv_4 _32969_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06197_) + ); + sky130_fd_sc_hd__inv_4 _32970_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06198_) + ); + sky130_fd_sc_hd__inv_4 _32971_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06199_) + ); + sky130_fd_sc_hd__inv_4 _32972_ ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .Y(_06200_) + ); + sky130_fd_sc_hd__inv_4 _32973_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06203_) + ); + sky130_fd_sc_hd__inv_4 _32974_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06204_) + ); + sky130_fd_sc_hd__inv_4 _32975_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06205_) + ); + sky130_fd_sc_hd__inv_4 _32976_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06206_) + ); + sky130_fd_sc_hd__inv_4 _32977_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06207_) + ); + sky130_fd_sc_hd__inv_4 _32978_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06208_) + ); + sky130_fd_sc_hd__inv_4 _32979_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06209_) + ); + sky130_fd_sc_hd__inv_4 _32980_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06210_) + ); + sky130_fd_sc_hd__inv_4 _32981_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06211_) + ); + sky130_fd_sc_hd__inv_4 _32982_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06212_) + ); + sky130_fd_sc_hd__inv_4 _32983_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06213_) + ); + sky130_fd_sc_hd__inv_4 _32984_ ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .Y(_06214_) + ); + sky130_fd_sc_hd__inv_4 _32985_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06217_) + ); + sky130_fd_sc_hd__inv_4 _32986_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06218_) + ); + sky130_fd_sc_hd__inv_4 _32987_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06219_) + ); + sky130_fd_sc_hd__inv_4 _32988_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06220_) + ); + sky130_fd_sc_hd__inv_4 _32989_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06221_) + ); + sky130_fd_sc_hd__inv_4 _32990_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06222_) + ); + sky130_fd_sc_hd__inv_4 _32991_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06223_) + ); + sky130_fd_sc_hd__inv_4 _32992_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06224_) + ); + sky130_fd_sc_hd__inv_4 _32993_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06225_) + ); + sky130_fd_sc_hd__inv_4 _32994_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06226_) + ); + sky130_fd_sc_hd__inv_4 _32995_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06227_) + ); + sky130_fd_sc_hd__inv_4 _32996_ ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .Y(_06228_) + ); + sky130_fd_sc_hd__inv_4 _32997_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06231_) + ); + sky130_fd_sc_hd__inv_4 _32998_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06232_) + ); + sky130_fd_sc_hd__inv_4 _32999_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06233_) + ); + sky130_fd_sc_hd__inv_4 _33000_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06234_) + ); + sky130_fd_sc_hd__inv_4 _33001_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06235_) + ); + sky130_fd_sc_hd__inv_4 _33002_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06236_) + ); + sky130_fd_sc_hd__inv_4 _33003_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06237_) + ); + sky130_fd_sc_hd__inv_4 _33004_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06238_) + ); + sky130_fd_sc_hd__inv_4 _33005_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06239_) + ); + sky130_fd_sc_hd__inv_4 _33006_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06240_) + ); + sky130_fd_sc_hd__inv_4 _33007_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06241_) + ); + sky130_fd_sc_hd__inv_4 _33008_ ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .Y(_06242_) + ); + sky130_fd_sc_hd__inv_4 _33009_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06245_) + ); + sky130_fd_sc_hd__inv_4 _33010_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06246_) + ); + sky130_fd_sc_hd__inv_4 _33011_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06247_) + ); + sky130_fd_sc_hd__inv_4 _33012_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06248_) + ); + sky130_fd_sc_hd__inv_4 _33013_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06249_) + ); + sky130_fd_sc_hd__inv_4 _33014_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06250_) + ); + sky130_fd_sc_hd__inv_4 _33015_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06251_) + ); + sky130_fd_sc_hd__inv_4 _33016_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06252_) + ); + sky130_fd_sc_hd__inv_4 _33017_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06253_) + ); + sky130_fd_sc_hd__inv_4 _33018_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06254_) + ); + sky130_fd_sc_hd__inv_4 _33019_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06255_) + ); + sky130_fd_sc_hd__inv_4 _33020_ ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .Y(_06256_) + ); + sky130_fd_sc_hd__inv_4 _33021_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06259_) + ); + sky130_fd_sc_hd__inv_4 _33022_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06260_) + ); + sky130_fd_sc_hd__inv_4 _33023_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06261_) + ); + sky130_fd_sc_hd__inv_4 _33024_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06262_) + ); + sky130_fd_sc_hd__inv_4 _33025_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06263_) + ); + sky130_fd_sc_hd__inv_4 _33026_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06264_) + ); + sky130_fd_sc_hd__inv_4 _33027_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06265_) + ); + sky130_fd_sc_hd__inv_4 _33028_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06266_) + ); + sky130_fd_sc_hd__inv_4 _33029_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06267_) + ); + sky130_fd_sc_hd__inv_4 _33030_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06268_) + ); + sky130_fd_sc_hd__inv_4 _33031_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06269_) + ); + sky130_fd_sc_hd__inv_4 _33032_ ( + .A(\gpio_control_in_1[0].serial_load ), + .Y(_06270_) + ); + sky130_fd_sc_hd__inv_4 _33033_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06273_) + ); + sky130_fd_sc_hd__inv_4 _33034_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06274_) + ); + sky130_fd_sc_hd__inv_4 _33035_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06275_) + ); + sky130_fd_sc_hd__inv_4 _33036_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06276_) + ); + sky130_fd_sc_hd__inv_4 _33037_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06277_) + ); + sky130_fd_sc_hd__inv_4 _33038_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06278_) + ); + sky130_fd_sc_hd__inv_4 _33039_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06279_) + ); + sky130_fd_sc_hd__inv_4 _33040_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06280_) + ); + sky130_fd_sc_hd__inv_4 _33041_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06281_) + ); + sky130_fd_sc_hd__inv_4 _33042_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06282_) + ); + sky130_fd_sc_hd__inv_4 _33043_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06283_) + ); + sky130_fd_sc_hd__inv_4 _33044_ ( + .A(\gpio_control_in_1[0].serial_load_out ), + .Y(_06284_) + ); + sky130_fd_sc_hd__inv_4 _33045_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06287_) + ); + sky130_fd_sc_hd__inv_4 _33046_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06288_) + ); + sky130_fd_sc_hd__inv_4 _33047_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06289_) + ); + sky130_fd_sc_hd__inv_4 _33048_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06290_) + ); + sky130_fd_sc_hd__inv_4 _33049_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06291_) + ); + sky130_fd_sc_hd__inv_4 _33050_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06292_) + ); + sky130_fd_sc_hd__inv_4 _33051_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06293_) + ); + sky130_fd_sc_hd__inv_4 _33052_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06294_) + ); + sky130_fd_sc_hd__inv_4 _33053_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06295_) + ); + sky130_fd_sc_hd__inv_4 _33054_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06296_) + ); + sky130_fd_sc_hd__inv_4 _33055_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06297_) + ); + sky130_fd_sc_hd__inv_4 _33056_ ( + .A(\gpio_control_in_1[1].serial_load_out ), + .Y(_06298_) + ); + sky130_fd_sc_hd__inv_4 _33057_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06301_) + ); + sky130_fd_sc_hd__inv_4 _33058_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06302_) + ); + sky130_fd_sc_hd__inv_4 _33059_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06303_) + ); + sky130_fd_sc_hd__inv_4 _33060_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06304_) + ); + sky130_fd_sc_hd__inv_4 _33061_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06305_) + ); + sky130_fd_sc_hd__inv_4 _33062_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06306_) + ); + sky130_fd_sc_hd__inv_4 _33063_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06307_) + ); + sky130_fd_sc_hd__inv_4 _33064_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06308_) + ); + sky130_fd_sc_hd__inv_4 _33065_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06309_) + ); + sky130_fd_sc_hd__inv_4 _33066_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06310_) + ); + sky130_fd_sc_hd__inv_4 _33067_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06311_) + ); + sky130_fd_sc_hd__inv_4 _33068_ ( + .A(\gpio_control_in_1[2].serial_load_out ), + .Y(_06312_) + ); + sky130_fd_sc_hd__inv_4 _33069_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06315_) + ); + sky130_fd_sc_hd__inv_4 _33070_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06316_) + ); + sky130_fd_sc_hd__inv_4 _33071_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06317_) + ); + sky130_fd_sc_hd__inv_4 _33072_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06318_) + ); + sky130_fd_sc_hd__inv_4 _33073_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06319_) + ); + sky130_fd_sc_hd__inv_4 _33074_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06320_) + ); + sky130_fd_sc_hd__inv_4 _33075_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06321_) + ); + sky130_fd_sc_hd__inv_4 _33076_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06322_) + ); + sky130_fd_sc_hd__inv_4 _33077_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06323_) + ); + sky130_fd_sc_hd__inv_4 _33078_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06324_) + ); + sky130_fd_sc_hd__inv_4 _33079_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06325_) + ); + sky130_fd_sc_hd__inv_4 _33080_ ( + .A(\gpio_control_in_1[3].serial_load_out ), + .Y(_06326_) + ); + sky130_fd_sc_hd__inv_4 _33081_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06329_) + ); + sky130_fd_sc_hd__inv_4 _33082_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06330_) + ); + sky130_fd_sc_hd__inv_4 _33083_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06331_) + ); + sky130_fd_sc_hd__inv_4 _33084_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06332_) + ); + sky130_fd_sc_hd__inv_4 _33085_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06333_) + ); + sky130_fd_sc_hd__inv_4 _33086_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06334_) + ); + sky130_fd_sc_hd__inv_4 _33087_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06335_) + ); + sky130_fd_sc_hd__inv_4 _33088_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06336_) + ); + sky130_fd_sc_hd__inv_4 _33089_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06337_) + ); + sky130_fd_sc_hd__inv_4 _33090_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06338_) + ); + sky130_fd_sc_hd__inv_4 _33091_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06339_) + ); + sky130_fd_sc_hd__inv_4 _33092_ ( + .A(\gpio_control_in_1[4].serial_load_out ), + .Y(_06340_) + ); + sky130_fd_sc_hd__inv_4 _33093_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06343_) + ); + sky130_fd_sc_hd__inv_4 _33094_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06344_) + ); + sky130_fd_sc_hd__inv_4 _33095_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06345_) + ); + sky130_fd_sc_hd__inv_4 _33096_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06346_) + ); + sky130_fd_sc_hd__inv_4 _33097_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06347_) + ); + sky130_fd_sc_hd__inv_4 _33098_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06348_) + ); + sky130_fd_sc_hd__inv_4 _33099_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06349_) + ); + sky130_fd_sc_hd__inv_4 _33100_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06350_) + ); + sky130_fd_sc_hd__inv_4 _33101_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06351_) + ); + sky130_fd_sc_hd__inv_4 _33102_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06352_) + ); + sky130_fd_sc_hd__inv_4 _33103_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06353_) + ); + sky130_fd_sc_hd__inv_4 _33104_ ( + .A(\gpio_control_in_1[5].serial_load_out ), + .Y(_06354_) + ); + sky130_fd_sc_hd__inv_4 _33105_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06357_) + ); + sky130_fd_sc_hd__inv_4 _33106_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06358_) + ); + sky130_fd_sc_hd__inv_4 _33107_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06359_) + ); + sky130_fd_sc_hd__inv_4 _33108_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06360_) + ); + sky130_fd_sc_hd__inv_4 _33109_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06361_) + ); + sky130_fd_sc_hd__inv_4 _33110_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06362_) + ); + sky130_fd_sc_hd__inv_4 _33111_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06363_) + ); + sky130_fd_sc_hd__inv_4 _33112_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06364_) + ); + sky130_fd_sc_hd__inv_4 _33113_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06365_) + ); + sky130_fd_sc_hd__inv_4 _33114_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06366_) + ); + sky130_fd_sc_hd__inv_4 _33115_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06367_) + ); + sky130_fd_sc_hd__inv_4 _33116_ ( + .A(\gpio_control_in_1[6].serial_load_out ), + .Y(_06368_) + ); + sky130_fd_sc_hd__inv_4 _33117_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06371_) + ); + sky130_fd_sc_hd__inv_4 _33118_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06372_) + ); + sky130_fd_sc_hd__inv_4 _33119_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06373_) + ); + sky130_fd_sc_hd__inv_4 _33120_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06374_) + ); + sky130_fd_sc_hd__inv_4 _33121_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06375_) + ); + sky130_fd_sc_hd__inv_4 _33122_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06376_) + ); + sky130_fd_sc_hd__inv_4 _33123_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06377_) + ); + sky130_fd_sc_hd__inv_4 _33124_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06378_) + ); + sky130_fd_sc_hd__inv_4 _33125_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06379_) + ); + sky130_fd_sc_hd__inv_4 _33126_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06380_) + ); + sky130_fd_sc_hd__inv_4 _33127_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06381_) + ); + sky130_fd_sc_hd__inv_4 _33128_ ( + .A(\gpio_control_in_1[7].serial_load_out ), + .Y(_06382_) + ); + sky130_fd_sc_hd__inv_4 _33129_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06385_) + ); + sky130_fd_sc_hd__inv_4 _33130_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06386_) + ); + sky130_fd_sc_hd__inv_4 _33131_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06387_) + ); + sky130_fd_sc_hd__inv_4 _33132_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06388_) + ); + sky130_fd_sc_hd__inv_4 _33133_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06389_) + ); + sky130_fd_sc_hd__inv_4 _33134_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06390_) + ); + sky130_fd_sc_hd__inv_4 _33135_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06391_) + ); + sky130_fd_sc_hd__inv_4 _33136_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06392_) + ); + sky130_fd_sc_hd__inv_4 _33137_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06393_) + ); + sky130_fd_sc_hd__inv_4 _33138_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06394_) + ); + sky130_fd_sc_hd__inv_4 _33139_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06395_) + ); + sky130_fd_sc_hd__inv_4 _33140_ ( + .A(\gpio_control_in_1[8].serial_load_out ), + .Y(_06396_) + ); + sky130_fd_sc_hd__inv_4 _33141_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06399_) + ); + sky130_fd_sc_hd__inv_4 _33142_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06400_) + ); + sky130_fd_sc_hd__inv_4 _33143_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06401_) + ); + sky130_fd_sc_hd__inv_4 _33144_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06402_) + ); + sky130_fd_sc_hd__inv_4 _33145_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06403_) + ); + sky130_fd_sc_hd__inv_4 _33146_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06404_) + ); + sky130_fd_sc_hd__inv_4 _33147_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06405_) + ); + sky130_fd_sc_hd__inv_4 _33148_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06406_) + ); + sky130_fd_sc_hd__inv_4 _33149_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06407_) + ); + sky130_fd_sc_hd__inv_4 _33150_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06408_) + ); + sky130_fd_sc_hd__inv_4 _33151_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06409_) + ); + sky130_fd_sc_hd__inv_4 _33152_ ( + .A(\gpio_control_in_1[10].serial_load ), + .Y(_06410_) + ); + sky130_fd_sc_hd__inv_4 _33153_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06412_) + ); + sky130_fd_sc_hd__inv_4 _33154_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06413_) + ); + sky130_fd_sc_hd__inv_4 _33155_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06414_) + ); + sky130_fd_sc_hd__inv_4 _33156_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06415_) + ); + sky130_fd_sc_hd__inv_4 _33157_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06416_) + ); + sky130_fd_sc_hd__inv_4 _33158_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06417_) + ); + sky130_fd_sc_hd__inv_4 _33159_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06418_) + ); + sky130_fd_sc_hd__inv_4 _33160_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06419_) + ); + sky130_fd_sc_hd__inv_4 _33161_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06420_) + ); + sky130_fd_sc_hd__inv_4 _33162_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06421_) + ); + sky130_fd_sc_hd__inv_4 _33163_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06422_) + ); + sky130_fd_sc_hd__inv_4 _33164_ ( + .A(\gpio_control_bidir_2[0].serial_load ), + .Y(_06423_) + ); + sky130_fd_sc_hd__inv_4 _33165_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06426_) + ); + sky130_fd_sc_hd__inv_4 _33166_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06427_) + ); + sky130_fd_sc_hd__inv_4 _33167_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06428_) + ); + sky130_fd_sc_hd__inv_4 _33168_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06429_) + ); + sky130_fd_sc_hd__inv_4 _33169_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06430_) + ); + sky130_fd_sc_hd__inv_4 _33170_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06431_) + ); + sky130_fd_sc_hd__inv_4 _33171_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06432_) + ); + sky130_fd_sc_hd__inv_4 _33172_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06433_) + ); + sky130_fd_sc_hd__inv_4 _33173_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06434_) + ); + sky130_fd_sc_hd__inv_4 _33174_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06435_) + ); + sky130_fd_sc_hd__inv_4 _33175_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06436_) + ); + sky130_fd_sc_hd__inv_4 _33176_ ( + .A(\gpio_control_bidir_2[1].serial_load ), + .Y(_06437_) + ); + sky130_fd_sc_hd__inv_4 _33177_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06439_) + ); + sky130_fd_sc_hd__inv_4 _33178_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06440_) + ); + sky130_fd_sc_hd__inv_4 _33179_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06441_) + ); + sky130_fd_sc_hd__inv_4 _33180_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06442_) + ); + sky130_fd_sc_hd__inv_4 _33181_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06443_) + ); + sky130_fd_sc_hd__inv_4 _33182_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06444_) + ); + sky130_fd_sc_hd__inv_4 _33183_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06445_) + ); + sky130_fd_sc_hd__inv_4 _33184_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06446_) + ); + sky130_fd_sc_hd__inv_4 _33185_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06447_) + ); + sky130_fd_sc_hd__inv_4 _33186_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06448_) + ); + sky130_fd_sc_hd__inv_4 _33187_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06449_) + ); + sky130_fd_sc_hd__inv_4 _33188_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06450_) + ); + sky130_fd_sc_hd__inv_4 _33189_ ( + .A(\gpio_control_bidir_1[0].serial_load ), + .Y(_06451_) + ); + sky130_fd_sc_hd__inv_4 _33190_ ( + .A(\gpio_control_bidir_1[0].serial_clock ), + .Y(_06452_) + ); + sky130_fd_sc_hd__inv_4 _33191_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06454_) + ); + sky130_fd_sc_hd__inv_4 _33192_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06455_) + ); + sky130_fd_sc_hd__inv_4 _33193_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06456_) + ); + sky130_fd_sc_hd__inv_4 _33194_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06457_) + ); + sky130_fd_sc_hd__inv_4 _33195_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06458_) + ); + sky130_fd_sc_hd__inv_4 _33196_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06459_) + ); + sky130_fd_sc_hd__inv_4 _33197_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06460_) + ); + sky130_fd_sc_hd__inv_4 _33198_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06461_) + ); + sky130_fd_sc_hd__inv_4 _33199_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06462_) + ); + sky130_fd_sc_hd__inv_4 _33200_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06463_) + ); + sky130_fd_sc_hd__inv_4 _33201_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06464_) + ); + sky130_fd_sc_hd__inv_4 _33202_ ( + .A(\gpio_control_in_2[0].serial_load ), + .Y(_06465_) + ); + sky130_fd_sc_hd__inv_4 _33203_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06467_) + ); + sky130_fd_sc_hd__inv_4 _33204_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06468_) + ); + sky130_fd_sc_hd__inv_4 _33205_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06469_) + ); + sky130_fd_sc_hd__inv_4 _33206_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06470_) + ); + sky130_fd_sc_hd__inv_4 _33207_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06471_) + ); + sky130_fd_sc_hd__inv_4 _33208_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06472_) + ); + sky130_fd_sc_hd__inv_4 _33209_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06473_) + ); + sky130_fd_sc_hd__inv_4 _33210_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06474_) + ); + sky130_fd_sc_hd__inv_4 _33211_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06475_) + ); + sky130_fd_sc_hd__inv_4 _33212_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06476_) + ); + sky130_fd_sc_hd__inv_4 _33213_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06477_) + ); + sky130_fd_sc_hd__inv_4 _33214_ ( + .A(\gpio_control_in_2[1].serial_load ), + .Y(_06478_) + ); + sky130_fd_sc_hd__inv_4 _33215_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06481_) + ); + sky130_fd_sc_hd__inv_4 _33216_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06482_) + ); + sky130_fd_sc_hd__inv_4 _33217_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06483_) + ); + sky130_fd_sc_hd__inv_4 _33218_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06484_) + ); + sky130_fd_sc_hd__inv_4 _33219_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06485_) + ); + sky130_fd_sc_hd__inv_4 _33220_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06486_) + ); + sky130_fd_sc_hd__inv_4 _33221_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06487_) + ); + sky130_fd_sc_hd__inv_4 _33222_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06488_) + ); + sky130_fd_sc_hd__inv_4 _33223_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06489_) + ); + sky130_fd_sc_hd__inv_4 _33224_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06490_) + ); + sky130_fd_sc_hd__inv_4 _33225_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06491_) + ); + sky130_fd_sc_hd__inv_4 _33226_ ( + .A(\gpio_control_in_2[2].serial_load ), + .Y(_06492_) + ); + sky130_fd_sc_hd__inv_4 _33227_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06495_) + ); + sky130_fd_sc_hd__inv_4 _33228_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06496_) + ); + sky130_fd_sc_hd__inv_4 _33229_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06497_) + ); + sky130_fd_sc_hd__inv_4 _33230_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06498_) + ); + sky130_fd_sc_hd__inv_4 _33231_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06499_) + ); + sky130_fd_sc_hd__inv_4 _33232_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06500_) + ); + sky130_fd_sc_hd__inv_4 _33233_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06501_) + ); + sky130_fd_sc_hd__inv_4 _33234_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06502_) + ); + sky130_fd_sc_hd__inv_4 _33235_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06503_) + ); + sky130_fd_sc_hd__inv_4 _33236_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06504_) + ); + sky130_fd_sc_hd__inv_4 _33237_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06505_) + ); + sky130_fd_sc_hd__inv_4 _33238_ ( + .A(\gpio_control_in_2[3].serial_load ), + .Y(_06506_) + ); + sky130_fd_sc_hd__inv_4 _33239_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06509_) + ); + sky130_fd_sc_hd__inv_4 _33240_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06510_) + ); + sky130_fd_sc_hd__inv_4 _33241_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06511_) + ); + sky130_fd_sc_hd__inv_4 _33242_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06512_) + ); + sky130_fd_sc_hd__inv_4 _33243_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06513_) + ); + sky130_fd_sc_hd__inv_4 _33244_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06514_) + ); + sky130_fd_sc_hd__inv_4 _33245_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06515_) + ); + sky130_fd_sc_hd__inv_4 _33246_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06516_) + ); + sky130_fd_sc_hd__inv_4 _33247_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06517_) + ); + sky130_fd_sc_hd__inv_4 _33248_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06518_) + ); + sky130_fd_sc_hd__inv_4 _33249_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06519_) + ); + sky130_fd_sc_hd__inv_4 _33250_ ( + .A(\gpio_control_in_2[4].serial_load ), + .Y(_06520_) + ); + sky130_fd_sc_hd__inv_4 _33251_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06523_) + ); + sky130_fd_sc_hd__inv_4 _33252_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06524_) + ); + sky130_fd_sc_hd__inv_4 _33253_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06525_) + ); + sky130_fd_sc_hd__inv_4 _33254_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06526_) + ); + sky130_fd_sc_hd__inv_4 _33255_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06527_) + ); + sky130_fd_sc_hd__inv_4 _33256_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06528_) + ); + sky130_fd_sc_hd__inv_4 _33257_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06529_) + ); + sky130_fd_sc_hd__inv_4 _33258_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06530_) + ); + sky130_fd_sc_hd__inv_4 _33259_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06531_) + ); + sky130_fd_sc_hd__inv_4 _33260_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06532_) + ); + sky130_fd_sc_hd__inv_4 _33261_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06533_) + ); + sky130_fd_sc_hd__inv_4 _33262_ ( + .A(\gpio_control_in_2[5].serial_load ), + .Y(_06534_) + ); + sky130_fd_sc_hd__inv_4 _33263_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06537_) + ); + sky130_fd_sc_hd__inv_4 _33264_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06538_) + ); + sky130_fd_sc_hd__inv_4 _33265_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06539_) + ); + sky130_fd_sc_hd__inv_4 _33266_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06540_) + ); + sky130_fd_sc_hd__inv_4 _33267_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06541_) + ); + sky130_fd_sc_hd__inv_4 _33268_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06542_) + ); + sky130_fd_sc_hd__inv_4 _33269_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06543_) + ); + sky130_fd_sc_hd__inv_4 _33270_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06544_) + ); + sky130_fd_sc_hd__inv_4 _33271_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06545_) + ); + sky130_fd_sc_hd__inv_4 _33272_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06546_) + ); + sky130_fd_sc_hd__inv_4 _33273_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06547_) + ); + sky130_fd_sc_hd__inv_4 _33274_ ( + .A(\gpio_control_in_2[6].serial_load ), + .Y(_06548_) + ); + sky130_fd_sc_hd__inv_4 _33275_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06551_) + ); + sky130_fd_sc_hd__inv_4 _33276_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06552_) + ); + sky130_fd_sc_hd__inv_4 _33277_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06553_) + ); + sky130_fd_sc_hd__inv_4 _33278_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06554_) + ); + sky130_fd_sc_hd__inv_4 _33279_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06555_) + ); + sky130_fd_sc_hd__inv_4 _33280_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06556_) + ); + sky130_fd_sc_hd__inv_4 _33281_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06557_) + ); + sky130_fd_sc_hd__inv_4 _33282_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06558_) + ); + sky130_fd_sc_hd__inv_4 _33283_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06559_) + ); + sky130_fd_sc_hd__inv_4 _33284_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06560_) + ); + sky130_fd_sc_hd__inv_4 _33285_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06561_) + ); + sky130_fd_sc_hd__inv_4 _33286_ ( + .A(\gpio_control_in_2[7].serial_load ), + .Y(_06562_) + ); + sky130_fd_sc_hd__inv_4 _33287_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06565_) + ); + sky130_fd_sc_hd__inv_4 _33288_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06566_) + ); + sky130_fd_sc_hd__inv_4 _33289_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06567_) + ); + sky130_fd_sc_hd__inv_4 _33290_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06568_) + ); + sky130_fd_sc_hd__inv_4 _33291_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06569_) + ); + sky130_fd_sc_hd__inv_4 _33292_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06570_) + ); + sky130_fd_sc_hd__inv_4 _33293_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06571_) + ); + sky130_fd_sc_hd__inv_4 _33294_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06572_) + ); + sky130_fd_sc_hd__inv_4 _33295_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06573_) + ); + sky130_fd_sc_hd__inv_4 _33296_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06574_) + ); + sky130_fd_sc_hd__inv_4 _33297_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06575_) + ); + sky130_fd_sc_hd__inv_4 _33298_ ( + .A(\gpio_control_in_2[8].serial_load ), + .Y(_06576_) + ); + sky130_fd_sc_hd__inv_4 _33299_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06579_) + ); + sky130_fd_sc_hd__inv_4 _33300_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06580_) + ); + sky130_fd_sc_hd__inv_4 _33301_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06581_) + ); + sky130_fd_sc_hd__inv_4 _33302_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06582_) + ); + sky130_fd_sc_hd__inv_4 _33303_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06583_) + ); + sky130_fd_sc_hd__inv_4 _33304_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06584_) + ); + sky130_fd_sc_hd__inv_4 _33305_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06585_) + ); + sky130_fd_sc_hd__inv_4 _33306_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06586_) + ); + sky130_fd_sc_hd__inv_4 _33307_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06587_) + ); + sky130_fd_sc_hd__inv_4 _33308_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06588_) + ); + sky130_fd_sc_hd__inv_4 _33309_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06589_) + ); + sky130_fd_sc_hd__inv_4 _33310_ ( + .A(\gpio_control_in_2[10].serial_load_out ), + .Y(_06590_) + ); + sky130_fd_sc_hd__inv_4 _33311_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06593_) + ); + sky130_fd_sc_hd__inv_4 _33312_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06594_) + ); + sky130_fd_sc_hd__inv_4 _33313_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06595_) + ); + sky130_fd_sc_hd__inv_4 _33314_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06596_) + ); + sky130_fd_sc_hd__inv_4 _33315_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06597_) + ); + sky130_fd_sc_hd__inv_4 _33316_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06598_) + ); + sky130_fd_sc_hd__inv_4 _33317_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06599_) + ); + sky130_fd_sc_hd__inv_4 _33318_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06600_) + ); + sky130_fd_sc_hd__inv_4 _33319_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06601_) + ); + sky130_fd_sc_hd__inv_4 _33320_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06602_) + ); + sky130_fd_sc_hd__inv_4 _33321_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06603_) + ); + sky130_fd_sc_hd__inv_4 _33322_ ( + .A(\gpio_control_in_2[10].serial_load ), + .Y(_06604_) + ); + sky130_fd_sc_hd__inv_4 _33323_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06607_) + ); + sky130_fd_sc_hd__inv_4 _33324_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06608_) + ); + sky130_fd_sc_hd__inv_4 _33325_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06609_) + ); + sky130_fd_sc_hd__inv_4 _33326_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06610_) + ); + sky130_fd_sc_hd__inv_4 _33327_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06611_) + ); + sky130_fd_sc_hd__inv_4 _33328_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06612_) + ); + sky130_fd_sc_hd__inv_4 _33329_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06613_) + ); + sky130_fd_sc_hd__inv_4 _33330_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06614_) + ); + sky130_fd_sc_hd__inv_4 _33331_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06615_) + ); + sky130_fd_sc_hd__inv_4 _33332_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06616_) + ); + sky130_fd_sc_hd__inv_4 _33333_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06617_) + ); + sky130_fd_sc_hd__inv_4 _33334_ ( + .A(\gpio_control_in_2[11].serial_load ), + .Y(_06618_) + ); + sky130_fd_sc_hd__inv_4 _33335_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06621_) + ); + sky130_fd_sc_hd__inv_4 _33336_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06622_) + ); + sky130_fd_sc_hd__inv_4 _33337_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06623_) + ); + sky130_fd_sc_hd__inv_4 _33338_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06624_) + ); + sky130_fd_sc_hd__inv_4 _33339_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06625_) + ); + sky130_fd_sc_hd__inv_4 _33340_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06626_) + ); + sky130_fd_sc_hd__inv_4 _33341_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06627_) + ); + sky130_fd_sc_hd__inv_4 _33342_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06628_) + ); + sky130_fd_sc_hd__inv_4 _33343_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06629_) + ); + sky130_fd_sc_hd__inv_4 _33344_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06630_) + ); + sky130_fd_sc_hd__inv_4 _33345_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06631_) + ); + sky130_fd_sc_hd__inv_4 _33346_ ( + .A(\gpio_control_in_2[12].serial_load ), + .Y(_06632_) + ); + sky130_fd_sc_hd__inv_4 _33347_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06635_) + ); + sky130_fd_sc_hd__inv_4 _33348_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06636_) + ); + sky130_fd_sc_hd__inv_4 _33349_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06637_) + ); + sky130_fd_sc_hd__inv_4 _33350_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06638_) + ); + sky130_fd_sc_hd__inv_4 _33351_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06639_) + ); + sky130_fd_sc_hd__inv_4 _33352_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06640_) + ); + sky130_fd_sc_hd__inv_4 _33353_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06641_) + ); + sky130_fd_sc_hd__inv_4 _33354_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06642_) + ); + sky130_fd_sc_hd__inv_4 _33355_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06643_) + ); + sky130_fd_sc_hd__inv_4 _33356_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06644_) + ); + sky130_fd_sc_hd__inv_4 _33357_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06645_) + ); + sky130_fd_sc_hd__inv_4 _33358_ ( + .A(\gpio_control_in_2[13].serial_load ), + .Y(_06646_) + ); + sky130_fd_sc_hd__inv_4 _33359_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06649_) + ); + sky130_fd_sc_hd__inv_4 _33360_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06650_) + ); + sky130_fd_sc_hd__inv_4 _33361_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06651_) + ); + sky130_fd_sc_hd__inv_4 _33362_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06652_) + ); + sky130_fd_sc_hd__inv_4 _33363_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06653_) + ); + sky130_fd_sc_hd__inv_4 _33364_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06654_) + ); + sky130_fd_sc_hd__inv_4 _33365_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06655_) + ); + sky130_fd_sc_hd__inv_4 _33366_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06656_) + ); + sky130_fd_sc_hd__inv_4 _33367_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06657_) + ); + sky130_fd_sc_hd__inv_4 _33368_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06658_) + ); + sky130_fd_sc_hd__inv_4 _33369_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06659_) + ); + sky130_fd_sc_hd__inv_4 _33370_ ( + .A(\gpio_control_in_2[14].serial_load ), + .Y(_06660_) + ); + sky130_fd_sc_hd__inv_4 _33371_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06663_) + ); + sky130_fd_sc_hd__inv_4 _33372_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06664_) + ); + sky130_fd_sc_hd__inv_4 _33373_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06665_) + ); + sky130_fd_sc_hd__inv_4 _33374_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06666_) + ); + sky130_fd_sc_hd__inv_4 _33375_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06667_) + ); + sky130_fd_sc_hd__inv_4 _33376_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06668_) + ); + sky130_fd_sc_hd__inv_4 _33377_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06669_) + ); + sky130_fd_sc_hd__inv_4 _33378_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06670_) + ); + sky130_fd_sc_hd__inv_4 _33379_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06671_) + ); + sky130_fd_sc_hd__inv_4 _33380_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06672_) + ); + sky130_fd_sc_hd__inv_4 _33381_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06673_) + ); + sky130_fd_sc_hd__inv_4 _33382_ ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .Y(_06674_) + ); + sky130_fd_sc_hd__dfxtp_2 _33383_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06676_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33384_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06677_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33385_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06678_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33386_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06679_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33387_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06680_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33388_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06681_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33389_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06682_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33390_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06683_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33391_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06684_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33392_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06685_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33393_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06686_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33394_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06687_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33395_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06688_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33396_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06689_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33397_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06690_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33398_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06691_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33399_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06692_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33400_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06693_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33401_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06694_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33402_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06695_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33403_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06696_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33404_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06697_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33405_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06698_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33406_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06699_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33407_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06700_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33408_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06701_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33409_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06702_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33410_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06703_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33411_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06704_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33412_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06705_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33413_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06706_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33414_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06707_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[2][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33415_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06708_), + .Q(\soc.core.interface19_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33416_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06709_), + .Q(\soc.core.interface19_bank_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33417_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06710_), + .Q(\soc.core.interface19_bank_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33418_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06711_), + .Q(\soc.core.interface12_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33419_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06712_), + .Q(\soc.core.interface8_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33420_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06713_), + .Q(\soc.core.interface7_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33421_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06714_), + .Q(\soc.core.interface4_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33422_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06715_), + .Q(\soc.core.interface4_bank_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33423_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06716_), + .Q(\soc.core.interface4_bank_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33424_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06717_), + .Q(\soc.core.interface4_bank_bus_dat_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33425_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06718_), + .Q(\soc.core.interface4_bank_bus_dat_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33426_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06719_), + .Q(\soc.core.interface4_bank_bus_dat_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33427_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06720_), + .Q(\soc.core.interface4_bank_bus_dat_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33428_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06721_), + .Q(\soc.core.interface4_bank_bus_dat_r[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33429_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06722_), + .Q(\soc.core.interface2_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33430_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06723_), + .Q(\soc.core.interface1_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33431_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06724_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33432_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06725_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33433_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06726_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33434_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06727_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33435_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06728_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33436_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06729_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33437_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06730_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33438_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06731_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33439_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06732_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33440_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06733_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33441_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06734_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33442_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06735_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33443_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06736_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33444_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06737_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33445_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06738_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33446_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06739_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33447_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06740_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33448_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06741_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33449_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06742_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33450_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06743_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33451_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06744_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33452_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06745_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33453_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06746_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33454_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06747_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33455_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06748_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33456_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06749_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33457_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06750_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33458_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06751_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33459_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06752_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33460_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06753_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33461_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06754_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33462_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06755_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[10][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33463_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00091_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33464_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00102_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33465_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00113_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33466_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00116_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33467_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00117_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33468_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00118_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33469_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00119_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33470_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00120_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33471_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00121_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33472_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00122_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33473_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00092_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33474_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00093_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33475_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00094_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33476_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00095_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33477_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00096_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33478_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00097_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33479_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00098_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33480_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00099_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33481_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00100_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33482_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00101_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33483_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00103_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33484_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00104_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33485_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00105_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33486_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00106_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33487_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00107_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33488_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00108_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33489_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00109_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33490_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00110_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33491_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00111_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33492_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00112_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33493_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00114_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33494_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00115_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port0[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33495_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06756_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33496_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06757_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33497_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06758_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33498_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06759_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33499_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06760_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33500_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06761_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33501_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06762_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33502_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06763_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33503_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06764_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33504_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06765_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33505_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06766_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33506_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06767_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33507_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06768_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33508_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06769_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33509_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06770_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33510_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06771_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33511_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06772_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33512_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06773_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33513_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06774_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33514_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06775_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33515_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06776_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33516_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06777_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33517_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06778_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33518_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06779_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33519_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06780_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33520_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06781_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33521_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06782_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33522_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06783_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33523_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06784_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33524_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06785_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33525_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06786_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33526_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06787_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[12][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33527_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06788_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33528_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06789_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33529_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06790_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33530_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06791_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33531_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06792_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33532_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06793_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33533_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06794_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33534_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06795_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33535_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06796_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33536_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06797_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33537_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06798_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33538_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06799_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33539_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06800_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33540_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06801_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33541_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06802_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33542_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06803_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33543_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06804_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33544_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06805_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33545_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06806_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33546_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06807_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33547_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06808_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33548_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06809_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33549_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06810_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33550_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06811_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33551_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06812_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33552_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06813_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33553_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06814_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33554_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06815_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33555_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06816_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33556_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06817_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33557_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06818_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33558_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06819_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[14][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33559_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06820_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33560_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06821_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33561_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06822_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33562_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06823_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33563_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06824_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33564_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06825_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33565_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06826_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33566_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06827_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33567_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06828_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33568_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06829_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33569_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06830_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33570_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06831_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33571_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06832_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33572_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06833_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33573_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06834_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33574_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06835_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33575_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06836_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33576_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06837_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33577_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06838_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33578_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06839_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33579_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06840_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33580_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06841_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33581_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06842_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33582_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06843_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33583_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06844_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33584_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06845_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33585_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06846_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33586_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06847_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33587_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06848_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33588_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06849_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33589_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06850_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33590_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06851_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[13][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33591_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06852_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33592_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06853_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33593_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06854_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33594_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06855_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33595_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06856_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33596_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06857_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33597_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06858_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33598_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06859_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33599_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06860_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33600_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06861_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33601_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06862_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33602_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06863_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33603_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06864_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33604_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06865_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33605_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06866_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33606_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06867_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33607_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06868_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33608_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06869_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33609_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06870_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33610_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06871_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33611_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06872_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33612_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06873_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33613_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06874_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33614_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06875_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33615_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06876_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33616_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06877_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33617_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06878_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33618_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06879_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33619_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06880_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33620_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06881_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33621_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06882_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33622_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06883_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[15][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33623_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06884_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33624_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06885_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33625_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06886_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33626_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06887_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33627_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06888_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33628_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06889_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33629_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06890_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33630_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06891_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33631_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06892_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33632_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06893_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33633_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06894_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33634_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06895_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33635_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06896_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33636_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06897_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33637_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06898_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33638_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06899_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33639_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06900_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33640_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06901_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33641_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06902_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33642_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06903_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33643_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06904_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33644_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06905_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33645_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06906_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33646_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06907_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33647_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06908_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33648_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06909_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33649_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06910_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33650_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06911_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33651_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06912_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33652_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06913_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33653_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06914_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33654_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06915_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[17][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33655_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06916_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33656_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06917_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33657_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06918_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33658_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06919_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33659_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06920_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33660_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06921_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33661_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06922_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33662_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06923_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33663_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06924_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33664_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06925_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33665_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06926_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33666_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06927_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33667_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06928_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33668_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06929_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33669_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06930_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33670_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06931_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33671_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06932_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33672_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06933_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33673_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06934_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33674_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06935_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33675_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06936_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33676_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06937_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33677_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06938_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33678_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06939_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33679_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06940_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33680_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06941_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33681_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06942_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33682_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06943_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33683_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06944_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33684_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06945_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33685_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06946_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33686_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06947_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[16][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33687_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06948_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33688_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06949_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33689_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06950_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33690_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06951_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33691_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06952_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33692_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06953_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33693_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06954_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33694_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06955_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33695_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06956_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33696_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06957_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33697_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06958_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33698_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06959_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33699_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06960_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33700_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06961_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33701_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06962_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33702_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06963_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33703_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06964_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33704_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06965_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33705_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06966_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33706_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06967_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33707_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06968_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33708_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06969_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33709_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06970_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33710_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06971_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33711_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06972_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33712_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06973_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33713_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06974_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33714_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06975_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33715_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06976_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33716_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06977_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33717_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06978_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33718_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06979_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[11][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33719_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06980_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33720_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06981_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33721_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06982_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33722_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06983_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33723_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06984_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33724_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06985_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33725_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06986_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33726_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06987_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33727_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06988_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33728_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06989_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33729_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06990_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33730_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06991_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33731_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06992_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33732_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06993_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33733_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06994_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33734_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06995_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33735_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06996_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33736_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06997_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33737_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06998_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33738_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_06999_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33739_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07000_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33740_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07001_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33741_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07002_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33742_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07003_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33743_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07004_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33744_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07005_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33745_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07006_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33746_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07007_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33747_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07008_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33748_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07009_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33749_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07010_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33750_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07011_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[0][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33751_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07012_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33752_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07013_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33753_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07014_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33754_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07015_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33755_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07016_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33756_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07017_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33757_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07018_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33758_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07019_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33759_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07020_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33760_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07021_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33761_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07022_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33762_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07023_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33763_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07024_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33764_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07025_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33765_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07026_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33766_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07027_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33767_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07028_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33768_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07029_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33769_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07030_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33770_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07031_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33771_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07032_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33772_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07033_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33773_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07034_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33774_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07035_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33775_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07036_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33776_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07037_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33777_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07038_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33778_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07039_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33779_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07040_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33780_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07041_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33781_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07042_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33782_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07043_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[7][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33783_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07044_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33784_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07045_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33785_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07046_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33786_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07047_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33787_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07048_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33788_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07049_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33789_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07050_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33790_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07051_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33791_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07052_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33792_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07053_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33793_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07054_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33794_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07055_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33795_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07056_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33796_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07057_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33797_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07058_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33798_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07059_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33799_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07060_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33800_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07061_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33801_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07062_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33802_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07063_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33803_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07064_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33804_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07065_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33805_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07066_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33806_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07067_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33807_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07068_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33808_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07069_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33809_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07070_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33810_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07071_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33811_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07072_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33812_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07073_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33813_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07074_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33814_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07075_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[5][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33815_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07076_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33816_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07077_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33817_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07078_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33818_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07079_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33819_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07080_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33820_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07081_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33821_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07082_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33822_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07083_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33823_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07084_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33824_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07085_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33825_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07086_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33826_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07087_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33827_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07088_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33828_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07089_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33829_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07090_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33830_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07091_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33831_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07092_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33832_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07093_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33833_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07094_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33834_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07095_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33835_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07096_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33836_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07097_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33837_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07098_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33838_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07099_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33839_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07100_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33840_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07101_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33841_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07102_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33842_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07103_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33843_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07104_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33844_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07105_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33845_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07106_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33846_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07107_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[4][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33847_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07108_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33848_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07109_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33849_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07110_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33850_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07111_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33851_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07112_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33852_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07113_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33853_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07114_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33854_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07115_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33855_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07116_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33856_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07117_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33857_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07118_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33858_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07119_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33859_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07120_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33860_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07121_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33861_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07122_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33862_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07123_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33863_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07124_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33864_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07125_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33865_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07126_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33866_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07127_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33867_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07128_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33868_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07129_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33869_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07130_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33870_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07131_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33871_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07132_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33872_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07133_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33873_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07134_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33874_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07135_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33875_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07136_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33876_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07137_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33877_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07138_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33878_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07139_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[31][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33879_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07140_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33880_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07141_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33881_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07142_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33882_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07143_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33883_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07144_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33884_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07145_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33885_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07146_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33886_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07147_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33887_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07148_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33888_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07149_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33889_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07150_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33890_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07151_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33891_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07152_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33892_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07153_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33893_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07154_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33894_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07155_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33895_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07156_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33896_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07157_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33897_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07158_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33898_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07159_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33899_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07160_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33900_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07161_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33901_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07162_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33902_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07163_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33903_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07164_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33904_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07165_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33905_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07166_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33906_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07167_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33907_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07168_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33908_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07169_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33909_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07170_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33910_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07171_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[29][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33911_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07172_), + .Q(\soc.core.storage[0][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33912_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07173_), + .Q(\soc.core.storage[0][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33913_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07174_), + .Q(\soc.core.storage[0][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33914_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07175_), + .Q(\soc.core.storage[0][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33915_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07176_), + .Q(\soc.core.storage[0][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33916_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07177_), + .Q(\soc.core.storage[0][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33917_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07178_), + .Q(\soc.core.storage[0][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33918_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07179_), + .Q(\soc.core.storage[0][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33919_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07180_), + .Q(\soc.core.memdat_1[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33920_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07181_), + .Q(\soc.core.memdat_1[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33921_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07182_), + .Q(\soc.core.memdat_1[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33922_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07183_), + .Q(\soc.core.memdat_1[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33923_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07184_), + .Q(\soc.core.memdat_1[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33924_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07185_), + .Q(\soc.core.memdat_1[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33925_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07186_), + .Q(\soc.core.memdat_1[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33926_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07187_), + .Q(\soc.core.memdat_1[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33927_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07188_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33928_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07189_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33929_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07190_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33930_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07191_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33931_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07192_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33932_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07193_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33933_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07194_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33934_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07195_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33935_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07196_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33936_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07197_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33937_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07198_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33938_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07199_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33939_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07200_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33940_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07201_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33941_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07202_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33942_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07203_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33943_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07204_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33944_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07205_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33945_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07206_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33946_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07207_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33947_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07208_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33948_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07209_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33949_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07210_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33950_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07211_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33951_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07212_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33952_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07213_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33953_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07214_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33954_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07215_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33955_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07216_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33956_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07217_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33957_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07218_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33958_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07219_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[6][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33959_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07220_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33960_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07221_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33961_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07222_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33962_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07223_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33963_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07224_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33964_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07225_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33965_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07226_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33966_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07227_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33967_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07228_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33968_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07229_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33969_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07230_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33970_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07231_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33971_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07232_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33972_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07233_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33973_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07234_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33974_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07235_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33975_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07236_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33976_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07237_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33977_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07238_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33978_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07239_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33979_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07240_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33980_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07241_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33981_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07242_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33982_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07243_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33983_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07244_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33984_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07245_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33985_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07246_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33986_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07247_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33987_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07248_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33988_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07249_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33989_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07250_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33990_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07251_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[8][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33991_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07252_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33992_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07253_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33993_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07254_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33994_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07255_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33995_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07256_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33996_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07257_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33997_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07258_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33998_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07259_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _33999_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07260_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34000_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07261_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34001_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07262_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34002_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07263_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34003_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07264_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34004_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07265_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34005_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07266_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34006_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07267_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34007_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07268_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34008_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07269_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34009_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07270_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34010_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07271_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34011_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07272_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34012_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07273_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34013_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07274_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34014_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07275_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34015_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07276_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34016_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07277_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34017_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07278_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34018_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07279_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34019_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07280_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34020_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07281_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34021_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07282_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34022_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07283_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[3][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34023_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07284_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34024_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07285_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34025_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07286_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34026_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07287_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34027_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07288_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34028_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07289_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34029_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07290_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34030_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07291_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34031_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07292_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34032_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07293_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34033_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07294_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34034_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07295_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34035_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07296_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34036_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07297_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34037_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07298_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34038_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07299_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34039_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07300_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34040_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07301_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34041_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07302_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34042_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07303_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34043_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07304_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34044_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07305_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34045_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07306_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34046_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07307_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34047_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07308_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34048_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07309_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34049_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07310_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34050_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07311_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34051_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07312_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34052_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07313_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34053_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07314_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34054_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07315_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[19][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34055_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07316_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34056_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07317_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34057_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07318_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34058_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07319_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34059_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07320_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34060_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07321_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34061_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07322_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34062_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07323_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34063_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07324_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34064_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07325_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34065_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07326_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34066_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07327_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34067_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07328_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34068_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07329_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34069_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07330_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34070_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07331_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34071_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07332_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34072_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07333_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34073_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07334_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34074_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07335_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34075_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07336_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34076_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07337_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34077_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07338_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34078_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07339_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34079_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07340_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34080_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07341_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34081_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07342_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[1][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34082_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07343_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34083_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07344_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34084_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07345_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34085_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07346_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34086_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07347_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34087_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07348_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34088_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07349_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34089_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07350_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34090_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07351_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34091_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07352_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34092_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07353_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34093_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07354_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34094_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07355_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34095_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07356_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34096_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07357_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34097_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07358_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34098_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07359_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34099_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07360_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34100_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07361_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34101_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07362_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34102_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07363_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34103_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07364_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34104_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07365_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34105_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07366_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34106_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07367_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34107_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07368_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34108_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07369_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34109_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07370_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34110_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07371_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34111_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07372_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34112_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07373_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34113_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07374_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[9][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34114_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07375_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34115_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07376_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34116_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07377_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34117_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07378_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34118_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07379_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34119_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07380_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34120_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07381_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34121_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07382_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34122_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07383_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34123_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07384_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34124_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07385_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34125_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07386_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34126_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07387_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34127_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07388_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34128_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07389_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34129_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07390_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34130_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07391_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34131_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07392_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34132_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07393_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34133_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07394_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34134_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07395_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34135_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07396_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34136_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07397_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34137_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07398_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34138_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07399_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34139_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07400_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34140_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07401_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34141_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07402_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34142_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07403_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34143_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07404_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34144_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07405_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34145_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07406_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[15][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34146_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07407_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34147_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07408_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34148_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07409_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34149_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07410_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34150_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07411_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34151_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07412_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34152_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07413_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34153_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07414_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34154_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07415_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34155_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07416_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34156_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07417_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34157_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07418_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34158_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07419_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34159_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07420_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34160_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07421_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34161_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07422_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34162_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07423_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34163_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07424_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34164_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07425_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34165_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07426_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34166_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07427_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34167_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07428_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34168_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07429_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34169_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07430_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34170_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07431_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34171_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07432_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34172_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07433_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34173_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07434_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34174_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07435_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34175_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07436_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34176_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07437_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34177_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07438_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[28][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34178_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07439_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34179_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07440_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34180_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07441_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34181_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07442_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34182_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07443_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34183_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07444_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34184_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07445_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34185_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07446_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34186_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07447_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34187_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07448_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34188_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07449_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34189_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07450_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34190_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07451_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34191_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07452_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34192_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07453_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34193_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07454_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34194_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07455_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34195_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07456_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34196_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07457_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34197_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07458_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34198_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07459_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34199_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07460_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34200_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07461_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34201_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07462_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34202_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07463_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34203_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07464_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34204_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07465_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34205_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07466_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34206_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07467_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34207_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07468_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34208_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07469_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34209_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07470_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[27][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34210_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07471_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34211_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07472_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34212_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07473_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34213_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07474_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34214_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07475_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34215_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07476_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34216_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07477_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34217_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07478_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34218_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07479_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34219_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07480_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34220_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07481_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34221_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07482_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34222_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07483_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34223_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07484_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34224_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07485_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34225_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07486_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34226_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07487_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34227_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07488_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34228_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07489_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34229_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07490_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34230_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07491_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34231_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07492_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34232_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07493_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34233_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07494_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34234_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07495_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34235_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07496_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34236_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07497_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34237_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07498_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34238_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07499_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34239_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07500_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34240_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07501_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34241_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07502_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[26][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34242_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07503_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34243_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07504_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34244_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07505_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34245_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07506_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34246_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07507_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34247_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07508_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34248_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07509_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34249_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07510_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34250_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07511_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34251_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07512_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34252_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07513_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34253_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07514_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34254_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07515_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34255_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07516_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34256_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07517_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34257_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07518_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34258_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07519_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34259_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07520_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34260_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07521_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34261_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07522_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34262_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07523_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34263_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07524_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34264_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07525_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34265_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07526_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34266_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07527_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34267_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07528_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34268_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07529_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34269_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07530_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34270_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07531_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34271_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07532_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34272_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07533_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34273_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07534_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[25][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34274_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07535_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34275_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07536_), + .Q(\soc.core.la_out_storage[64] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34276_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07537_), + .Q(\soc.core.la_out_storage[65] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34277_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07538_), + .Q(\soc.core.la_out_storage[66] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34278_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07539_), + .Q(\soc.core.la_out_storage[67] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34279_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07540_), + .Q(\soc.core.la_out_storage[68] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34280_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07541_), + .Q(\soc.core.la_out_storage[69] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34281_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07542_), + .Q(\soc.core.la_out_storage[70] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34282_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07543_), + .Q(\soc.core.la_out_storage[71] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34283_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07544_), + .Q(\soc.core.la_out_storage[72] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34284_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07545_), + .Q(\soc.core.la_out_storage[73] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34285_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07546_), + .Q(\soc.core.la_out_storage[74] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34286_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07547_), + .Q(\soc.core.la_out_storage[75] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34287_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07548_), + .Q(\soc.core.la_out_storage[76] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34288_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07549_), + .Q(\soc.core.la_out_storage[77] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34289_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07550_), + .Q(\soc.core.la_out_storage[78] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34290_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07551_), + .Q(\soc.core.la_out_storage[79] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34291_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07552_), + .Q(\soc.core.la_out_storage[80] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34292_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07553_), + .Q(\soc.core.la_out_storage[81] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34293_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07554_), + .Q(\soc.core.la_out_storage[82] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34294_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07555_), + .Q(\soc.core.la_out_storage[83] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34295_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07556_), + .Q(\soc.core.la_out_storage[84] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34296_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07557_), + .Q(\soc.core.la_out_storage[85] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34297_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07558_), + .Q(\soc.core.la_out_storage[86] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34298_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07559_), + .Q(\soc.core.la_out_storage[87] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34299_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07560_), + .Q(\soc.core.la_out_storage[88] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34300_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07561_), + .Q(\soc.core.la_out_storage[89] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34301_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07562_), + .Q(\soc.core.la_out_storage[90] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34302_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07563_), + .Q(\soc.core.la_out_storage[91] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34303_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07564_), + .Q(\soc.core.la_out_storage[92] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34304_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07565_), + .Q(\soc.core.la_out_storage[93] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34305_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07566_), + .Q(\soc.core.la_out_storage[94] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34306_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07567_), + .Q(\soc.core.la_out_storage[95] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34307_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07568_), + .Q(\soc.core.la_out_storage[32] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34308_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07569_), + .Q(\soc.core.la_out_storage[33] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34309_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07570_), + .Q(\soc.core.la_out_storage[34] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34310_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07571_), + .Q(\soc.core.la_out_storage[35] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34311_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07572_), + .Q(\soc.core.la_out_storage[36] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34312_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07573_), + .Q(\soc.core.la_out_storage[37] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34313_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07574_), + .Q(\soc.core.la_out_storage[38] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34314_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07575_), + .Q(\soc.core.la_out_storage[39] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34315_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07576_), + .Q(\soc.core.la_out_storage[40] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34316_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07577_), + .Q(\soc.core.la_out_storage[41] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34317_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07578_), + .Q(\soc.core.la_out_storage[42] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34318_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07579_), + .Q(\soc.core.la_out_storage[43] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34319_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07580_), + .Q(\soc.core.la_out_storage[44] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34320_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07581_), + .Q(\soc.core.la_out_storage[45] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34321_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07582_), + .Q(\soc.core.la_out_storage[46] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34322_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07583_), + .Q(\soc.core.la_out_storage[47] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34323_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07584_), + .Q(\soc.core.la_out_storage[48] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34324_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07585_), + .Q(\soc.core.la_out_storage[49] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34325_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07586_), + .Q(\soc.core.la_out_storage[50] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34326_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07587_), + .Q(\soc.core.la_out_storage[51] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34327_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07588_), + .Q(\soc.core.la_out_storage[52] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34328_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07589_), + .Q(\soc.core.la_out_storage[53] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34329_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07590_), + .Q(\soc.core.la_out_storage[54] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34330_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07591_), + .Q(\soc.core.la_out_storage[55] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34331_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07592_), + .Q(\soc.core.la_out_storage[56] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34332_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07593_), + .Q(\soc.core.la_out_storage[57] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34333_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07594_), + .Q(\soc.core.la_out_storage[58] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34334_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07595_), + .Q(\soc.core.la_out_storage[59] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34335_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07596_), + .Q(\soc.core.la_out_storage[60] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34336_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07597_), + .Q(\soc.core.la_out_storage[61] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34337_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07598_), + .Q(\soc.core.la_out_storage[62] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34338_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07599_), + .Q(\soc.core.la_out_storage[63] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34339_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07600_), + .Q(\soc.core.la_out_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34340_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07601_), + .Q(\soc.core.la_out_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34341_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07602_), + .Q(\soc.core.la_out_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34342_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07603_), + .Q(\soc.core.la_out_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34343_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07604_), + .Q(\soc.core.la_out_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34344_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07605_), + .Q(\soc.core.la_out_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34345_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07606_), + .Q(\soc.core.la_out_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34346_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07607_), + .Q(\soc.core.la_out_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34347_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07608_), + .Q(\soc.core.la_out_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34348_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07609_), + .Q(\soc.core.la_out_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34349_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07610_), + .Q(\soc.core.la_out_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34350_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07611_), + .Q(\soc.core.la_out_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34351_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07612_), + .Q(\soc.core.la_out_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34352_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07613_), + .Q(\soc.core.la_out_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34353_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07614_), + .Q(\soc.core.la_out_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34354_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07615_), + .Q(\soc.core.la_out_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34355_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07616_), + .Q(\soc.core.la_out_storage[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34356_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07617_), + .Q(\soc.core.la_out_storage[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34357_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07618_), + .Q(\soc.core.la_out_storage[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34358_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07619_), + .Q(\soc.core.la_out_storage[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34359_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07620_), + .Q(\soc.core.la_out_storage[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34360_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07621_), + .Q(\soc.core.la_out_storage[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34361_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07622_), + .Q(\soc.core.la_out_storage[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34362_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07623_), + .Q(\soc.core.la_out_storage[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34363_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07624_), + .Q(\soc.core.la_out_storage[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34364_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07625_), + .Q(\soc.core.la_out_storage[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34365_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07626_), + .Q(\soc.core.la_out_storage[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34366_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07627_), + .Q(\soc.core.la_out_storage[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34367_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07628_), + .Q(\soc.core.la_out_storage[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34368_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07629_), + .Q(\soc.core.la_out_storage[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34369_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07630_), + .Q(\soc.core.la_out_storage[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34370_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07631_), + .Q(\soc.core.la_out_storage[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34371_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07632_), + .Q(\soc.core.la_oe_storage[64] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34372_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07633_), + .Q(\soc.core.la_oe_storage[65] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34373_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07634_), + .Q(\soc.core.la_oe_storage[66] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34374_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07635_), + .Q(\soc.core.la_oe_storage[67] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34375_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07636_), + .Q(\soc.core.la_oe_storage[68] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34376_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07637_), + .Q(\soc.core.la_oe_storage[69] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34377_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07638_), + .Q(\soc.core.la_oe_storage[70] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34378_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07639_), + .Q(\soc.core.la_oe_storage[71] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34379_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07640_), + .Q(\soc.core.la_oe_storage[72] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34380_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07641_), + .Q(\soc.core.la_oe_storage[73] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34381_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07642_), + .Q(\soc.core.la_oe_storage[74] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34382_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07643_), + .Q(\soc.core.la_oe_storage[75] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34383_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07644_), + .Q(\soc.core.la_oe_storage[76] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34384_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07645_), + .Q(\soc.core.la_oe_storage[77] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34385_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07646_), + .Q(\soc.core.la_oe_storage[78] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34386_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07647_), + .Q(\soc.core.la_oe_storage[79] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34387_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07648_), + .Q(\soc.core.la_oe_storage[80] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34388_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07649_), + .Q(\soc.core.la_oe_storage[81] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34389_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07650_), + .Q(\soc.core.la_oe_storage[82] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34390_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07651_), + .Q(\soc.core.la_oe_storage[83] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34391_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07652_), + .Q(\soc.core.la_oe_storage[84] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34392_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07653_), + .Q(\soc.core.la_oe_storage[85] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34393_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07654_), + .Q(\soc.core.la_oe_storage[86] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34394_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07655_), + .Q(\soc.core.la_oe_storage[87] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34395_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07656_), + .Q(\soc.core.la_oe_storage[88] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34396_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07657_), + .Q(\soc.core.la_oe_storage[89] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34397_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07658_), + .Q(\soc.core.la_oe_storage[90] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34398_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07659_), + .Q(\soc.core.la_oe_storage[91] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34399_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07660_), + .Q(\soc.core.la_oe_storage[92] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34400_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07661_), + .Q(\soc.core.la_oe_storage[93] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34401_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07662_), + .Q(\soc.core.la_oe_storage[94] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34402_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07663_), + .Q(\soc.core.la_oe_storage[95] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34403_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07664_), + .Q(\soc.core.la_oe_storage[32] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34404_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07665_), + .Q(\soc.core.la_oe_storage[33] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34405_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07666_), + .Q(\soc.core.la_oe_storage[34] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34406_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07667_), + .Q(\soc.core.la_oe_storage[35] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34407_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07668_), + .Q(\soc.core.la_oe_storage[36] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34408_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07669_), + .Q(\soc.core.la_oe_storage[37] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34409_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07670_), + .Q(\soc.core.la_oe_storage[38] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34410_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07671_), + .Q(\soc.core.la_oe_storage[39] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34411_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07672_), + .Q(\soc.core.la_oe_storage[40] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34412_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07673_), + .Q(\soc.core.la_oe_storage[41] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34413_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07674_), + .Q(\soc.core.la_oe_storage[42] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34414_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07675_), + .Q(\soc.core.la_oe_storage[43] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34415_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07676_), + .Q(\soc.core.la_oe_storage[44] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34416_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07677_), + .Q(\soc.core.la_oe_storage[45] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34417_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07678_), + .Q(\soc.core.la_oe_storage[46] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34418_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07679_), + .Q(\soc.core.la_oe_storage[47] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34419_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07680_), + .Q(\soc.core.la_oe_storage[48] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34420_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07681_), + .Q(\soc.core.la_oe_storage[49] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34421_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07682_), + .Q(\soc.core.la_oe_storage[50] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34422_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07683_), + .Q(\soc.core.la_oe_storage[51] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34423_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07684_), + .Q(\soc.core.la_oe_storage[52] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34424_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07685_), + .Q(\soc.core.la_oe_storage[53] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34425_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07686_), + .Q(\soc.core.la_oe_storage[54] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34426_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07687_), + .Q(\soc.core.la_oe_storage[55] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34427_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07688_), + .Q(\soc.core.la_oe_storage[56] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34428_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07689_), + .Q(\soc.core.la_oe_storage[57] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34429_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07690_), + .Q(\soc.core.la_oe_storage[58] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34430_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07691_), + .Q(\soc.core.la_oe_storage[59] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34431_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07692_), + .Q(\soc.core.la_oe_storage[60] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34432_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07693_), + .Q(\soc.core.la_oe_storage[61] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34433_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07694_), + .Q(\soc.core.la_oe_storage[62] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34434_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07695_), + .Q(\soc.core.la_oe_storage[63] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34435_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07696_), + .Q(\soc.core.la_oe_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34436_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07697_), + .Q(\soc.core.la_oe_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34437_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07698_), + .Q(\soc.core.la_oe_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34438_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07699_), + .Q(\soc.core.la_oe_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34439_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07700_), + .Q(\soc.core.la_oe_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34440_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07701_), + .Q(\soc.core.la_oe_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34441_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07702_), + .Q(\soc.core.la_oe_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34442_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07703_), + .Q(\soc.core.la_oe_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34443_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07704_), + .Q(\soc.core.la_oe_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34444_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07705_), + .Q(\soc.core.la_oe_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34445_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07706_), + .Q(\soc.core.la_oe_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34446_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07707_), + .Q(\soc.core.la_oe_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34447_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07708_), + .Q(\soc.core.la_oe_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34448_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07709_), + .Q(\soc.core.la_oe_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34449_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07710_), + .Q(\soc.core.la_oe_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34450_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07711_), + .Q(\soc.core.la_oe_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34451_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07712_), + .Q(\soc.core.la_oe_storage[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34452_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07713_), + .Q(\soc.core.la_oe_storage[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34453_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07714_), + .Q(\soc.core.la_oe_storage[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34454_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07715_), + .Q(\soc.core.la_oe_storage[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34455_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07716_), + .Q(\soc.core.la_oe_storage[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34456_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07717_), + .Q(\soc.core.la_oe_storage[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34457_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07718_), + .Q(\soc.core.la_oe_storage[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34458_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07719_), + .Q(\soc.core.la_oe_storage[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34459_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07720_), + .Q(\soc.core.la_oe_storage[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34460_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07721_), + .Q(\soc.core.la_oe_storage[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34461_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07722_), + .Q(\soc.core.la_oe_storage[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34462_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07723_), + .Q(\soc.core.la_oe_storage[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34463_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07724_), + .Q(\soc.core.la_oe_storage[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34464_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07725_), + .Q(\soc.core.la_oe_storage[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34465_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07726_), + .Q(\soc.core.la_oe_storage[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34466_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07727_), + .Q(\soc.core.la_oe_storage[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34467_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07728_), + .Q(\soc.core.la_ien_storage[64] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34468_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07729_), + .Q(\soc.core.la_ien_storage[65] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34469_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07730_), + .Q(\soc.core.la_ien_storage[66] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34470_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07731_), + .Q(\soc.core.la_ien_storage[67] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34471_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07732_), + .Q(\soc.core.la_ien_storage[68] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34472_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07733_), + .Q(\soc.core.la_ien_storage[69] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34473_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07734_), + .Q(\soc.core.la_ien_storage[70] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34474_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07735_), + .Q(\soc.core.la_ien_storage[71] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34475_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07736_), + .Q(\soc.core.la_ien_storage[72] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34476_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07737_), + .Q(\soc.core.la_ien_storage[73] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34477_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07738_), + .Q(\soc.core.la_ien_storage[74] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34478_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07739_), + .Q(\soc.core.la_ien_storage[75] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34479_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07740_), + .Q(\soc.core.la_ien_storage[76] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34480_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07741_), + .Q(\soc.core.la_ien_storage[77] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34481_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07742_), + .Q(\soc.core.la_ien_storage[78] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34482_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07743_), + .Q(\soc.core.la_ien_storage[79] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34483_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07744_), + .Q(\soc.core.la_ien_storage[80] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34484_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07745_), + .Q(\soc.core.la_ien_storage[81] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34485_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07746_), + .Q(\soc.core.la_ien_storage[82] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34486_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07747_), + .Q(\soc.core.la_ien_storage[83] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34487_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07748_), + .Q(\soc.core.la_ien_storage[84] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34488_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07749_), + .Q(\soc.core.la_ien_storage[85] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34489_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07750_), + .Q(\soc.core.la_ien_storage[86] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34490_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07751_), + .Q(\soc.core.la_ien_storage[87] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34491_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07752_), + .Q(\soc.core.la_ien_storage[88] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34492_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07753_), + .Q(\soc.core.la_ien_storage[89] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34493_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07754_), + .Q(\soc.core.la_ien_storage[90] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34494_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07755_), + .Q(\soc.core.la_ien_storage[91] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34495_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07756_), + .Q(\soc.core.la_ien_storage[92] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34496_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07757_), + .Q(\soc.core.la_ien_storage[93] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34497_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07758_), + .Q(\soc.core.la_ien_storage[94] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34498_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07759_), + .Q(\soc.core.la_ien_storage[95] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34499_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07760_), + .Q(\soc.core.la_ien_storage[32] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34500_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07761_), + .Q(\soc.core.la_ien_storage[33] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34501_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07762_), + .Q(\soc.core.la_ien_storage[34] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34502_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07763_), + .Q(\soc.core.la_ien_storage[35] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34503_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07764_), + .Q(\soc.core.la_ien_storage[36] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34504_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07765_), + .Q(\soc.core.la_ien_storage[37] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34505_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07766_), + .Q(\soc.core.la_ien_storage[38] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34506_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07767_), + .Q(\soc.core.la_ien_storage[39] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34507_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07768_), + .Q(\soc.core.la_ien_storage[40] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34508_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07769_), + .Q(\soc.core.la_ien_storage[41] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34509_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07770_), + .Q(\soc.core.la_ien_storage[42] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34510_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07771_), + .Q(\soc.core.la_ien_storage[43] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34511_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07772_), + .Q(\soc.core.la_ien_storage[44] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34512_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07773_), + .Q(\soc.core.la_ien_storage[45] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34513_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07774_), + .Q(\soc.core.la_ien_storage[46] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34514_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07775_), + .Q(\soc.core.la_ien_storage[47] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34515_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07776_), + .Q(\soc.core.la_ien_storage[48] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34516_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07777_), + .Q(\soc.core.la_ien_storage[49] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34517_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07778_), + .Q(\soc.core.la_ien_storage[50] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34518_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07779_), + .Q(\soc.core.la_ien_storage[51] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34519_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07780_), + .Q(\soc.core.la_ien_storage[52] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34520_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07781_), + .Q(\soc.core.la_ien_storage[53] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34521_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07782_), + .Q(\soc.core.la_ien_storage[54] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34522_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07783_), + .Q(\soc.core.la_ien_storage[55] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34523_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07784_), + .Q(\soc.core.la_ien_storage[56] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34524_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07785_), + .Q(\soc.core.la_ien_storage[57] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34525_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07786_), + .Q(\soc.core.la_ien_storage[58] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34526_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07787_), + .Q(\soc.core.la_ien_storage[59] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34527_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07788_), + .Q(\soc.core.la_ien_storage[60] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34528_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07789_), + .Q(\soc.core.la_ien_storage[61] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34529_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07790_), + .Q(\soc.core.la_ien_storage[62] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34530_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07791_), + .Q(\soc.core.la_ien_storage[63] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34531_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07792_), + .Q(\soc.core.la_ien_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34532_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07793_), + .Q(\soc.core.la_ien_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34533_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07794_), + .Q(\soc.core.la_ien_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34534_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07795_), + .Q(\soc.core.la_ien_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34535_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07796_), + .Q(\soc.core.la_ien_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34536_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07797_), + .Q(\soc.core.la_ien_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34537_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07798_), + .Q(\soc.core.la_ien_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34538_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07799_), + .Q(\soc.core.la_ien_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34539_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07800_), + .Q(\soc.core.la_ien_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34540_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07801_), + .Q(\soc.core.la_ien_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34541_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07802_), + .Q(\soc.core.la_ien_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34542_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07803_), + .Q(\soc.core.la_ien_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34543_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07804_), + .Q(\soc.core.la_ien_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34544_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07805_), + .Q(\soc.core.la_ien_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34545_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07806_), + .Q(\soc.core.la_ien_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34546_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07807_), + .Q(\soc.core.la_ien_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34547_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07808_), + .Q(\soc.core.la_ien_storage[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34548_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07809_), + .Q(\soc.core.la_ien_storage[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34549_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07810_), + .Q(\soc.core.la_ien_storage[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34550_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07811_), + .Q(\soc.core.la_ien_storage[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34551_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07812_), + .Q(\soc.core.la_ien_storage[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34552_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07813_), + .Q(\soc.core.la_ien_storage[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34553_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07814_), + .Q(\soc.core.la_ien_storage[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34554_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07815_), + .Q(\soc.core.la_ien_storage[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34555_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07816_), + .Q(\soc.core.la_ien_storage[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34556_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07817_), + .Q(\soc.core.la_ien_storage[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34557_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07818_), + .Q(\soc.core.la_ien_storage[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34558_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07819_), + .Q(\soc.core.la_ien_storage[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34559_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07820_), + .Q(\soc.core.la_ien_storage[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34560_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07821_), + .Q(\soc.core.la_ien_storage[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34561_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07822_), + .Q(\soc.core.la_ien_storage[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34562_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07823_), + .Q(\soc.core.la_ien_storage[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34563_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07824_), + .Q(\soc.core.dbg_uart_rx_phase[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34564_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07825_), + .Q(\soc.core.dbg_uart_rx_phase[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34565_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07826_), + .Q(\soc.core.dbg_uart_rx_phase[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34566_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07827_), + .Q(\soc.core.dbg_uart_rx_phase[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34567_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07828_), + .Q(\soc.core.dbg_uart_rx_phase[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34568_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07829_), + .Q(\soc.core.dbg_uart_rx_phase[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34569_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07830_), + .Q(\soc.core.dbg_uart_rx_phase[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34570_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07831_), + .Q(\soc.core.dbg_uart_rx_phase[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34571_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07832_), + .Q(\soc.core.dbg_uart_rx_phase[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34572_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07833_), + .Q(\soc.core.dbg_uart_rx_phase[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34573_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07834_), + .Q(\soc.core.dbg_uart_rx_phase[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34574_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07835_), + .Q(\soc.core.dbg_uart_rx_phase[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34575_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07836_), + .Q(\soc.core.dbg_uart_rx_phase[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34576_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07837_), + .Q(\soc.core.dbg_uart_rx_phase[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34577_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07838_), + .Q(\soc.core.dbg_uart_rx_phase[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34578_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07839_), + .Q(\soc.core.dbg_uart_rx_phase[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34579_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07840_), + .Q(\soc.core.dbg_uart_rx_phase[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34580_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07841_), + .Q(\soc.core.dbg_uart_rx_phase[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34581_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07842_), + .Q(\soc.core.dbg_uart_rx_phase[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34582_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07843_), + .Q(\soc.core.dbg_uart_rx_phase[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34583_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07844_), + .Q(\soc.core.dbg_uart_rx_phase[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34584_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07845_), + .Q(\soc.core.dbg_uart_rx_phase[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34585_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07846_), + .Q(\soc.core.dbg_uart_rx_phase[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34586_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07847_), + .Q(\soc.core.dbg_uart_rx_phase[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34587_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07848_), + .Q(\soc.core.dbg_uart_rx_phase[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34588_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07849_), + .Q(\soc.core.dbg_uart_rx_phase[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34589_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07850_), + .Q(\soc.core.dbg_uart_rx_phase[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34590_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07851_), + .Q(\soc.core.dbg_uart_rx_phase[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34591_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07852_), + .Q(\soc.core.dbg_uart_rx_phase[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34592_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07853_), + .Q(\soc.core.dbg_uart_rx_phase[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34593_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07854_), + .Q(\soc.core.dbg_uart_rx_phase[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34594_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07855_), + .Q(\soc.core.dbg_uart_tx_phase[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34595_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07856_), + .Q(\soc.core.dbg_uart_tx_phase[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34596_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07857_), + .Q(\soc.core.dbg_uart_tx_phase[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34597_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07858_), + .Q(\soc.core.dbg_uart_tx_phase[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34598_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07859_), + .Q(\soc.core.dbg_uart_tx_phase[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34599_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07860_), + .Q(\soc.core.dbg_uart_tx_phase[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34600_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07861_), + .Q(\soc.core.dbg_uart_tx_phase[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34601_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07862_), + .Q(\soc.core.dbg_uart_tx_phase[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34602_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07863_), + .Q(\soc.core.dbg_uart_tx_phase[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34603_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07864_), + .Q(\soc.core.dbg_uart_tx_phase[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34604_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07865_), + .Q(\soc.core.dbg_uart_tx_phase[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34605_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07866_), + .Q(\soc.core.dbg_uart_tx_phase[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34606_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07867_), + .Q(\soc.core.dbg_uart_tx_phase[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34607_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07868_), + .Q(\soc.core.dbg_uart_tx_phase[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34608_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07869_), + .Q(\soc.core.dbg_uart_tx_phase[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34609_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07870_), + .Q(\soc.core.dbg_uart_tx_phase[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34610_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07871_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34611_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07872_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34612_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07873_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34613_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07874_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34614_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07875_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34615_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07876_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34616_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07877_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34617_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07878_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34618_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07879_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34619_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07880_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34620_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07881_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34621_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07882_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34622_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07883_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34623_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07884_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34624_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07885_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34625_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07886_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34626_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07887_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34627_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07888_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34628_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07889_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34629_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07890_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34630_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07891_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34631_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07892_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34632_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07893_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34633_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07894_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34634_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07895_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34635_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07896_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34636_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07897_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34637_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07898_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34638_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07899_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34639_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07900_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34640_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07901_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34641_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07902_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[5][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34642_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07903_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34643_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07904_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34644_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07905_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34645_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07906_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34646_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07907_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34647_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07908_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34648_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07909_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34649_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07910_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34650_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07911_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34651_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07912_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34652_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07913_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34653_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07914_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34654_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07915_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34655_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07916_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34656_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07917_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34657_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07918_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34658_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07919_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34659_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07920_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34660_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07921_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34661_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07922_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34662_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07923_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34663_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07924_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34664_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07925_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34665_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07926_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34666_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07927_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34667_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07928_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34668_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07929_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34669_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07930_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34670_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07931_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34671_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07932_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34672_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07933_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34673_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07934_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34674_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07935_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34675_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07936_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[2][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34676_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07937_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34677_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07938_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34678_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07939_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34679_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07940_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34680_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07941_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34681_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07942_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34682_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07943_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34683_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07944_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34684_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07945_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34685_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07946_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34686_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07947_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34687_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07948_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34688_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07949_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34689_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07950_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34690_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07951_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34691_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07952_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34692_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07953_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34693_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07954_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34694_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07955_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34695_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07956_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34696_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07957_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34697_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07958_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34698_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07959_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34699_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07960_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34700_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07961_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34701_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07962_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34702_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07963_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34703_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07964_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34704_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07965_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34705_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07966_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34706_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07967_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34707_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07968_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[4][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34708_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07969_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34709_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07970_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34710_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07971_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34711_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07972_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34712_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07973_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34713_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07974_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34714_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07975_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34715_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07976_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34716_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07977_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34717_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07978_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34718_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07979_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34719_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07980_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34720_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07981_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34721_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07982_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34722_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07983_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34723_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07984_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34724_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07985_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34725_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07986_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34726_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07987_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34727_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07988_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34728_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07989_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34729_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07990_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34730_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07991_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34731_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07992_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34732_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07993_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34733_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07994_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34734_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07995_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34735_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07996_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34736_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07997_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34737_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07998_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34738_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_07999_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34739_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08000_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[3][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34740_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08001_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34741_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08002_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34742_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08003_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34743_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08004_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34744_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08005_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34745_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08006_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34746_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08007_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34747_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08008_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34748_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08009_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34749_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08010_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34750_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08011_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34751_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08012_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34752_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08013_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34753_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08014_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34754_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08015_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34755_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08016_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34756_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08017_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34757_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08018_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34758_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08019_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34759_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08020_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34760_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08021_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34761_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08022_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34762_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08023_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34763_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08024_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34764_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08025_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34765_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08026_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34766_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08027_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34767_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08028_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34768_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08029_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34769_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08030_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34770_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08031_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34771_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08032_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_banks_0_port1[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34772_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08033_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34773_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08034_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34774_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08035_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34775_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08036_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34776_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08037_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34777_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08038_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34778_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08039_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34779_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08040_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34780_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08041_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34781_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08042_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34782_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08043_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34783_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08044_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34784_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08045_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34785_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08046_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34786_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08047_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34787_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08048_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34788_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08049_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34789_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08050_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34790_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08051_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34791_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08052_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34792_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08053_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34793_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08054_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34794_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08055_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34795_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08056_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34796_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08057_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34797_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08058_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34798_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08059_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34799_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08060_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34800_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08061_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34801_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08062_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34802_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08063_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34803_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08064_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[1][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34804_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00059_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34805_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00070_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34806_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00081_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34807_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00084_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34808_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00085_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34809_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00086_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34810_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00087_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34811_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00088_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34812_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00089_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34813_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00090_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34814_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00060_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34815_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00061_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34816_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00062_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34817_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00063_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34818_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00064_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34819_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00065_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34820_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00066_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34821_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00067_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34822_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00068_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34823_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00069_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34824_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00071_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34825_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00072_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34826_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00073_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34827_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00074_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34828_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00075_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34829_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00076_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34830_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00077_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34831_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00078_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34832_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00079_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34833_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00080_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34834_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00082_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34835_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00083_), + .Q(\soc.core.VexRiscv._zz_RegFilePlugin_regFile_port1[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34836_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08065_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34837_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08066_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34838_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08067_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34839_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08068_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34840_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08069_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34841_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08070_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34842_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08071_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34843_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08072_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34844_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08073_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34845_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08074_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34846_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08075_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34847_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08076_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34848_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08077_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34849_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08078_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34850_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08079_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34851_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08080_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34852_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08081_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34853_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08082_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34854_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08083_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34855_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08084_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34856_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08085_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34857_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08086_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34858_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08087_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34859_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08088_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34860_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08089_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34861_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08090_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34862_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08091_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34863_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08092_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34864_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08093_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34865_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08094_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34866_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08095_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34867_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08096_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[14][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34868_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08097_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34869_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08098_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34870_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08099_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34871_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08100_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34872_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08101_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34873_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08102_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34874_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08103_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34875_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08104_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34876_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08105_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34877_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08106_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34878_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08107_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34879_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08108_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34880_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08109_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34881_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08110_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34882_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08111_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34883_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08112_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34884_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08113_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34885_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08114_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34886_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08115_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34887_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08116_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34888_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08117_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34889_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08118_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34890_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08119_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34891_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08120_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34892_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08121_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34893_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08122_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34894_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08123_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34895_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08124_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34896_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08125_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34897_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08126_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34898_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08127_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34899_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08128_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[13][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34900_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08129_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34901_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08130_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34902_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08131_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34903_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08132_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34904_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08133_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34905_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08134_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34906_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08135_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34907_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08136_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34908_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08137_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34909_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08138_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34910_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08139_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34911_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08140_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34912_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08141_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34913_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08142_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34914_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08143_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34915_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08144_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34916_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08145_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34917_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08146_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34918_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08147_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34919_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08148_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34920_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08149_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34921_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08150_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34922_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08151_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34923_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08152_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34924_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08153_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34925_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08154_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34926_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08155_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34927_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08156_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34928_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08157_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34929_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08158_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34930_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08159_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34931_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08160_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[12][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34932_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08161_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34933_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08162_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34934_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08163_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34935_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08164_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34936_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08165_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34937_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08166_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34938_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08167_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34939_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08168_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34940_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08169_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34941_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08170_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34942_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08171_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34943_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08172_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34944_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08173_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34945_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08174_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34946_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08175_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34947_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08176_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34948_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08177_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34949_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08178_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34950_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08179_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34951_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08180_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34952_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08181_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34953_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08182_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34954_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08183_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34955_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08184_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34956_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08185_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34957_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08186_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34958_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08187_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34959_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08188_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34960_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08189_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34961_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08190_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34962_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08191_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34963_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08192_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[11][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34964_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08193_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34965_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08194_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34966_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08195_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34967_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08196_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34968_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08197_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34969_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08198_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34970_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08199_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34971_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08200_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34972_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08201_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34973_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08202_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34974_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08203_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34975_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08204_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34976_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08205_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34977_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08206_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34978_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08207_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34979_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08208_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34980_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08209_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34981_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08210_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34982_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08211_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34983_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08212_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34984_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08213_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34985_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08214_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34986_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08215_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34987_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08216_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34988_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08217_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34989_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08218_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34990_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08219_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34991_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08220_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34992_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08221_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34993_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08222_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34994_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08223_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34995_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08224_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[10][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34996_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08225_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34997_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08226_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34998_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08227_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _34999_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08228_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35000_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08229_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35001_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08230_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35002_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08231_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35003_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08232_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35004_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08233_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35005_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08234_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35006_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08235_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35007_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08236_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35008_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08237_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35009_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08238_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35010_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08239_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35011_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08240_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35012_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08241_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35013_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08242_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35014_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08243_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35015_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08244_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35016_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08245_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35017_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08246_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35018_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08247_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35019_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08248_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35020_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08249_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35021_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08250_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35022_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08251_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35023_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08252_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35024_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08253_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35025_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08254_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35026_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08255_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35027_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08256_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[0][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35028_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08257_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35029_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08258_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35030_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08259_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35031_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08260_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35032_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08261_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35033_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08262_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35034_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08263_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35035_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08264_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35036_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08265_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35037_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08266_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35038_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08267_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35039_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08268_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35040_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08269_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35041_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08270_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35042_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08271_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35043_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08272_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35044_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08273_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35045_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08274_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35046_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08275_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35047_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08276_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35048_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08277_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35049_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08278_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35050_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08279_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35051_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08280_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35052_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08281_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35053_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08282_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35054_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08283_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35055_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08284_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35056_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08285_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35057_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08286_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35058_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08287_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35059_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08288_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[8][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35060_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08289_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35061_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08290_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35062_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08291_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35063_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08292_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35064_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08293_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35065_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08294_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35066_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08295_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35067_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08296_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35068_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08297_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35069_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08298_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35070_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08299_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35071_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08300_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35072_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08301_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35073_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08302_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35074_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08303_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35075_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08304_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35076_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08305_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35077_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08306_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35078_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08307_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35079_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08308_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35080_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08309_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35081_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08310_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35082_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08311_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35083_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08312_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35084_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08313_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35085_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08314_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35086_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08315_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35087_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08316_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35088_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08317_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35089_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08318_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35090_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08319_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35091_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08320_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[7][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35092_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08321_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35093_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08322_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35094_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08323_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35095_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08324_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35096_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08325_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35097_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08326_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35098_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08327_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35099_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08328_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35100_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08329_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35101_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08330_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35102_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08331_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35103_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08332_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35104_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08333_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35105_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08334_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35106_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08335_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35107_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08336_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35108_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08337_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35109_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08338_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35110_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08339_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35111_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08340_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35112_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08341_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35113_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08342_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35114_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08343_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35115_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08344_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35116_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08345_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35117_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08346_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35118_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08347_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35119_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08348_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35120_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08349_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35121_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08350_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35122_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08351_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35123_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08352_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.banks_0[6][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35124_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08353_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35125_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08354_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35126_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08355_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35127_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08356_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35128_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08357_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35129_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08358_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35130_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08359_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35131_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08360_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35132_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08361_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35133_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08362_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35134_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08363_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35135_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08364_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35136_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08365_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35137_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08366_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35138_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08367_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35139_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08368_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35140_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08369_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35141_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08370_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35142_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08371_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35143_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08372_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35144_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08373_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35145_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08374_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35146_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08375_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35147_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08376_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35148_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08377_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35149_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08378_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35150_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08379_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35151_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08380_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35152_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08381_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35153_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08382_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35154_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08383_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35155_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08384_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[24][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35156_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl136_regs0 ), + .Q(\soc.core.multiregimpl136_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35157_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\irq_spi[2] ), + .Q(\soc.core.multiregimpl136_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35158_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl135_regs0 ), + .Q(\soc.core.multiregimpl135_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35159_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\irq_spi[1] ), + .Q(\soc.core.multiregimpl135_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35160_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.flash_io1_di ), + .Q(\soc.core.mgmtsoc_litespisdrphycore_dq_i[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35161_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.mgmtsoc_litespisdrphycore_clk ), + .Q(\soc.core.flash_clk ) + ); + sky130_fd_sc_hd__dfxtp_2 _35162_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.core_rst ), + .Q(\soc.core.int_rst ) + ); + sky130_fd_sc_hd__dfxtp_2 _35163_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl134_regs0 ), + .Q(\soc.core.multiregimpl134_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35164_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\irq_spi[0] ), + .Q(\soc.core.multiregimpl134_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35165_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl130_regs0 ), + .Q(\soc.core.multiregimpl130_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35166_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[127] ), + .Q(\soc.core.multiregimpl130_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35167_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl129_regs0 ), + .Q(\soc.core.multiregimpl129_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35168_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl133_regs0 ), + .Q(\soc.core.multiregimpl133_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35169_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.user_irq[2] ), + .Q(\soc.core.multiregimpl133_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35170_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl132_regs0 ), + .Q(\soc.core.multiregimpl132_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35171_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.user_irq[1] ), + .Q(\soc.core.multiregimpl132_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35172_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl131_regs0 ), + .Q(\soc.core.multiregimpl131_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35173_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.user_irq[0] ), + .Q(\soc.core.multiregimpl131_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35174_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08385_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35175_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[126] ), + .Q(\soc.core.multiregimpl129_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35176_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl128_regs0 ), + .Q(\soc.core.multiregimpl128_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35177_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[125] ), + .Q(\soc.core.multiregimpl128_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35178_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl127_regs0 ), + .Q(\soc.core.multiregimpl127_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35179_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[124] ), + .Q(\soc.core.multiregimpl127_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35180_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl126_regs0 ), + .Q(\soc.core.multiregimpl126_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35181_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[123] ), + .Q(\soc.core.multiregimpl126_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35182_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl125_regs0 ), + .Q(\soc.core.multiregimpl125_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35183_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[122] ), + .Q(\soc.core.multiregimpl125_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35184_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl124_regs0 ), + .Q(\soc.core.multiregimpl124_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35185_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[121] ), + .Q(\soc.core.multiregimpl124_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35186_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl123_regs0 ), + .Q(\soc.core.multiregimpl123_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35187_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[120] ), + .Q(\soc.core.multiregimpl123_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35188_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08386_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35189_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08387_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35190_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08388_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35191_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08389_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35192_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08390_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35193_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08391_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35194_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08392_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35195_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08393_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35196_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08394_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35197_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08395_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35198_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08396_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35199_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08397_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35200_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08398_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35201_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08399_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35202_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08400_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35203_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08401_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35204_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08402_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35205_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08403_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35206_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08404_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35207_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08405_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35208_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08406_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35209_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08407_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35210_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08408_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35211_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08409_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35212_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08410_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35213_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08411_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35214_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08412_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35215_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08413_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35216_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08414_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35217_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08415_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35218_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08416_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35219_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08417_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[1][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35220_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08418_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35221_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08419_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35222_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08420_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35223_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08421_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35224_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08422_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35225_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08423_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35226_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08424_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35227_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08425_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35228_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08426_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35229_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08427_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35230_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08428_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35231_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08429_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35232_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08430_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35233_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08431_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35234_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08432_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35235_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08433_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35236_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08434_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35237_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08435_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35238_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08436_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35239_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08437_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35240_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08438_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35241_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08439_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35242_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08440_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35243_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08441_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35244_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08442_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35245_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08443_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35246_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08444_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35247_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08445_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35248_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08446_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35249_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08447_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35250_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08448_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35251_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08449_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[20][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35252_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08450_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35253_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08451_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35254_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08452_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35255_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08453_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35256_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08454_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35257_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08455_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35258_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08456_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35259_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08457_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35260_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08458_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35261_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08459_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35262_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08460_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35263_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08461_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35264_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08462_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35265_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08463_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35266_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08464_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35267_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08465_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35268_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08466_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35269_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08467_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35270_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08468_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35271_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08469_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35272_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08470_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35273_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08471_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35274_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08472_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35275_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08473_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35276_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08474_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35277_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08475_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35278_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08476_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35279_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08477_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35280_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08478_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35281_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08479_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35282_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08480_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35283_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08481_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[21][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35284_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08482_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35285_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08483_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35286_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08484_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35287_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08485_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35288_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08486_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35289_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08487_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35290_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08488_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35291_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08489_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35292_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08490_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35293_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08491_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35294_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08492_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35295_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08493_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35296_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08494_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35297_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08495_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35298_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08496_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35299_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08497_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35300_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08498_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35301_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08499_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35302_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08500_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35303_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08501_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35304_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08502_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35305_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08503_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35306_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08504_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35307_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08505_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35308_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08506_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35309_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08507_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35310_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08508_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35311_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08509_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35312_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08510_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35313_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08511_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35314_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08512_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35315_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08513_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[22][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35316_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08514_), + .Q(\soc.core.storage_1[15][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35317_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08515_), + .Q(\soc.core.storage_1[15][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35318_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08516_), + .Q(\soc.core.storage_1[15][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35319_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08517_), + .Q(\soc.core.storage_1[15][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35320_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08518_), + .Q(\soc.core.storage_1[15][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35321_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08519_), + .Q(\soc.core.storage_1[15][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35322_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08520_), + .Q(\soc.core.storage_1[15][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35323_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08521_), + .Q(\soc.core.storage_1[15][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35324_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08522_), + .Q(\soc.core.storage_1[14][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35325_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08523_), + .Q(\soc.core.storage_1[14][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35326_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08524_), + .Q(\soc.core.storage_1[14][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35327_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08525_), + .Q(\soc.core.storage_1[14][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35328_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08526_), + .Q(\soc.core.storage_1[14][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35329_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08527_), + .Q(\soc.core.storage_1[14][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35330_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08528_), + .Q(\soc.core.storage_1[14][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35331_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08529_), + .Q(\soc.core.storage_1[14][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35332_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08530_), + .Q(\soc.core.storage_1[13][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35333_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08531_), + .Q(\soc.core.storage_1[13][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35334_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08532_), + .Q(\soc.core.storage_1[13][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35335_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08533_), + .Q(\soc.core.storage_1[13][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35336_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08534_), + .Q(\soc.core.storage_1[13][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35337_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08535_), + .Q(\soc.core.storage_1[13][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35338_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08536_), + .Q(\soc.core.storage_1[13][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35339_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08537_), + .Q(\soc.core.storage_1[13][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35340_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08538_), + .Q(\soc.core.storage_1[12][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35341_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08539_), + .Q(\soc.core.storage_1[12][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35342_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08540_), + .Q(\soc.core.storage_1[12][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35343_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08541_), + .Q(\soc.core.storage_1[12][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35344_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08542_), + .Q(\soc.core.storage_1[12][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35345_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08543_), + .Q(\soc.core.storage_1[12][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35346_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08544_), + .Q(\soc.core.storage_1[12][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35347_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08545_), + .Q(\soc.core.storage_1[12][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35348_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08546_), + .Q(\soc.core.storage_1[11][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35349_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08547_), + .Q(\soc.core.storage_1[11][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35350_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08548_), + .Q(\soc.core.storage_1[11][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35351_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08549_), + .Q(\soc.core.storage_1[11][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35352_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08550_), + .Q(\soc.core.storage_1[11][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35353_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08551_), + .Q(\soc.core.storage_1[11][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35354_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08552_), + .Q(\soc.core.storage_1[11][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35355_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08553_), + .Q(\soc.core.storage_1[11][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35356_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08554_), + .Q(\soc.core.storage_1[10][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35357_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08555_), + .Q(\soc.core.storage_1[10][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35358_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08556_), + .Q(\soc.core.storage_1[10][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35359_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08557_), + .Q(\soc.core.storage_1[10][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35360_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08558_), + .Q(\soc.core.storage_1[10][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35361_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08559_), + .Q(\soc.core.storage_1[10][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35362_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08560_), + .Q(\soc.core.storage_1[10][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35363_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08561_), + .Q(\soc.core.storage_1[10][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35364_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08562_), + .Q(\soc.core.storage_1[9][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35365_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08563_), + .Q(\soc.core.storage_1[9][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35366_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08564_), + .Q(\soc.core.storage_1[9][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35367_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08565_), + .Q(\soc.core.storage_1[9][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35368_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08566_), + .Q(\soc.core.storage_1[9][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35369_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08567_), + .Q(\soc.core.storage_1[9][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35370_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08568_), + .Q(\soc.core.storage_1[9][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35371_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08569_), + .Q(\soc.core.storage_1[9][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35372_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08570_), + .Q(\soc.core.storage_1[8][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35373_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08571_), + .Q(\soc.core.storage_1[8][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35374_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08572_), + .Q(\soc.core.storage_1[8][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35375_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08573_), + .Q(\soc.core.storage_1[8][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35376_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08574_), + .Q(\soc.core.storage_1[8][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35377_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08575_), + .Q(\soc.core.storage_1[8][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35378_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08576_), + .Q(\soc.core.storage_1[8][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35379_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08577_), + .Q(\soc.core.storage_1[8][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35380_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08578_), + .Q(\soc.core.storage_1[7][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35381_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08579_), + .Q(\soc.core.storage_1[7][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35382_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08580_), + .Q(\soc.core.storage_1[7][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35383_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08581_), + .Q(\soc.core.storage_1[7][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35384_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08582_), + .Q(\soc.core.storage_1[7][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35385_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08583_), + .Q(\soc.core.storage_1[7][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35386_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08584_), + .Q(\soc.core.storage_1[7][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35387_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08585_), + .Q(\soc.core.storage_1[7][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35388_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08586_), + .Q(\soc.core.storage_1[6][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35389_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08587_), + .Q(\soc.core.storage_1[6][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35390_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08588_), + .Q(\soc.core.storage_1[6][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35391_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08589_), + .Q(\soc.core.storage_1[6][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35392_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08590_), + .Q(\soc.core.storage_1[6][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35393_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08591_), + .Q(\soc.core.storage_1[6][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35394_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08592_), + .Q(\soc.core.storage_1[6][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35395_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08593_), + .Q(\soc.core.storage_1[6][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35396_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08594_), + .Q(\soc.core.storage_1[5][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35397_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08595_), + .Q(\soc.core.storage_1[5][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35398_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08596_), + .Q(\soc.core.storage_1[5][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35399_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08597_), + .Q(\soc.core.storage_1[5][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35400_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08598_), + .Q(\soc.core.storage_1[5][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35401_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08599_), + .Q(\soc.core.storage_1[5][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35402_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08600_), + .Q(\soc.core.storage_1[5][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35403_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08601_), + .Q(\soc.core.storage_1[5][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35404_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08602_), + .Q(\soc.core.storage_1[4][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35405_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08603_), + .Q(\soc.core.storage_1[4][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35406_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08604_), + .Q(\soc.core.storage_1[4][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35407_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08605_), + .Q(\soc.core.storage_1[4][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35408_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08606_), + .Q(\soc.core.storage_1[4][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35409_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08607_), + .Q(\soc.core.storage_1[4][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35410_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08608_), + .Q(\soc.core.storage_1[4][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35411_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08609_), + .Q(\soc.core.storage_1[4][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35412_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08610_), + .Q(\soc.core.storage_1[3][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35413_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08611_), + .Q(\soc.core.storage_1[3][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35414_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08612_), + .Q(\soc.core.storage_1[3][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35415_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08613_), + .Q(\soc.core.storage_1[3][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35416_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08614_), + .Q(\soc.core.storage_1[3][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35417_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08615_), + .Q(\soc.core.storage_1[3][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35418_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08616_), + .Q(\soc.core.storage_1[3][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35419_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08617_), + .Q(\soc.core.storage_1[3][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35420_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08618_), + .Q(\soc.core.storage_1[2][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35421_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08619_), + .Q(\soc.core.storage_1[2][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35422_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08620_), + .Q(\soc.core.storage_1[2][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35423_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08621_), + .Q(\soc.core.storage_1[2][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35424_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08622_), + .Q(\soc.core.storage_1[2][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35425_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08623_), + .Q(\soc.core.storage_1[2][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35426_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08624_), + .Q(\soc.core.storage_1[2][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35427_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08625_), + .Q(\soc.core.storage_1[2][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35428_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08626_), + .Q(\soc.core.storage_1[1][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35429_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08627_), + .Q(\soc.core.storage_1[1][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35430_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08628_), + .Q(\soc.core.storage_1[1][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35431_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08629_), + .Q(\soc.core.storage_1[1][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35432_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08630_), + .Q(\soc.core.storage_1[1][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35433_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08631_), + .Q(\soc.core.storage_1[1][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35434_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08632_), + .Q(\soc.core.storage_1[1][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35435_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08633_), + .Q(\soc.core.storage_1[1][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35436_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08634_), + .Q(\soc.core.storage_1[0][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35437_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08635_), + .Q(\soc.core.storage_1[0][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35438_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08636_), + .Q(\soc.core.storage_1[0][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35439_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08637_), + .Q(\soc.core.storage_1[0][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35440_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08638_), + .Q(\soc.core.storage_1[0][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35441_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08639_), + .Q(\soc.core.storage_1[0][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35442_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08640_), + .Q(\soc.core.storage_1[0][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35443_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08641_), + .Q(\soc.core.storage_1[0][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35444_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08642_), + .Q(\soc.core.uart_phy_rx_phase[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35445_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08643_), + .Q(\soc.core.uart_phy_rx_phase[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35446_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08644_), + .Q(\soc.core.uart_phy_rx_phase[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35447_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08645_), + .Q(\soc.core.uart_phy_rx_phase[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35448_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08646_), + .Q(\soc.core.uart_phy_rx_phase[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35449_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08647_), + .Q(\soc.core.uart_phy_rx_phase[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35450_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08648_), + .Q(\soc.core.uart_phy_rx_phase[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35451_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08649_), + .Q(\soc.core.uart_phy_rx_phase[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35452_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08650_), + .Q(\soc.core.uart_phy_rx_phase[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35453_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08651_), + .Q(\soc.core.uart_phy_rx_phase[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35454_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08652_), + .Q(\soc.core.uart_phy_rx_phase[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35455_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08653_), + .Q(\soc.core.uart_phy_rx_phase[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35456_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08654_), + .Q(\soc.core.uart_phy_rx_phase[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35457_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08655_), + .Q(\soc.core.uart_phy_rx_phase[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35458_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08656_), + .Q(\soc.core.uart_phy_rx_phase[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35459_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08657_), + .Q(\soc.core.uart_phy_rx_phase[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35460_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08658_), + .Q(\soc.core.uart_phy_rx_phase[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35461_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08659_), + .Q(\soc.core.uart_phy_rx_phase[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35462_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08660_), + .Q(\soc.core.uart_phy_rx_phase[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35463_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08661_), + .Q(\soc.core.uart_phy_rx_phase[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35464_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08662_), + .Q(\soc.core.uart_phy_rx_phase[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35465_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08663_), + .Q(\soc.core.uart_phy_rx_phase[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35466_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08664_), + .Q(\soc.core.uart_phy_rx_phase[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35467_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08665_), + .Q(\soc.core.uart_phy_rx_phase[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35468_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08666_), + .Q(\soc.core.uart_phy_rx_phase[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35469_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08667_), + .Q(\soc.core.uart_phy_rx_phase[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35470_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08668_), + .Q(\soc.core.uart_phy_rx_phase[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35471_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00139_), + .Q(\soc.core.flash_io0_oeb ) + ); + sky130_fd_sc_hd__dfxtp_2 _35472_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.mgmtsoc_litespisdrphycore_dq_o ), + .Q(\soc.core.flash_io0_do ) + ); + sky130_fd_sc_hd__dfxtp_2 _35473_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08669_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[0] ) + ); + sky130_fd_sc_hd__dfrtp_2 _35474_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_08670_), + .Q(\pll.pll_control.tval[0] ), + .RESET_B(_06123_) + ); + sky130_fd_sc_hd__dfrtp_2 _35475_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_08671_), + .Q(\pll.pll_control.tval[1] ), + .RESET_B(_06124_) + ); + sky130_fd_sc_hd__dfrtp_2 _35476_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_08672_), + .Q(\pll.pll_control.tint[0] ), + .RESET_B(_06125_) + ); + sky130_fd_sc_hd__dfrtp_2 _35477_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_08673_), + .Q(\pll.pll_control.tint[1] ), + .RESET_B(_06126_) + ); + sky130_fd_sc_hd__dfrtp_2 _35478_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_08674_), + .Q(\pll.pll_control.tint[2] ), + .RESET_B(_06127_) + ); + sky130_fd_sc_hd__dfrtp_2 _35479_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_08675_), + .Q(\pll.pll_control.tint[3] ), + .RESET_B(_06128_) + ); + sky130_fd_sc_hd__dfrtp_2 _35480_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_08676_), + .Q(\pll.pll_control.tint[4] ), + .RESET_B(_06129_) + ); + sky130_fd_sc_hd__dfxtp_2 _35481_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08677_), + .Q(\soc.core.spi_clk ) + ); + sky130_fd_sc_hd__dfxtp_2 _35482_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08678_), + .Q(\soc.core.spi_mosi ) + ); + sky130_fd_sc_hd__dfxtp_2 _35483_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08679_), + .Q(\soc.core.mgmtsoc_reset_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35484_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08680_), + .Q(\soc.core.mgmtsoc_cpu_rst ) + ); + sky130_fd_sc_hd__dfxtp_2 _35485_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08681_), + .Q(\soc.core.spi_cs_n ) + ); + sky130_fd_sc_hd__dfxtp_2 _35486_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08682_), + .Q(\soc.core.mgmtsoc_scratch_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35487_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08683_), + .Q(\soc.core.mgmtsoc_scratch_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35488_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08684_), + .Q(\soc.core.mgmtsoc_scratch_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35489_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08685_), + .Q(\soc.core.mgmtsoc_scratch_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35490_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08686_), + .Q(\soc.core.mgmtsoc_scratch_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35491_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08687_), + .Q(\soc.core.mgmtsoc_scratch_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35492_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08688_), + .Q(\soc.core.mgmtsoc_scratch_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35493_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08689_), + .Q(\soc.core.mgmtsoc_scratch_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35494_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08690_), + .Q(\soc.core.mgmtsoc_scratch_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35495_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08691_), + .Q(\soc.core.mgmtsoc_scratch_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35496_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08692_), + .Q(\soc.core.mgmtsoc_scratch_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35497_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08693_), + .Q(\soc.core.mgmtsoc_scratch_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35498_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08694_), + .Q(\soc.core.mgmtsoc_scratch_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35499_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08695_), + .Q(\soc.core.mgmtsoc_scratch_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35500_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08696_), + .Q(\soc.core.mgmtsoc_scratch_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35501_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08697_), + .Q(\soc.core.mgmtsoc_scratch_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35502_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08698_), + .Q(\soc.core.mgmtsoc_scratch_storage[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35503_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08699_), + .Q(\soc.core.mgmtsoc_scratch_storage[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35504_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08700_), + .Q(\soc.core.mgmtsoc_scratch_storage[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35505_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08701_), + .Q(\soc.core.mgmtsoc_scratch_storage[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35506_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08702_), + .Q(\soc.core.mgmtsoc_scratch_storage[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35507_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08703_), + .Q(\soc.core.mgmtsoc_scratch_storage[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35508_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08704_), + .Q(\soc.core.mgmtsoc_scratch_storage[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35509_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08705_), + .Q(\soc.core.mgmtsoc_scratch_storage[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35510_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08706_), + .Q(\soc.core.mgmtsoc_scratch_storage[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35511_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08707_), + .Q(\soc.core.mgmtsoc_scratch_storage[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35512_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08708_), + .Q(\soc.core.mgmtsoc_scratch_storage[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35513_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08709_), + .Q(\soc.core.mgmtsoc_scratch_storage[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35514_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08710_), + .Q(\soc.core.mgmtsoc_scratch_storage[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35515_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08711_), + .Q(\soc.core.mgmtsoc_scratch_storage[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35516_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08712_), + .Q(\soc.core.mgmtsoc_scratch_storage[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35517_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08713_), + .Q(\soc.core.mgmtsoc_scratch_storage[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35518_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08714_), + .Q(\soc.core.mgmtsoc_bus_errors[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35519_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08715_), + .Q(\soc.core.mgmtsoc_bus_errors[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35520_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08716_), + .Q(\soc.core.mgmtsoc_bus_errors[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35521_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08717_), + .Q(\soc.core.mgmtsoc_bus_errors[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35522_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08718_), + .Q(\soc.core.mgmtsoc_bus_errors[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35523_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08719_), + .Q(\soc.core.mgmtsoc_bus_errors[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35524_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08720_), + .Q(\soc.core.mgmtsoc_bus_errors[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35525_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08721_), + .Q(\soc.core.mgmtsoc_bus_errors[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35526_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08722_), + .Q(\soc.core.mgmtsoc_bus_errors[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35527_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08723_), + .Q(\soc.core.mgmtsoc_bus_errors[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35528_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08724_), + .Q(\soc.core.mgmtsoc_bus_errors[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35529_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08725_), + .Q(\soc.core.mgmtsoc_bus_errors[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35530_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08726_), + .Q(\soc.core.mgmtsoc_bus_errors[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35531_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08727_), + .Q(\soc.core.mgmtsoc_bus_errors[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35532_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08728_), + .Q(\soc.core.mgmtsoc_bus_errors[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35533_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08729_), + .Q(\soc.core.mgmtsoc_bus_errors[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35534_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08730_), + .Q(\soc.core.mgmtsoc_bus_errors[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35535_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08731_), + .Q(\soc.core.mgmtsoc_bus_errors[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35536_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08732_), + .Q(\soc.core.mgmtsoc_bus_errors[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35537_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08733_), + .Q(\soc.core.mgmtsoc_bus_errors[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35538_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08734_), + .Q(\soc.core.mgmtsoc_bus_errors[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35539_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08735_), + .Q(\soc.core.mgmtsoc_bus_errors[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35540_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08736_), + .Q(\soc.core.mgmtsoc_bus_errors[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35541_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08737_), + .Q(\soc.core.mgmtsoc_bus_errors[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35542_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08738_), + .Q(\soc.core.mgmtsoc_bus_errors[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35543_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08739_), + .Q(\soc.core.mgmtsoc_bus_errors[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35544_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08740_), + .Q(\soc.core.mgmtsoc_bus_errors[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35545_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08741_), + .Q(\soc.core.mgmtsoc_bus_errors[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35546_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08742_), + .Q(\soc.core.mgmtsoc_bus_errors[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35547_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08743_), + .Q(\soc.core.mgmtsoc_bus_errors[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35548_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08744_), + .Q(\soc.core.mgmtsoc_bus_errors[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35549_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08745_), + .Q(\soc.core.mgmtsoc_bus_errors[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35550_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08746_), + .Q(\soc.core.mgmtsoc_reset_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _35551_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08747_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_valid ) + ); + sky130_fd_sc_hd__dfxtp_2 _35552_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08748_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_wr ) + ); + sky130_fd_sc_hd__dfxtp_2 _35553_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08749_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35554_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08750_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35555_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08751_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35556_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08752_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35557_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08753_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35558_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08754_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35559_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08755_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35560_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08756_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35561_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08757_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35562_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08758_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35563_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08759_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35564_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08760_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35565_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08761_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35566_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08762_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35567_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08763_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35568_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08764_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35569_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08765_), + .Q(\soc.core.VexRiscv.when_DebugPlugin_l260 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35570_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08766_), + .Q(\soc.core.VexRiscv.when_DebugPlugin_l261 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35571_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08767_), + .Q(\soc.core.VexRiscv.when_DebugPlugin_l264 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35572_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08768_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35573_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08769_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35574_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08770_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35575_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08771_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35576_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08772_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35577_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08773_), + .Q(\soc.core.VexRiscv.when_DebugPlugin_l260_1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35578_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08774_), + .Q(\soc.core.VexRiscv.when_DebugPlugin_l261_1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35579_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08775_), + .Q(\soc.core.VexRiscv.when_DebugPlugin_l264_1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35580_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08776_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35581_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08777_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35582_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08778_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35583_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08779_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35584_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08780_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_data[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35585_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08781_), + .Q(\soc.core.mgmtsoc_vexriscv_debug_reset ) + ); + sky130_fd_sc_hd__dfxtp_2 _35586_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08782_), + .Q(\soc.core.mgmtsoc_vexriscv_transfer_complete ) + ); + sky130_fd_sc_hd__dfxtp_2 _35587_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08783_), + .Q(\soc.core.mgmtsoc_vexriscv_reset_debug_logic ) + ); + sky130_fd_sc_hd__dfxtp_2 _35588_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08784_), + .Q(\soc.core.mgmtsoc_vexriscv_transfer_wait_for_ack ) + ); + sky130_fd_sc_hd__dfxtp_2 _35589_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.debug_bus_rsp_data[0] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35590_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.debug_bus_rsp_data[1] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35591_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.debug_bus_rsp_data[2] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35592_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.debug_bus_rsp_data[3] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35593_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.debug_bus_rsp_data[4] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35594_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[5] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35595_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[6] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35596_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[7] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35597_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[8] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35598_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[9] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35599_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[10] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35600_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[11] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35601_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[12] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35602_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[13] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35603_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[14] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35604_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[15] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35605_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[16] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35606_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[17] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35607_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[18] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35608_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[19] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35609_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[20] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35610_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[21] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35611_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[22] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35612_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[23] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35613_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[24] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35614_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[25] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35615_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[26] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35616_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[27] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35617_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[28] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35618_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[29] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35619_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[30] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35620_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[31] ), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_dat_r[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35621_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08785_), + .Q(\soc.core.mgmtsoc_vexriscv_debug_bus_ack ) + ); + sky130_fd_sc_hd__dfxtp_2 _35622_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08786_), + .Q(\soc.core.mgmtsoc_load_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35623_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08787_), + .Q(\soc.core.mgmtsoc_load_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35624_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08788_), + .Q(\soc.core.mgmtsoc_load_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35625_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08789_), + .Q(\soc.core.mgmtsoc_load_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35626_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08790_), + .Q(\soc.core.mgmtsoc_load_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35627_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08791_), + .Q(\soc.core.mgmtsoc_load_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35628_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08792_), + .Q(\soc.core.mgmtsoc_load_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35629_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08793_), + .Q(\soc.core.mgmtsoc_load_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35630_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08794_), + .Q(\soc.core.mgmtsoc_load_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35631_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08795_), + .Q(\soc.core.mgmtsoc_load_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35632_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08796_), + .Q(\soc.core.mgmtsoc_load_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35633_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08797_), + .Q(\soc.core.mgmtsoc_load_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35634_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08798_), + .Q(\soc.core.mgmtsoc_load_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35635_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08799_), + .Q(\soc.core.mgmtsoc_load_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35636_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08800_), + .Q(\soc.core.mgmtsoc_load_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35637_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08801_), + .Q(\soc.core.mgmtsoc_load_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35638_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08802_), + .Q(\soc.core.mgmtsoc_load_storage[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35639_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08803_), + .Q(\soc.core.mgmtsoc_load_storage[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35640_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08804_), + .Q(\soc.core.mgmtsoc_load_storage[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35641_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08805_), + .Q(\soc.core.mgmtsoc_load_storage[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35642_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08806_), + .Q(\soc.core.mgmtsoc_load_storage[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35643_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08807_), + .Q(\soc.core.mgmtsoc_load_storage[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35644_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08808_), + .Q(\soc.core.mgmtsoc_load_storage[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35645_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08809_), + .Q(\soc.core.mgmtsoc_load_storage[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35646_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08810_), + .Q(\soc.core.mgmtsoc_load_storage[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35647_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08811_), + .Q(\soc.core.mgmtsoc_load_storage[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35648_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08812_), + .Q(\soc.core.mgmtsoc_load_storage[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35649_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08813_), + .Q(\soc.core.mgmtsoc_load_storage[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35650_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08814_), + .Q(\soc.core.mgmtsoc_load_storage[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35651_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08815_), + .Q(\soc.core.mgmtsoc_load_storage[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35652_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08816_), + .Q(\soc.core.mgmtsoc_load_storage[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35653_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08817_), + .Q(\soc.core.mgmtsoc_load_storage[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35654_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08818_), + .Q(\soc.core.mgmtsoc_reload_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35655_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08819_), + .Q(\soc.core.mgmtsoc_reload_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35656_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08820_), + .Q(\soc.core.mgmtsoc_reload_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35657_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08821_), + .Q(\soc.core.mgmtsoc_reload_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35658_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08822_), + .Q(\soc.core.mgmtsoc_reload_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35659_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08823_), + .Q(\soc.core.mgmtsoc_reload_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35660_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08824_), + .Q(\soc.core.mgmtsoc_reload_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35661_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08825_), + .Q(\soc.core.mgmtsoc_reload_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35662_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08826_), + .Q(\soc.core.mgmtsoc_reload_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35663_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08827_), + .Q(\soc.core.mgmtsoc_reload_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35664_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08828_), + .Q(\soc.core.mgmtsoc_reload_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35665_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08829_), + .Q(\soc.core.mgmtsoc_reload_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35666_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08830_), + .Q(\soc.core.mgmtsoc_reload_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35667_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08831_), + .Q(\soc.core.mgmtsoc_reload_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35668_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08832_), + .Q(\soc.core.mgmtsoc_reload_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35669_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08833_), + .Q(\soc.core.mgmtsoc_reload_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35670_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08834_), + .Q(\soc.core.mgmtsoc_reload_storage[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35671_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08835_), + .Q(\soc.core.mgmtsoc_reload_storage[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35672_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08836_), + .Q(\soc.core.mgmtsoc_reload_storage[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35673_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08837_), + .Q(\soc.core.mgmtsoc_reload_storage[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35674_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08838_), + .Q(\soc.core.mgmtsoc_reload_storage[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35675_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08839_), + .Q(\soc.core.mgmtsoc_reload_storage[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35676_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08840_), + .Q(\soc.core.mgmtsoc_reload_storage[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35677_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08841_), + .Q(\soc.core.mgmtsoc_reload_storage[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35678_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08842_), + .Q(\soc.core.mgmtsoc_reload_storage[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35679_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08843_), + .Q(\soc.core.mgmtsoc_reload_storage[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35680_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08844_), + .Q(\soc.core.mgmtsoc_reload_storage[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35681_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08845_), + .Q(\soc.core.mgmtsoc_reload_storage[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35682_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08846_), + .Q(\soc.core.mgmtsoc_reload_storage[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35683_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08847_), + .Q(\soc.core.mgmtsoc_reload_storage[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35684_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08848_), + .Q(\soc.core.mgmtsoc_reload_storage[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35685_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08849_), + .Q(\soc.core.mgmtsoc_reload_storage[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35686_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08850_), + .Q(\soc.core.mgmtsoc_en_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _35687_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08851_), + .Q(\soc.core.mgmtsoc_update_value_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _35688_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08852_), + .Q(\soc.core.mgmtsoc_vexriscv_transfer_in_progress ) + ); + sky130_fd_sc_hd__dfxtp_2 _35689_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08853_), + .Q(\soc.core.mgmtsoc_value_status[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35690_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08854_), + .Q(\soc.core.mgmtsoc_value_status[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35691_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08855_), + .Q(\soc.core.mgmtsoc_value_status[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35692_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08856_), + .Q(\soc.core.mgmtsoc_value_status[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35693_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08857_), + .Q(\soc.core.mgmtsoc_value_status[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35694_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08858_), + .Q(\soc.core.mgmtsoc_value_status[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35695_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08859_), + .Q(\soc.core.mgmtsoc_value_status[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35696_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08860_), + .Q(\soc.core.mgmtsoc_value_status[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35697_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08861_), + .Q(\soc.core.mgmtsoc_value_status[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35698_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08862_), + .Q(\soc.core.mgmtsoc_value_status[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35699_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08863_), + .Q(\soc.core.mgmtsoc_value_status[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35700_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08864_), + .Q(\soc.core.mgmtsoc_value_status[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35701_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08865_), + .Q(\soc.core.mgmtsoc_value_status[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35702_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08866_), + .Q(\soc.core.mgmtsoc_value_status[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35703_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08867_), + .Q(\soc.core.mgmtsoc_value_status[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35704_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08868_), + .Q(\soc.core.mgmtsoc_value_status[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35705_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08869_), + .Q(\soc.core.mgmtsoc_value_status[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35706_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08870_), + .Q(\soc.core.mgmtsoc_value_status[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35707_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08871_), + .Q(\soc.core.mgmtsoc_value_status[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35708_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08872_), + .Q(\soc.core.mgmtsoc_value_status[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35709_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08873_), + .Q(\soc.core.mgmtsoc_value_status[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35710_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08874_), + .Q(\soc.core.mgmtsoc_value_status[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35711_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08875_), + .Q(\soc.core.mgmtsoc_value_status[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35712_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08876_), + .Q(\soc.core.mgmtsoc_value_status[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35713_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08877_), + .Q(\soc.core.mgmtsoc_value_status[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35714_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08878_), + .Q(\soc.core.mgmtsoc_value_status[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35715_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08879_), + .Q(\soc.core.mgmtsoc_value_status[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35716_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08880_), + .Q(\soc.core.mgmtsoc_value_status[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35717_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08881_), + .Q(\soc.core.mgmtsoc_value_status[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35718_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08882_), + .Q(\soc.core.mgmtsoc_value_status[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35719_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08883_), + .Q(\soc.core.mgmtsoc_value_status[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35720_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08884_), + .Q(\soc.core.mgmtsoc_value_status[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35721_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08885_), + .Q(\soc.core.mgmtsoc_zero_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _35722_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08886_), + .Q(\soc.core.mgmtsoc_update_value_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _35723_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08887_), + .Q(\soc.core.mgmtsoc_zero_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _35724_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08888_), + .Q(\soc.core.mgmtsoc_pending_r ) + ); + sky130_fd_sc_hd__dfxtp_2 _35725_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08889_), + .Q(\soc.core.mgmtsoc_enable_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _35726_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08890_), + .Q(\soc.core.mgmtsoc_pending_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _35727_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08891_), + .Q(\soc.core.mgmtsoc_value[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35728_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08892_), + .Q(\soc.core.mgmtsoc_value[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35729_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08893_), + .Q(\soc.core.mgmtsoc_value[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35730_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08894_), + .Q(\soc.core.mgmtsoc_value[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35731_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08895_), + .Q(\soc.core.mgmtsoc_value[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35732_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08896_), + .Q(\soc.core.mgmtsoc_value[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35733_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08897_), + .Q(\soc.core.mgmtsoc_value[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35734_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08898_), + .Q(\soc.core.mgmtsoc_value[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35735_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08899_), + .Q(\soc.core.mgmtsoc_value[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35736_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08900_), + .Q(\soc.core.mgmtsoc_value[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35737_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08901_), + .Q(\soc.core.mgmtsoc_value[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35738_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08902_), + .Q(\soc.core.mgmtsoc_value[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35739_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08903_), + .Q(\soc.core.mgmtsoc_value[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35740_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08904_), + .Q(\soc.core.mgmtsoc_value[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35741_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08905_), + .Q(\soc.core.mgmtsoc_value[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35742_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08906_), + .Q(\soc.core.mgmtsoc_value[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35743_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08907_), + .Q(\soc.core.mgmtsoc_value[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35744_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08908_), + .Q(\soc.core.mgmtsoc_value[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35745_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08909_), + .Q(\soc.core.mgmtsoc_value[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35746_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08910_), + .Q(\soc.core.mgmtsoc_value[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35747_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08911_), + .Q(\soc.core.mgmtsoc_value[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35748_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08912_), + .Q(\soc.core.mgmtsoc_value[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35749_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08913_), + .Q(\soc.core.mgmtsoc_value[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35750_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08914_), + .Q(\soc.core.mgmtsoc_value[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35751_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08915_), + .Q(\soc.core.mgmtsoc_value[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35752_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08916_), + .Q(\soc.core.mgmtsoc_value[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35753_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08917_), + .Q(\soc.core.mgmtsoc_value[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35754_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08918_), + .Q(\soc.core.mgmtsoc_value[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35755_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08919_), + .Q(\soc.core.mgmtsoc_value[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35756_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08920_), + .Q(\soc.core.mgmtsoc_value[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35757_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08921_), + .Q(\soc.core.mgmtsoc_value[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35758_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08922_), + .Q(\soc.core.mgmtsoc_value[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35759_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08923_), + .Q(\soc.core.dff_bus_ack ) + ); + sky130_fd_sc_hd__dfxtp_2 _35760_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08924_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35761_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08925_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35762_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08926_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35763_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08927_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35764_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08928_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35765_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08929_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35766_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08930_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35767_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08931_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35768_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08932_), + .Q(\soc.core.dff2_bus_ack ) + ); + sky130_fd_sc_hd__dfxtp_2 _35769_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08933_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_clk ) + ); + sky130_fd_sc_hd__dfxtp_2 _35770_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08934_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_cnt[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35771_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08935_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_cnt[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35772_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08936_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_cnt[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35773_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08937_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_cnt[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35774_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08938_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_cnt[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35775_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08939_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_cnt[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35776_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08940_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_cnt[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35777_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08941_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_cnt[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35778_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08942_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_posedge_reg ) + ); + sky130_fd_sc_hd__dfxtp_2 _35779_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08943_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35780_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08944_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35781_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08945_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35782_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08946_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35783_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08947_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_posedge_reg2 ) + ); + sky130_fd_sc_hd__dfxtp_2 _35784_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00140_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35785_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00151_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35786_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00162_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35787_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00165_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35788_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00166_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35789_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00167_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35790_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00168_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35791_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00169_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35792_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00170_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35793_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00171_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35794_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00141_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35795_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00142_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35796_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00143_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35797_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00144_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35798_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00145_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35799_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00146_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35800_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00147_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35801_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00148_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35802_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00149_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35803_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00150_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35804_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00152_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35805_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00153_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35806_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00154_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35807_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00155_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35808_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00156_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35809_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00157_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35810_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00158_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35811_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00159_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35812_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00160_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35813_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00161_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35814_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00163_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35815_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00164_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_out[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35816_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08948_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35817_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08949_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35818_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08950_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35819_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08951_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35820_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08952_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35821_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08953_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35822_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08954_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35823_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08955_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_cnt[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35824_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08956_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_cs ) + ); + sky130_fd_sc_hd__dfxtp_2 _35825_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08957_), + .Q(\soc.core.mgmtsoc_litespimmap_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35826_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08958_), + .Q(\soc.core.mgmtsoc_litespimmap_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35827_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08959_), + .Q(\soc.core.mgmtsoc_litespimmap_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35828_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08960_), + .Q(\soc.core.mgmtsoc_litespimmap_count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35829_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08961_), + .Q(\soc.core.mgmtsoc_litespimmap_count[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35830_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08962_), + .Q(\soc.core.mgmtsoc_litespimmap_count[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35831_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08963_), + .Q(\soc.core.mgmtsoc_litespimmap_count[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35832_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08964_), + .Q(\soc.core.mgmtsoc_litespimmap_count[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35833_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08965_), + .Q(\soc.core.mgmtsoc_litespimmap_count[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35834_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08966_), + .Q(\soc.core.mgmtsoc_litespimmap_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35835_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08967_), + .Q(\soc.core.mgmtsoc_litespimmap_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35836_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08968_), + .Q(\soc.core.mgmtsoc_litespimmap_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35837_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08969_), + .Q(\soc.core.mgmtsoc_litespimmap_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35838_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08970_), + .Q(\soc.core.mgmtsoc_litespimmap_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35839_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08971_), + .Q(\soc.core.mgmtsoc_litespimmap_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35840_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08972_), + .Q(\soc.core.mgmtsoc_litespimmap_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35841_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08973_), + .Q(\soc.core.mgmtsoc_litespimmap_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35842_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08974_), + .Q(\soc.core.mgmtsoc_master_cs_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _35843_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08975_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35844_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08976_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35845_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08977_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35846_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08978_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35847_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08979_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35848_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08980_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35849_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08981_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35850_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08982_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35851_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08983_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35852_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08984_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35853_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08985_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35854_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08986_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35855_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08987_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35856_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08988_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35857_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08989_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35858_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08990_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35859_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08991_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35860_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08992_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35861_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08993_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35862_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08994_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35863_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08995_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35864_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08996_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35865_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08997_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35866_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08998_), + .Q(\soc.core.mgmtsoc_master_phyconfig_storage[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35867_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_08999_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_valid ) + ); + sky130_fd_sc_hd__dfxtp_2 _35868_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09000_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35869_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09001_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35870_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09002_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35871_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09003_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35872_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09004_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35873_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09005_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35874_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09006_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35875_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09007_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35876_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09008_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35877_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09009_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35878_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09010_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35879_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09011_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35880_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09012_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35881_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09013_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35882_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09014_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35883_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09015_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35884_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09016_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35885_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09017_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35886_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09018_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35887_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09019_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35888_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09020_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35889_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09021_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35890_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09022_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35891_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09023_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35892_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09024_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35893_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09025_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35894_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09026_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35895_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09027_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35896_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09028_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35897_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09029_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35898_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09030_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35899_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09031_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_data[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35900_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09032_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35901_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09033_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35902_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09034_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35903_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09035_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35904_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09036_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35905_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09037_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_len[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35906_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09038_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35907_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09039_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35908_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09040_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35909_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09041_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_width[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35910_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09042_), + .Q(\soc.core.mgmtsoc_master_tx_fifo_source_payload_mask[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35911_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09043_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_valid ) + ); + sky130_fd_sc_hd__dfxtp_2 _35912_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09044_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35913_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09045_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35914_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09046_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35915_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09047_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35916_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09048_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35917_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09049_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35918_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09050_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35919_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09051_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35920_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09052_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35921_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09053_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35922_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09054_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35923_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09055_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35924_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09056_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35925_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09057_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35926_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09058_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35927_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09059_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35928_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09060_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35929_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09061_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35930_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09062_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35931_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09063_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35932_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09064_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35933_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09065_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35934_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09066_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35935_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09067_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35936_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09068_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35937_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09069_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35938_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09070_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35939_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09071_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35940_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09072_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35941_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09073_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35942_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09074_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35943_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09075_), + .Q(\soc.core.mgmtsoc_master_rx_fifo_source_payload_data[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35944_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09076_), + .Q(\soc.core.spi_master_miso[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35945_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09077_), + .Q(\soc.core.spi_master_miso[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35946_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09078_), + .Q(\soc.core.spi_master_miso[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35947_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09079_), + .Q(\soc.core.spi_master_miso[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35948_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09080_), + .Q(\soc.core.spi_master_miso[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35949_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09081_), + .Q(\soc.core.spi_master_miso[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35950_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09082_), + .Q(\soc.core.spi_master_miso[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35951_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09083_), + .Q(\soc.core.spi_master_miso[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35952_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09084_), + .Q(\soc.core.spi_master_control_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35953_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09085_), + .Q(\soc.core.spi_master_control_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35954_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09086_), + .Q(\soc.core.spi_master_control_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35955_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09087_), + .Q(\soc.core.spi_master_control_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35956_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09088_), + .Q(\soc.core.spi_master_control_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35957_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09089_), + .Q(\soc.core.spi_master_control_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35958_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09090_), + .Q(\soc.core.spi_master_control_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35959_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09091_), + .Q(\soc.core.spi_master_control_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35960_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09092_), + .Q(\soc.core.spi_master_control_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35961_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09093_), + .Q(\soc.core.spi_master_control_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35962_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09094_), + .Q(\soc.core.spi_master_control_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35963_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09095_), + .Q(\soc.core.spi_master_control_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35964_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09096_), + .Q(\soc.core.spi_master_control_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35965_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09097_), + .Q(\soc.core.spi_master_control_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35966_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09098_), + .Q(\soc.core.spi_master_control_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35967_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09099_), + .Q(\soc.core.spi_master_control_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35968_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09100_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35969_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09101_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35970_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09102_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35971_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09103_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35972_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09104_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35973_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09105_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35974_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09106_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35975_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09107_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35976_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09108_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35977_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09109_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35978_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09110_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35979_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09111_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35980_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09112_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35981_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09113_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35982_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09114_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35983_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09115_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35984_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09116_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35985_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09117_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35986_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09118_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35987_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09119_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35988_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09120_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35989_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09121_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35990_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09122_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35991_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09123_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35992_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09124_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35993_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09125_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35994_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09126_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35995_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09127_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35996_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09128_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35997_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09129_), + .Q(\soc.core.mgmtsoc_litespimmap_burst_adr[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _35998_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09130_), + .Q(\soc.core.spi_master_control_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _35999_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09131_), + .Q(\soc.core.spi_master_cs ) + ); + sky130_fd_sc_hd__dfxtp_2 _36000_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09132_), + .Q(\soc.core.spi_master_cs_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36001_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09133_), + .Q(\soc.core.spi_master_cs_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36002_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09134_), + .Q(\soc.core.spi_master_cs_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36003_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09135_), + .Q(\soc.core.spi_master_cs_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36004_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09136_), + .Q(\soc.core.spi_master_cs_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36005_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09137_), + .Q(\soc.core.spi_master_cs_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36006_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09138_), + .Q(\soc.core.spi_master_cs_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36007_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09139_), + .Q(\soc.core.spi_master_cs_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36008_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09140_), + .Q(\soc.core.spi_master_cs_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36009_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09141_), + .Q(\soc.core.spi_master_cs_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36010_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09142_), + .Q(\soc.core.spi_master_cs_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36011_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09143_), + .Q(\soc.core.spi_master_cs_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36012_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09144_), + .Q(\soc.core.spi_master_cs_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36013_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09145_), + .Q(\soc.core.spi_master_cs_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36014_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09146_), + .Q(\soc.core.spi_master_cs_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36015_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09147_), + .Q(\soc.core.spi_master_cs_mode ) + ); + sky130_fd_sc_hd__dfxtp_2 _36016_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09148_), + .Q(\soc.core.spi_master_loopback_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36017_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09149_), + .Q(\soc.core.spi_master_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36018_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09150_), + .Q(\soc.core.spi_master_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36019_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09151_), + .Q(\soc.core.spi_master_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36020_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09152_), + .Q(\soc.core.spi_master_mosi_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36021_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09153_), + .Q(\soc.core.spi_master_mosi_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36022_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09154_), + .Q(\soc.core.spi_master_mosi_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36023_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09155_), + .Q(\soc.core.spi_master_mosi_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36024_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09156_), + .Q(\soc.core.spi_master_mosi_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36025_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09157_), + .Q(\soc.core.spi_master_mosi_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36026_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09158_), + .Q(\soc.core.spi_master_mosi_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36027_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09159_), + .Q(\soc.core.spi_master_mosi_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36028_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09160_), + .Q(\soc.core.spi_master_mosi_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36029_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09161_), + .Q(\soc.core.spi_master_mosi_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36030_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09162_), + .Q(\soc.core.spi_master_mosi_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36031_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09163_), + .Q(\soc.core.spi_master_mosi_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36032_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09164_), + .Q(\soc.core.spi_master_mosi_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36033_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09165_), + .Q(\soc.core.spi_master_mosi_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36034_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09166_), + .Q(\soc.core.spi_master_mosi_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36035_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09167_), + .Q(\soc.core.spi_master_mosi_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36036_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09168_), + .Q(\soc.core.spi_master_mosi_sel[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36037_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09169_), + .Q(\soc.core.spi_master_mosi_sel[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36038_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09170_), + .Q(\soc.core.spi_master_mosi_sel[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36039_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09171_), + .Q(\soc.core.spi_master_miso_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36040_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09172_), + .Q(\soc.core.spi_master_miso_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36041_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09173_), + .Q(\soc.core.spi_master_miso_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36042_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09174_), + .Q(\soc.core.spi_master_miso_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36043_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09175_), + .Q(\soc.core.spi_master_miso_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36044_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09176_), + .Q(\soc.core.spi_master_miso_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36045_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09177_), + .Q(\soc.core.spi_master_miso_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36046_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09178_), + .Q(\soc.core.spi_master_miso_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36047_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09179_), + .Q(\soc.core.spimaster_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36048_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09180_), + .Q(\soc.core.spimaster_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36049_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09181_), + .Q(\soc.core.spimaster_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36050_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09182_), + .Q(\soc.core.spimaster_storage[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36051_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09183_), + .Q(\soc.core.spimaster_storage[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36052_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09184_), + .Q(\soc.core.spimaster_storage[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36053_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09185_), + .Q(\soc.core.spimaster_storage[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36054_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09186_), + .Q(\soc.core.spimaster_storage[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36055_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09187_), + .Q(\soc.core.spimaster_storage[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36056_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09188_), + .Q(\soc.core.spimaster_storage[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36057_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09189_), + .Q(\soc.core.spimaster_storage[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36058_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09190_), + .Q(\soc.core.spimaster_storage[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36059_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09191_), + .Q(\soc.core.spimaster_storage[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36060_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09192_), + .Q(\soc.core.spimaster_storage[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36061_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09193_), + .Q(\soc.core.spimaster_storage[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36062_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09194_), + .Q(\soc.core.spimaster_storage[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36063_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09195_), + .Q(\soc.core.mprj_wb_iena_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36064_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09196_), + .Q(\soc.core.sys_uart_tx ) + ); + sky130_fd_sc_hd__dfxtp_2 _36065_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09197_), + .Q(\soc.core.spi_master_clk_divider1[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36066_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09198_), + .Q(\soc.core.spi_master_clk_divider1[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36067_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09199_), + .Q(\soc.core.spi_master_clk_divider1[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36068_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09200_), + .Q(\soc.core.spi_master_clk_divider1[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36069_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09201_), + .Q(\soc.core.spi_master_clk_divider1[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36070_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09202_), + .Q(\soc.core.spi_master_clk_divider1[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36071_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09203_), + .Q(\soc.core.spi_master_clk_divider1[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36072_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09204_), + .Q(\soc.core.spi_master_clk_divider1[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36073_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09205_), + .Q(\soc.core.spi_master_clk_divider1[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36074_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09206_), + .Q(\soc.core.spi_master_clk_divider1[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36075_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09207_), + .Q(\soc.core.spi_master_clk_divider1[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36076_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09208_), + .Q(\soc.core.spi_master_clk_divider1[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36077_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09209_), + .Q(\soc.core.spi_master_clk_divider1[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36078_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09210_), + .Q(\soc.core.spi_master_clk_divider1[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36079_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09211_), + .Q(\soc.core.spi_master_clk_divider1[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36080_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09212_), + .Q(\soc.core.spi_master_clk_divider1[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36081_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09213_), + .Q(\soc.core.uart_phy_tx_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36082_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09214_), + .Q(\soc.core.uart_phy_tx_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36083_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09215_), + .Q(\soc.core.uart_phy_tx_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36084_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09216_), + .Q(\soc.core.uart_phy_tx_count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36085_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09217_), + .Q(\soc.core.uart_phy_tx_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36086_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09218_), + .Q(\soc.core.uart_phy_tx_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36087_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09219_), + .Q(\soc.core.uart_phy_tx_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36088_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09220_), + .Q(\soc.core.uart_phy_tx_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36089_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09221_), + .Q(\soc.core.uart_phy_tx_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36090_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09222_), + .Q(\soc.core.uart_phy_tx_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36091_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09223_), + .Q(\soc.core.uart_phy_tx_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36092_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09224_), + .Q(\soc.core.uart_phy_tx_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36093_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09225_), + .Q(\soc.core.uart_phy_tx_tick ) + ); + sky130_fd_sc_hd__dfxtp_2 _36094_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09226_), + .Q(\soc.core.uart_phy_rx_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36095_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09227_), + .Q(\soc.core.uart_phy_rx_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36096_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09228_), + .Q(\soc.core.uart_phy_rx_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36097_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09229_), + .Q(\soc.core.uart_phy_rx_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36098_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09230_), + .Q(\soc.core.uart_phy_rx_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36099_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09231_), + .Q(\soc.core.uart_phy_rx_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36100_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09232_), + .Q(\soc.core.uart_phy_rx_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36101_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09233_), + .Q(\soc.core.uart_phy_rx_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36102_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09234_), + .Q(\soc.core.uart_phy_rx_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36103_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09235_), + .Q(\soc.core.uart_phy_rx_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36104_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09236_), + .Q(\soc.core.uart_phy_rx_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36105_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09237_), + .Q(\soc.core.uart_phy_rx_count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36106_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09238_), + .Q(\soc.core.uart_phy_rx_tick ) + ); + sky130_fd_sc_hd__dfxtp_2 _36107_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09239_), + .Q(\soc.core.uart_tx_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _36108_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09240_), + .Q(\soc.core.uart_phy_rx_rx_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36109_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09241_), + .Q(\soc.core.uart_rx_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _36110_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09242_), + .Q(\soc.core.uart_tx_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36111_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09243_), + .Q(\soc.core.uart_rx_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36112_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09244_), + .Q(\soc.core.uart_pending_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36113_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09245_), + .Q(\soc.core.uart_pending_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36114_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09246_), + .Q(\soc.core.uart_tx2 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36115_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09247_), + .Q(\soc.core.uart_rx2 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36116_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09248_), + .Q(\soc.core.uart_tx_fifo_readable ) + ); + sky130_fd_sc_hd__dfxtp_2 _36117_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09249_), + .Q(\soc.core.uart_tx_fifo_level0[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36118_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09250_), + .Q(\soc.core.uart_tx_fifo_level0[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36119_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09251_), + .Q(\soc.core.uart_tx_fifo_level0[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36120_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09252_), + .Q(\soc.core.uart_tx_fifo_level0[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36121_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09253_), + .Q(\soc.core.uart_tx_fifo_level0[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36122_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09254_), + .Q(\soc.core.uart_tx_fifo_produce[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36123_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09255_), + .Q(\soc.core.uart_tx_fifo_produce[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36124_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09256_), + .Q(\soc.core.uart_tx_fifo_produce[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36125_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09257_), + .Q(\soc.core.uart_tx_fifo_produce[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36126_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09258_), + .Q(\soc.core.uart_tx_fifo_consume[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36127_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09259_), + .Q(\soc.core.uart_tx_fifo_consume[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36128_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09260_), + .Q(\soc.core.uart_tx_fifo_consume[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36129_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09261_), + .Q(\soc.core.uart_tx_fifo_consume[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36130_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09262_), + .Q(\soc.core.uart_rx_fifo_readable ) + ); + sky130_fd_sc_hd__dfxtp_2 _36131_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09263_), + .Q(\soc.core.uart_rx_fifo_level0[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36132_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09264_), + .Q(\soc.core.uart_rx_fifo_level0[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36133_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09265_), + .Q(\soc.core.uart_rx_fifo_level0[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36134_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09266_), + .Q(\soc.core.uart_rx_fifo_level0[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36135_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09267_), + .Q(\soc.core.uart_rx_fifo_level0[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36136_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09268_), + .Q(\soc.core.uart_rx_fifo_produce[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36137_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09269_), + .Q(\soc.core.uart_rx_fifo_produce[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36138_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09270_), + .Q(\soc.core.uart_rx_fifo_produce[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36139_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09271_), + .Q(\soc.core.uart_rx_fifo_produce[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36140_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09272_), + .Q(\soc.core.uart_rx_fifo_consume[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36141_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09273_), + .Q(\soc.core.uart_rx_fifo_consume[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36142_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09274_), + .Q(\soc.core.uart_rx_fifo_consume[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36143_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09275_), + .Q(\soc.core.uart_rx_fifo_consume[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36144_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09276_), + .Q(\soc.core.dbg_uart_dbg_uart_tx ) + ); + sky130_fd_sc_hd__dfxtp_2 _36145_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09277_), + .Q(\soc.core.dbg_uart_tx_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36146_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09278_), + .Q(\soc.core.dbg_uart_tx_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36147_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09279_), + .Q(\soc.core.dbg_uart_tx_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36148_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09280_), + .Q(\soc.core.dbg_uart_tx_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36149_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09281_), + .Q(\soc.core.dbg_uart_tx_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36150_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09282_), + .Q(\soc.core.dbg_uart_tx_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36151_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09283_), + .Q(\soc.core.dbg_uart_tx_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36152_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09284_), + .Q(\soc.core.dbg_uart_tx_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36153_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09285_), + .Q(\soc.core.dbg_uart_tx_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36154_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09286_), + .Q(\soc.core.dbg_uart_tx_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36155_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09287_), + .Q(\soc.core.dbg_uart_tx_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36156_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09288_), + .Q(\soc.core.dbg_uart_tx_count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36157_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09289_), + .Q(\soc.core.uart_pending_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _36158_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09290_), + .Q(\soc.core.dbg_uart_tx_tick ) + ); + sky130_fd_sc_hd__dfxtp_2 _36159_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09291_), + .Q(\soc.core.dbg_uart_rx_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36160_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09292_), + .Q(\soc.core.dbg_uart_rx_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36161_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09293_), + .Q(\soc.core.dbg_uart_rx_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36162_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09294_), + .Q(\soc.core.dbg_uart_rx_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36163_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09295_), + .Q(\soc.core.dbg_uart_rx_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36164_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09296_), + .Q(\soc.core.dbg_uart_rx_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36165_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09297_), + .Q(\soc.core.dbg_uart_rx_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36166_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09298_), + .Q(\soc.core.dbg_uart_rx_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36167_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09299_), + .Q(\soc.core.dbg_uart_rx_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36168_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09300_), + .Q(\soc.core.dbg_uart_rx_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36169_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09301_), + .Q(\soc.core.dbg_uart_rx_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36170_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09302_), + .Q(\soc.core.dbg_uart_rx_count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36171_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09303_), + .Q(\soc.core.dbg_uart_tx_phase[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36172_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09304_), + .Q(\soc.core.dbg_uart_tx_phase[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36173_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09305_), + .Q(\soc.core.dbg_uart_tx_phase[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36174_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09306_), + .Q(\soc.core.dbg_uart_tx_phase[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36175_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09307_), + .Q(\soc.core.dbg_uart_tx_phase[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36176_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09308_), + .Q(\soc.core.dbg_uart_tx_phase[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36177_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09309_), + .Q(\soc.core.dbg_uart_tx_phase[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36178_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09310_), + .Q(\soc.core.dbg_uart_tx_phase[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36179_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09311_), + .Q(\soc.core.dbg_uart_tx_phase[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36180_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09312_), + .Q(\soc.core.dbg_uart_tx_phase[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36181_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09313_), + .Q(\soc.core.dbg_uart_tx_phase[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36182_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09314_), + .Q(\soc.core.dbg_uart_tx_phase[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36183_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09315_), + .Q(\soc.core.dbg_uart_tx_phase[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36184_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09316_), + .Q(\soc.core.dbg_uart_tx_phase[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36185_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09317_), + .Q(\soc.core.dbg_uart_tx_phase[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36186_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09318_), + .Q(\soc.core.dbg_uart_tx_phase[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36187_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09319_), + .Q(\soc.core.dbg_uart_rx_tick ) + ); + sky130_fd_sc_hd__dfxtp_2 _36188_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09320_), + .Q(\soc.core.dbg_uart_rx_phase[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36189_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09321_), + .Q(\soc.core.dbg_uart_cmd[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36190_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09322_), + .Q(\soc.core.dbg_uart_cmd[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36191_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09323_), + .Q(\soc.core.dbg_uart_cmd[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36192_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09324_), + .Q(\soc.core.dbg_uart_cmd[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36193_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09325_), + .Q(\soc.core.dbg_uart_cmd[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36194_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09326_), + .Q(\soc.core.dbg_uart_cmd[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36195_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09327_), + .Q(\soc.core.dbg_uart_cmd[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36196_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09328_), + .Q(\soc.core.dbg_uart_cmd[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36197_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09329_), + .Q(\soc.core.dbg_uart_incr ) + ); + sky130_fd_sc_hd__dfxtp_2 _36198_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09330_), + .Q(\soc.core.dbg_uart_length[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36199_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09331_), + .Q(\soc.core.dbg_uart_length[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36200_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09332_), + .Q(\soc.core.dbg_uart_length[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36201_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09333_), + .Q(\soc.core.dbg_uart_length[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36202_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09334_), + .Q(\soc.core.dbg_uart_length[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36203_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09335_), + .Q(\soc.core.dbg_uart_length[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36204_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09336_), + .Q(\soc.core.dbg_uart_length[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36205_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09337_), + .Q(\soc.core.dbg_uart_length[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36206_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09338_), + .Q(\soc.core.dbg_uart_address[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36207_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09339_), + .Q(\soc.core.dbg_uart_address[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36208_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09340_), + .Q(\soc.core.dbg_uart_address[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36209_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09341_), + .Q(\soc.core.dbg_uart_address[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36210_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09342_), + .Q(\soc.core.dbg_uart_address[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36211_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09343_), + .Q(\soc.core.dbg_uart_address[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36212_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09344_), + .Q(\soc.core.dbg_uart_address[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36213_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09345_), + .Q(\soc.core.dbg_uart_address[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36214_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09346_), + .Q(\soc.core.dbg_uart_address[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36215_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09347_), + .Q(\soc.core.dbg_uart_address[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36216_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09348_), + .Q(\soc.core.dbg_uart_address[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36217_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09349_), + .Q(\soc.core.dbg_uart_address[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36218_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09350_), + .Q(\soc.core.dbg_uart_address[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36219_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09351_), + .Q(\soc.core.dbg_uart_address[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36220_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09352_), + .Q(\soc.core.dbg_uart_address[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36221_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09353_), + .Q(\soc.core.dbg_uart_address[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36222_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09354_), + .Q(\soc.core.dbg_uart_address[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36223_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09355_), + .Q(\soc.core.dbg_uart_address[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36224_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09356_), + .Q(\soc.core.dbg_uart_address[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36225_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09357_), + .Q(\soc.core.dbg_uart_address[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36226_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09358_), + .Q(\soc.core.dbg_uart_address[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36227_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09359_), + .Q(\soc.core.dbg_uart_address[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36228_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09360_), + .Q(\soc.core.dbg_uart_address[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36229_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09361_), + .Q(\soc.core.dbg_uart_address[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36230_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09362_), + .Q(\soc.core.dbg_uart_address[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36231_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09363_), + .Q(\soc.core.dbg_uart_address[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36232_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09364_), + .Q(\soc.core.dbg_uart_address[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36233_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09365_), + .Q(\soc.core.dbg_uart_address[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36234_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09366_), + .Q(\soc.core.dbg_uart_address[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36235_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09367_), + .Q(\soc.core.dbg_uart_address[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36236_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09368_), + .Q(\soc.core.dbg_uart_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36237_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09369_), + .Q(\soc.core.dbg_uart_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36238_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09370_), + .Q(\soc.core.dbg_uart_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36239_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09371_), + .Q(\soc.core.dbg_uart_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36240_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09372_), + .Q(\soc.core.dbg_uart_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36241_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09373_), + .Q(\soc.core.dbg_uart_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36242_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09374_), + .Q(\soc.core.dbg_uart_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36243_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09375_), + .Q(\soc.core.dbg_uart_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36244_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09376_), + .Q(\soc.core.dbg_uart_data[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36245_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09377_), + .Q(\soc.core.dbg_uart_data[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36246_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09378_), + .Q(\soc.core.dbg_uart_data[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36247_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09379_), + .Q(\soc.core.dbg_uart_data[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36248_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09380_), + .Q(\soc.core.dbg_uart_data[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36249_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09381_), + .Q(\soc.core.dbg_uart_data[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36250_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09382_), + .Q(\soc.core.dbg_uart_data[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36251_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09383_), + .Q(\soc.core.dbg_uart_data[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36252_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09384_), + .Q(\soc.core.dbg_uart_data[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36253_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09385_), + .Q(\soc.core.dbg_uart_data[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36254_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09386_), + .Q(\soc.core.dbg_uart_data[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36255_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09387_), + .Q(\soc.core.dbg_uart_data[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36256_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09388_), + .Q(\soc.core.dbg_uart_data[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36257_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09389_), + .Q(\soc.core.dbg_uart_data[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36258_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09390_), + .Q(\soc.core.dbg_uart_data[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36259_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09391_), + .Q(\soc.core.dbg_uart_data[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36260_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09392_), + .Q(\soc.core.dbg_uart_data[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36261_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09393_), + .Q(\soc.core.dbg_uart_data[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36262_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09394_), + .Q(\soc.core.dbg_uart_data[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36263_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09395_), + .Q(\soc.core.dbg_uart_data[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36264_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09396_), + .Q(\soc.core.dbg_uart_data[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36265_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09397_), + .Q(\soc.core.dbg_uart_data[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36266_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09398_), + .Q(\soc.core.dbg_uart_data[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36267_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09399_), + .Q(\soc.core.dbg_uart_data[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36268_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09400_), + .Q(\soc.core.dbg_uart_bytes_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36269_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09401_), + .Q(\soc.core.dbg_uart_bytes_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36270_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09402_), + .Q(\soc.core.dbg_uart_words_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36271_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09403_), + .Q(\soc.core.dbg_uart_words_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36272_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09404_), + .Q(\soc.core.dbg_uart_words_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36273_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09405_), + .Q(\soc.core.dbg_uart_words_count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36274_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09406_), + .Q(\soc.core.dbg_uart_words_count[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36275_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09407_), + .Q(\soc.core.dbg_uart_words_count[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36276_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09408_), + .Q(\soc.core.dbg_uart_words_count[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36277_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09409_), + .Q(\soc.core.dbg_uart_words_count[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36278_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09410_), + .Q(\soc.core.dbg_uart_count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36279_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09411_), + .Q(\soc.core.dbg_uart_count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36280_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09412_), + .Q(\soc.core.dbg_uart_count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36281_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09413_), + .Q(\soc.core.dbg_uart_count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36282_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09414_), + .Q(\soc.core.dbg_uart_count[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36283_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09415_), + .Q(\soc.core.dbg_uart_count[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36284_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09416_), + .Q(\soc.core.dbg_uart_count[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36285_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09417_), + .Q(\soc.core.dbg_uart_count[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36286_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09418_), + .Q(\soc.core.dbg_uart_count[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36287_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09419_), + .Q(\soc.core.dbg_uart_count[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36288_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09420_), + .Q(\soc.core.dbg_uart_count[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36289_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09421_), + .Q(\soc.core.dbg_uart_count[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36290_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09422_), + .Q(\soc.core.dbg_uart_count[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36291_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09423_), + .Q(\soc.core.dbg_uart_count[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36292_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09424_), + .Q(\soc.core.dbg_uart_count[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36293_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09425_), + .Q(\soc.core.dbg_uart_count[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36294_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09426_), + .Q(\soc.core.dbg_uart_count[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36295_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09427_), + .Q(\soc.core.dbg_uart_count[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36296_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09428_), + .Q(\soc.core.dbg_uart_count[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36297_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09429_), + .Q(\soc.core.dbg_uart_count[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36298_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09430_), + .Q(\soc.core.debug_oeb_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36299_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09431_), + .Q(\soc.core.debug_mode_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36300_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09432_), + .Q(\soc.core.uart_enabled_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36301_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09433_), + .Q(gpio_mode1_core) + ); + sky130_fd_sc_hd__dfxtp_2 _36302_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09434_), + .Q(gpio_mode0_core) + ); + sky130_fd_sc_hd__dfxtp_2 _36303_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09435_), + .Q(\soc.core.gpio_ien_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36304_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09436_), + .Q(\soc.core.gpio_oe_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36305_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09437_), + .Q(gpio_out_core) + ); + sky130_fd_sc_hd__dfxtp_2 _36306_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09438_), + .Q(\soc.core.la_ien_storage[96] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36307_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09439_), + .Q(\soc.core.la_ien_storage[97] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36308_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09440_), + .Q(\soc.core.la_ien_storage[98] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36309_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09441_), + .Q(\soc.core.la_ien_storage[99] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36310_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09442_), + .Q(\soc.core.la_ien_storage[100] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36311_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09443_), + .Q(\soc.core.la_ien_storage[101] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36312_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09444_), + .Q(\soc.core.la_ien_storage[102] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36313_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09445_), + .Q(\soc.core.la_ien_storage[103] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36314_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09446_), + .Q(\soc.core.la_ien_storage[104] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36315_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09447_), + .Q(\soc.core.la_ien_storage[105] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36316_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09448_), + .Q(\soc.core.la_ien_storage[106] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36317_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09449_), + .Q(\soc.core.la_ien_storage[107] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36318_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09450_), + .Q(\soc.core.la_ien_storage[108] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36319_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09451_), + .Q(\soc.core.la_ien_storage[109] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36320_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09452_), + .Q(\soc.core.la_ien_storage[110] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36321_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09453_), + .Q(\soc.core.la_ien_storage[111] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36322_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09454_), + .Q(\soc.core.la_ien_storage[112] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36323_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09455_), + .Q(\soc.core.la_ien_storage[113] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36324_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09456_), + .Q(\soc.core.la_ien_storage[114] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36325_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09457_), + .Q(\soc.core.la_ien_storage[115] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36326_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09458_), + .Q(\soc.core.la_ien_storage[116] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36327_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09459_), + .Q(\soc.core.la_ien_storage[117] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36328_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09460_), + .Q(\soc.core.la_ien_storage[118] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36329_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09461_), + .Q(\soc.core.la_ien_storage[119] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36330_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09462_), + .Q(\soc.core.la_ien_storage[120] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36331_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09463_), + .Q(\soc.core.la_ien_storage[121] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36332_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09464_), + .Q(\soc.core.la_ien_storage[122] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36333_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09465_), + .Q(\soc.core.la_ien_storage[123] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36334_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09466_), + .Q(\soc.core.la_ien_storage[124] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36335_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09467_), + .Q(\soc.core.la_ien_storage[125] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36336_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09468_), + .Q(\soc.core.la_ien_storage[126] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36337_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09469_), + .Q(\soc.core.la_ien_storage[127] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36338_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09470_), + .Q(\soc.core.la_oe_storage[96] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36339_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09471_), + .Q(\soc.core.la_oe_storage[97] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36340_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09472_), + .Q(\soc.core.la_oe_storage[98] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36341_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09473_), + .Q(\soc.core.la_oe_storage[99] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36342_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09474_), + .Q(\soc.core.la_oe_storage[100] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36343_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09475_), + .Q(\soc.core.la_oe_storage[101] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36344_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09476_), + .Q(\soc.core.la_oe_storage[102] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36345_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09477_), + .Q(\soc.core.la_oe_storage[103] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36346_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09478_), + .Q(\soc.core.la_oe_storage[104] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36347_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09479_), + .Q(\soc.core.la_oe_storage[105] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36348_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09480_), + .Q(\soc.core.la_oe_storage[106] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36349_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09481_), + .Q(\soc.core.la_oe_storage[107] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36350_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09482_), + .Q(\soc.core.la_oe_storage[108] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36351_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09483_), + .Q(\soc.core.la_oe_storage[109] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36352_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09484_), + .Q(\soc.core.la_oe_storage[110] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36353_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09485_), + .Q(\soc.core.la_oe_storage[111] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36354_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09486_), + .Q(\soc.core.la_oe_storage[112] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36355_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09487_), + .Q(\soc.core.la_oe_storage[113] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36356_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09488_), + .Q(\soc.core.la_oe_storage[114] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36357_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09489_), + .Q(\soc.core.la_oe_storage[115] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36358_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09490_), + .Q(\soc.core.la_oe_storage[116] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36359_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09491_), + .Q(\soc.core.la_oe_storage[117] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36360_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09492_), + .Q(\soc.core.la_oe_storage[118] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36361_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09493_), + .Q(\soc.core.la_oe_storage[119] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36362_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09494_), + .Q(\soc.core.la_oe_storage[120] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36363_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09495_), + .Q(\soc.core.la_oe_storage[121] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36364_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09496_), + .Q(\soc.core.la_oe_storage[122] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36365_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09497_), + .Q(\soc.core.la_oe_storage[123] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36366_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09498_), + .Q(\soc.core.la_oe_storage[124] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36367_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09499_), + .Q(\soc.core.la_oe_storage[125] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36368_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09500_), + .Q(\soc.core.la_oe_storage[126] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36369_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09501_), + .Q(\soc.core.la_oe_storage[127] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36370_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09502_), + .Q(\soc.core.la_out_storage[96] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36371_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09503_), + .Q(\soc.core.la_out_storage[97] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36372_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09504_), + .Q(\soc.core.la_out_storage[98] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36373_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09505_), + .Q(\soc.core.la_out_storage[99] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36374_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09506_), + .Q(\soc.core.la_out_storage[100] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36375_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09507_), + .Q(\soc.core.la_out_storage[101] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36376_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09508_), + .Q(\soc.core.la_out_storage[102] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36377_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09509_), + .Q(\soc.core.la_out_storage[103] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36378_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09510_), + .Q(\soc.core.la_out_storage[104] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36379_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09511_), + .Q(\soc.core.la_out_storage[105] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36380_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09512_), + .Q(\soc.core.la_out_storage[106] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36381_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09513_), + .Q(\soc.core.la_out_storage[107] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36382_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09514_), + .Q(\soc.core.la_out_storage[108] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36383_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09515_), + .Q(\soc.core.la_out_storage[109] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36384_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09516_), + .Q(\soc.core.la_out_storage[110] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36385_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09517_), + .Q(\soc.core.la_out_storage[111] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36386_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09518_), + .Q(\soc.core.la_out_storage[112] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36387_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09519_), + .Q(\soc.core.la_out_storage[113] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36388_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09520_), + .Q(\soc.core.la_out_storage[114] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36389_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09521_), + .Q(\soc.core.la_out_storage[115] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36390_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09522_), + .Q(\soc.core.la_out_storage[116] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36391_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09523_), + .Q(\soc.core.la_out_storage[117] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36392_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09524_), + .Q(\soc.core.la_out_storage[118] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36393_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09525_), + .Q(\soc.core.la_out_storage[119] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36394_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09526_), + .Q(\soc.core.la_out_storage[120] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36395_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09527_), + .Q(\soc.core.la_out_storage[121] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36396_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09528_), + .Q(\soc.core.la_out_storage[122] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36397_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09529_), + .Q(\soc.core.la_out_storage[123] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36398_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09530_), + .Q(\soc.core.la_out_storage[124] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36399_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09531_), + .Q(\soc.core.la_out_storage[125] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36400_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09532_), + .Q(\soc.core.la_out_storage[126] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36401_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09533_), + .Q(\soc.core.la_out_storage[127] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36402_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09534_), + .Q(\soc.core.spi_enabled_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36403_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09535_), + .Q(\soc.core.user_irq_ena_storage[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36404_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09536_), + .Q(\soc.core.user_irq_ena_storage[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36405_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09537_), + .Q(\soc.core.user_irq_ena_storage[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36406_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09538_), + .Q(\soc.core.gpioin0_gpioin0_mode_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36407_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09539_), + .Q(\soc.core.gpioin0_gpioin0_edge_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36408_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09540_), + .Q(\soc.core.dbg_uart_rx_rx_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36409_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09541_), + .Q(\soc.core.gpioin0_gpioin0_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _36410_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09542_), + .Q(\soc.core.gpioin0_gpioin0_in_pads_n_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36411_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09543_), + .Q(\soc.core.gpioin1_gpioin1_mode_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36412_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09544_), + .Q(\soc.core.gpioin1_gpioin1_edge_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36413_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09545_), + .Q(\soc.core.gpioin0_gpioin0_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36414_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09546_), + .Q(\soc.core.gpioin1_gpioin1_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _36415_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09547_), + .Q(\soc.core.gpioin1_gpioin1_in_pads_n_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36416_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09548_), + .Q(\soc.core.gpioin2_gpioin2_mode_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36417_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09549_), + .Q(\soc.core.gpioin2_gpioin2_edge_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36418_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09550_), + .Q(\soc.core.gpioin1_gpioin1_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36419_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09551_), + .Q(\soc.core.gpioin2_gpioin2_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _36420_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09552_), + .Q(\soc.core.gpioin2_gpioin2_in_pads_n_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36421_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09553_), + .Q(\soc.core.gpioin3_gpioin3_mode_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36422_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09554_), + .Q(\soc.core.gpioin3_gpioin3_edge_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36423_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09555_), + .Q(\soc.core.gpioin2_gpioin2_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36424_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09556_), + .Q(\soc.core.gpioin3_gpioin3_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _36425_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09557_), + .Q(\soc.core.gpioin3_gpioin3_in_pads_n_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36426_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09558_), + .Q(\soc.core.gpioin4_gpioin4_mode_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36427_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09559_), + .Q(\soc.core.gpioin4_gpioin4_edge_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36428_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09560_), + .Q(\soc.core.gpioin3_gpioin3_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36429_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09561_), + .Q(\soc.core.gpioin4_gpioin4_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _36430_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09562_), + .Q(\soc.core.gpioin4_gpioin4_in_pads_n_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36431_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09563_), + .Q(\soc.core.gpioin5_gpioin5_mode_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36432_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09564_), + .Q(\soc.core.gpioin5_gpioin5_edge_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36433_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09565_), + .Q(\soc.core.gpioin4_gpioin4_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36434_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09566_), + .Q(\soc.core.gpioin5_gpioin5_pending ) + ); + sky130_fd_sc_hd__dfxtp_2 _36435_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09567_), + .Q(\soc.core.gpioin5_gpioin5_in_pads_n_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36436_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09568_), + .Q(\soc.core.litespiphy_state[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36437_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09569_), + .Q(\soc.core.litespiphy_state[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36438_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09570_), + .Q(\soc.core.gpioin5_gpioin5_trigger_d ) + ); + sky130_fd_sc_hd__dfxtp_2 _36439_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09571_), + .Q(\soc.core.litespi_state[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36440_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09572_), + .Q(\soc.core.litespi_state[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36441_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09573_), + .Q(\soc.core.litespi_state[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36442_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09574_), + .Q(\soc.core.litespi_state[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36443_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09575_), + .Q(\soc.core.spimaster_state[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36444_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09576_), + .Q(\soc.core.spimaster_state[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36445_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09577_), + .Q(\soc.core.litespi_grant ) + ); + sky130_fd_sc_hd__dfxtp_2 _36446_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09578_), + .Q(\soc.core.rs232phy_rs232phytx_state ) + ); + sky130_fd_sc_hd__dfxtp_2 _36447_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09579_), + .Q(\soc.core.rs232phy_rs232phyrx_state ) + ); + sky130_fd_sc_hd__dfxtp_2 _36448_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09580_), + .Q(\soc.core.uartwishbonebridge_rs232phytx_state ) + ); + sky130_fd_sc_hd__dfxtp_2 _36449_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09581_), + .Q(\soc.core.uartwishbonebridge_state[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36450_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09582_), + .Q(\soc.core.uartwishbonebridge_state[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36451_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09583_), + .Q(\soc.core.uartwishbonebridge_state[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36452_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09584_), + .Q(\soc.core.uartwishbonebridge_rs232phyrx_state ) + ); + sky130_fd_sc_hd__dfxtp_2 _36453_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09585_), + .Q(\soc.core.gpioin0_pending_r ) + ); + sky130_fd_sc_hd__dfxtp_2 _36454_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09586_), + .Q(\soc.core.gpioin0_enable_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36455_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09587_), + .Q(\soc.core.gpioin0_pending_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _36456_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09588_), + .Q(\soc.core.gpioin1_pending_r ) + ); + sky130_fd_sc_hd__dfxtp_2 _36457_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09589_), + .Q(\soc.core.gpioin1_enable_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36458_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09590_), + .Q(\soc.core.gpioin1_pending_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _36459_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09591_), + .Q(\soc.core.gpioin2_pending_r ) + ); + sky130_fd_sc_hd__dfxtp_2 _36460_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09592_), + .Q(\soc.core.gpioin2_enable_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36461_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09593_), + .Q(\soc.core.gpioin2_pending_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _36462_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09594_), + .Q(\soc.core.gpioin3_pending_r ) + ); + sky130_fd_sc_hd__dfxtp_2 _36463_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09595_), + .Q(\soc.core.gpioin3_enable_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36464_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09596_), + .Q(\soc.core.gpioin3_pending_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _36465_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09597_), + .Q(\soc.core.gpioin4_pending_r ) + ); + sky130_fd_sc_hd__dfxtp_2 _36466_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09598_), + .Q(\soc.core.gpioin4_enable_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36467_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09599_), + .Q(\soc.core.gpioin4_pending_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _36468_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09600_), + .Q(\soc.core.gpioin5_pending_r ) + ); + sky130_fd_sc_hd__dfxtp_2 _36469_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09601_), + .Q(\soc.core.gpioin5_enable_storage ) + ); + sky130_fd_sc_hd__dfxtp_2 _36470_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09602_), + .Q(\soc.core.grant[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36471_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09603_), + .Q(\soc.core.grant[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36472_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09604_), + .Q(\soc.core.gpioin5_pending_re ) + ); + sky130_fd_sc_hd__dfxtp_2 _36473_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09605_), + .Q(\soc.core.count[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36474_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09606_), + .Q(\soc.core.count[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36475_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09607_), + .Q(\soc.core.count[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36476_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09608_), + .Q(\soc.core.count[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36477_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09609_), + .Q(\soc.core.count[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36478_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09610_), + .Q(\soc.core.count[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36479_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09611_), + .Q(\soc.core.count[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36480_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09612_), + .Q(\soc.core.count[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36481_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09613_), + .Q(\soc.core.count[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36482_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09614_), + .Q(\soc.core.count[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36483_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09615_), + .Q(\soc.core.count[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36484_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09616_), + .Q(\soc.core.count[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36485_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09617_), + .Q(\soc.core.count[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36486_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09618_), + .Q(\soc.core.count[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36487_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09619_), + .Q(\soc.core.count[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36488_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09620_), + .Q(\soc.core.count[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36489_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09621_), + .Q(\soc.core.count[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36490_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09622_), + .Q(\soc.core.count[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36491_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09623_), + .Q(\soc.core.count[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36492_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09624_), + .Q(\soc.core.count[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36493_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09625_), + .Q(\soc.core.slave_sel_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36494_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09626_), + .Q(\soc.core.slave_sel_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36495_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09627_), + .Q(\soc.core.slave_sel_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36496_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09628_), + .Q(\soc.core.slave_sel_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36497_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09629_), + .Q(\soc.core.slave_sel_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36498_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09630_), + .Q(\soc.core.slave_sel_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36499_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09631_), + .Q(\soc.core.slave_sel_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36500_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09632_), + .Q(\soc.core.interface0_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36501_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09633_), + .Q(\soc.core.interface0_bank_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36502_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09634_), + .Q(\soc.core.interface0_bank_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36503_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09635_), + .Q(\soc.core.interface0_bank_bus_dat_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36504_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09636_), + .Q(\soc.core.interface0_bank_bus_dat_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36505_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09637_), + .Q(\soc.core.interface0_bank_bus_dat_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36506_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09638_), + .Q(\soc.core.interface0_bank_bus_dat_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36507_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09639_), + .Q(\soc.core.interface0_bank_bus_dat_r[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36508_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09640_), + .Q(\soc.core.interface0_bank_bus_dat_r[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36509_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09641_), + .Q(\soc.core.interface0_bank_bus_dat_r[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36510_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09642_), + .Q(\soc.core.interface0_bank_bus_dat_r[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36511_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09643_), + .Q(\soc.core.interface0_bank_bus_dat_r[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36512_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09644_), + .Q(\soc.core.interface0_bank_bus_dat_r[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36513_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09645_), + .Q(\soc.core.interface0_bank_bus_dat_r[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36514_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09646_), + .Q(\soc.core.interface0_bank_bus_dat_r[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36515_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09647_), + .Q(\soc.core.interface0_bank_bus_dat_r[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36516_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09648_), + .Q(\soc.core.interface0_bank_bus_dat_r[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36517_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09649_), + .Q(\soc.core.interface0_bank_bus_dat_r[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36518_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09650_), + .Q(\soc.core.interface0_bank_bus_dat_r[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36519_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09651_), + .Q(\soc.core.interface0_bank_bus_dat_r[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36520_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09652_), + .Q(\soc.core.interface0_bank_bus_dat_r[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36521_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09653_), + .Q(\soc.core.interface0_bank_bus_dat_r[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36522_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09654_), + .Q(\soc.core.interface0_bank_bus_dat_r[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36523_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09655_), + .Q(\soc.core.interface0_bank_bus_dat_r[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36524_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09656_), + .Q(\soc.core.interface0_bank_bus_dat_r[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36525_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09657_), + .Q(\soc.core.interface0_bank_bus_dat_r[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36526_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09658_), + .Q(\soc.core.interface0_bank_bus_dat_r[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36527_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09659_), + .Q(\soc.core.interface0_bank_bus_dat_r[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36528_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09660_), + .Q(\soc.core.interface0_bank_bus_dat_r[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36529_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09661_), + .Q(\soc.core.interface0_bank_bus_dat_r[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36530_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09662_), + .Q(\soc.core.interface0_bank_bus_dat_r[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36531_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09663_), + .Q(\soc.core.interface0_bank_bus_dat_r[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36532_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09664_), + .Q(\soc.core.interface3_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36533_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09665_), + .Q(\soc.core.interface3_bank_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36534_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09666_), + .Q(\soc.core.interface3_bank_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36535_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09667_), + .Q(\soc.core.interface3_bank_bus_dat_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36536_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09668_), + .Q(\soc.core.interface3_bank_bus_dat_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36537_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09669_), + .Q(\soc.core.interface3_bank_bus_dat_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36538_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09670_), + .Q(\soc.core.interface3_bank_bus_dat_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36539_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09671_), + .Q(\soc.core.interface3_bank_bus_dat_r[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36540_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09672_), + .Q(\soc.core.interface3_bank_bus_dat_r[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36541_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09673_), + .Q(\soc.core.interface3_bank_bus_dat_r[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36542_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09674_), + .Q(\soc.core.interface3_bank_bus_dat_r[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36543_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09675_), + .Q(\soc.core.interface3_bank_bus_dat_r[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36544_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09676_), + .Q(\soc.core.interface3_bank_bus_dat_r[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36545_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09677_), + .Q(\soc.core.interface3_bank_bus_dat_r[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36546_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09678_), + .Q(\soc.core.interface3_bank_bus_dat_r[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36547_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09679_), + .Q(\soc.core.interface3_bank_bus_dat_r[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36548_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09680_), + .Q(\soc.core.interface3_bank_bus_dat_r[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36549_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09681_), + .Q(\soc.core.interface3_bank_bus_dat_r[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36550_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09682_), + .Q(\soc.core.interface3_bank_bus_dat_r[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36551_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09683_), + .Q(\soc.core.interface3_bank_bus_dat_r[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36552_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09684_), + .Q(\soc.core.interface3_bank_bus_dat_r[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36553_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09685_), + .Q(\soc.core.interface3_bank_bus_dat_r[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36554_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09686_), + .Q(\soc.core.interface3_bank_bus_dat_r[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36555_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09687_), + .Q(\soc.core.interface3_bank_bus_dat_r[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36556_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09688_), + .Q(\soc.core.interface3_bank_bus_dat_r[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36557_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09689_), + .Q(\soc.core.interface3_bank_bus_dat_r[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36558_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09690_), + .Q(\soc.core.interface3_bank_bus_dat_r[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36559_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09691_), + .Q(\soc.core.interface3_bank_bus_dat_r[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36560_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09692_), + .Q(\soc.core.interface3_bank_bus_dat_r[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36561_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09693_), + .Q(\soc.core.interface3_bank_bus_dat_r[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36562_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09694_), + .Q(\soc.core.interface3_bank_bus_dat_r[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36563_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09695_), + .Q(\soc.core.interface3_bank_bus_dat_r[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36564_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09696_), + .Q(\soc.core.interface5_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36565_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09697_), + .Q(\soc.core.interface6_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36566_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09698_), + .Q(\soc.core.interface6_bank_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36567_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09699_), + .Q(\soc.core.interface6_bank_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36568_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09700_), + .Q(\soc.core.interface6_bank_bus_dat_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36569_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09701_), + .Q(\soc.core.interface6_bank_bus_dat_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36570_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09702_), + .Q(\soc.core.interface6_bank_bus_dat_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36571_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09703_), + .Q(\soc.core.interface6_bank_bus_dat_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36572_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09704_), + .Q(\soc.core.interface6_bank_bus_dat_r[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36573_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09705_), + .Q(\soc.core.interface6_bank_bus_dat_r[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36574_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09706_), + .Q(\soc.core.interface6_bank_bus_dat_r[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36575_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09707_), + .Q(\soc.core.interface6_bank_bus_dat_r[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36576_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09708_), + .Q(\soc.core.interface6_bank_bus_dat_r[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36577_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09709_), + .Q(\soc.core.interface6_bank_bus_dat_r[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36578_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09710_), + .Q(\soc.core.interface6_bank_bus_dat_r[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36579_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09711_), + .Q(\soc.core.interface6_bank_bus_dat_r[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36580_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09712_), + .Q(\soc.core.interface6_bank_bus_dat_r[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36581_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09713_), + .Q(\soc.core.interface6_bank_bus_dat_r[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36582_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09714_), + .Q(\soc.core.interface6_bank_bus_dat_r[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36583_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09715_), + .Q(\soc.core.interface6_bank_bus_dat_r[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36584_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09716_), + .Q(\soc.core.interface6_bank_bus_dat_r[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36585_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09717_), + .Q(\soc.core.interface6_bank_bus_dat_r[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36586_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09718_), + .Q(\soc.core.interface6_bank_bus_dat_r[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36587_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09719_), + .Q(\soc.core.interface6_bank_bus_dat_r[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36588_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09720_), + .Q(\soc.core.interface6_bank_bus_dat_r[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36589_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09721_), + .Q(\soc.core.interface6_bank_bus_dat_r[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36590_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09722_), + .Q(\soc.core.interface6_bank_bus_dat_r[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36591_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09723_), + .Q(\soc.core.interface6_bank_bus_dat_r[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36592_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09724_), + .Q(\soc.core.interface6_bank_bus_dat_r[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36593_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09725_), + .Q(\soc.core.interface6_bank_bus_dat_r[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36594_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09726_), + .Q(\soc.core.interface6_bank_bus_dat_r[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36595_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09727_), + .Q(\soc.core.interface6_bank_bus_dat_r[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36596_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09728_), + .Q(\soc.core.interface6_bank_bus_dat_r[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36597_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09729_), + .Q(\soc.core.interface9_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36598_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09730_), + .Q(\soc.core.interface9_bank_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36599_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09731_), + .Q(\soc.core.interface9_bank_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36600_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09732_), + .Q(\soc.core.interface9_bank_bus_dat_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36601_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09733_), + .Q(\soc.core.interface9_bank_bus_dat_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36602_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09734_), + .Q(\soc.core.interface9_bank_bus_dat_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36603_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09735_), + .Q(\soc.core.interface9_bank_bus_dat_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36604_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09736_), + .Q(\soc.core.interface9_bank_bus_dat_r[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36605_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09737_), + .Q(\soc.core.interface9_bank_bus_dat_r[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36606_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09738_), + .Q(\soc.core.interface9_bank_bus_dat_r[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36607_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09739_), + .Q(\soc.core.interface9_bank_bus_dat_r[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36608_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09740_), + .Q(\soc.core.interface9_bank_bus_dat_r[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36609_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09741_), + .Q(\soc.core.interface9_bank_bus_dat_r[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36610_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09742_), + .Q(\soc.core.interface9_bank_bus_dat_r[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36611_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09743_), + .Q(\soc.core.interface9_bank_bus_dat_r[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36612_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09744_), + .Q(\soc.core.interface9_bank_bus_dat_r[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36613_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09745_), + .Q(\soc.core.interface9_bank_bus_dat_r[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36614_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09746_), + .Q(\soc.core.interface10_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36615_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09747_), + .Q(\soc.core.interface10_bank_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36616_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09748_), + .Q(\soc.core.interface10_bank_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36617_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09749_), + .Q(\soc.core.interface10_bank_bus_dat_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36618_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09750_), + .Q(\soc.core.interface10_bank_bus_dat_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36619_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09751_), + .Q(\soc.core.interface10_bank_bus_dat_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36620_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09752_), + .Q(\soc.core.interface10_bank_bus_dat_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36621_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09753_), + .Q(\soc.core.interface10_bank_bus_dat_r[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36622_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09754_), + .Q(\soc.core.interface10_bank_bus_dat_r[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36623_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09755_), + .Q(\soc.core.interface10_bank_bus_dat_r[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36624_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09756_), + .Q(\soc.core.interface10_bank_bus_dat_r[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36625_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09757_), + .Q(\soc.core.interface10_bank_bus_dat_r[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36626_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09758_), + .Q(\soc.core.interface10_bank_bus_dat_r[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36627_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09759_), + .Q(\soc.core.interface10_bank_bus_dat_r[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36628_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09760_), + .Q(\soc.core.interface10_bank_bus_dat_r[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36629_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09761_), + .Q(\soc.core.interface10_bank_bus_dat_r[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36630_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09762_), + .Q(\soc.core.interface10_bank_bus_dat_r[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36631_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09763_), + .Q(\soc.core.interface10_bank_bus_dat_r[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36632_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09764_), + .Q(\soc.core.interface10_bank_bus_dat_r[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36633_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09765_), + .Q(\soc.core.interface10_bank_bus_dat_r[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36634_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09766_), + .Q(\soc.core.interface10_bank_bus_dat_r[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36635_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09767_), + .Q(\soc.core.interface10_bank_bus_dat_r[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36636_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09768_), + .Q(\soc.core.interface10_bank_bus_dat_r[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36637_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09769_), + .Q(\soc.core.interface10_bank_bus_dat_r[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36638_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09770_), + .Q(\soc.core.interface10_bank_bus_dat_r[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36639_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09771_), + .Q(\soc.core.interface10_bank_bus_dat_r[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36640_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09772_), + .Q(\soc.core.interface10_bank_bus_dat_r[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36641_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09773_), + .Q(\soc.core.interface10_bank_bus_dat_r[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36642_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09774_), + .Q(\soc.core.interface10_bank_bus_dat_r[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36643_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09775_), + .Q(\soc.core.interface10_bank_bus_dat_r[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36644_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09776_), + .Q(\soc.core.interface10_bank_bus_dat_r[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36645_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09777_), + .Q(\soc.core.interface10_bank_bus_dat_r[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36646_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09778_), + .Q(\soc.core.interface11_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36647_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09779_), + .Q(\soc.core.interface11_bank_bus_dat_r[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36648_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09780_), + .Q(\soc.core.interface11_bank_bus_dat_r[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36649_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09781_), + .Q(\soc.core.interface11_bank_bus_dat_r[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36650_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09782_), + .Q(\soc.core.interface11_bank_bus_dat_r[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36651_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09783_), + .Q(\soc.core.interface11_bank_bus_dat_r[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36652_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09784_), + .Q(\soc.core.interface11_bank_bus_dat_r[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36653_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09785_), + .Q(\soc.core.interface11_bank_bus_dat_r[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36654_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09786_), + .Q(\soc.core.interface13_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36655_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09787_), + .Q(\soc.core.interface14_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36656_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09788_), + .Q(\soc.core.interface15_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36657_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09789_), + .Q(\soc.core.interface16_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36658_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09790_), + .Q(\soc.core.interface17_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36659_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09791_), + .Q(\soc.core.interface18_bank_bus_dat_r[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36660_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09792_), + .Q(\soc.core.state ) + ); + sky130_fd_sc_hd__dfxtp_2 _36661_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl0_regs0 ), + .Q(\soc.core.multiregimpl0_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36662_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09793_), + .Q(\soc.core.multiregimpl0_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36663_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl1_regs0 ), + .Q(\soc.core.multiregimpl1_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36664_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(gpio_in_core), + .Q(\soc.core.multiregimpl2_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36665_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl2_regs0 ), + .Q(\soc.core.multiregimpl2_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36666_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[0] ), + .Q(\soc.core.multiregimpl3_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36667_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl3_regs0 ), + .Q(\soc.core.multiregimpl3_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36668_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[1] ), + .Q(\soc.core.multiregimpl4_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36669_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl4_regs0 ), + .Q(\soc.core.multiregimpl4_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36670_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[2] ), + .Q(\soc.core.multiregimpl5_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36671_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl5_regs0 ), + .Q(\soc.core.multiregimpl5_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36672_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[3] ), + .Q(\soc.core.multiregimpl6_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36673_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl6_regs0 ), + .Q(\soc.core.multiregimpl6_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36674_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[4] ), + .Q(\soc.core.multiregimpl7_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36675_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl7_regs0 ), + .Q(\soc.core.multiregimpl7_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36676_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[5] ), + .Q(\soc.core.multiregimpl8_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36677_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl8_regs0 ), + .Q(\soc.core.multiregimpl8_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36678_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[6] ), + .Q(\soc.core.multiregimpl9_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36679_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl9_regs0 ), + .Q(\soc.core.multiregimpl9_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36680_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[7] ), + .Q(\soc.core.multiregimpl10_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36681_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl10_regs0 ), + .Q(\soc.core.multiregimpl10_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36682_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[8] ), + .Q(\soc.core.multiregimpl11_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36683_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl11_regs0 ), + .Q(\soc.core.multiregimpl11_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36684_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[9] ), + .Q(\soc.core.multiregimpl12_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36685_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl12_regs0 ), + .Q(\soc.core.multiregimpl12_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36686_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[10] ), + .Q(\soc.core.multiregimpl13_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36687_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl13_regs0 ), + .Q(\soc.core.multiregimpl13_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36688_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[11] ), + .Q(\soc.core.multiregimpl14_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36689_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl14_regs0 ), + .Q(\soc.core.multiregimpl14_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36690_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[12] ), + .Q(\soc.core.multiregimpl15_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36691_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl15_regs0 ), + .Q(\soc.core.multiregimpl15_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36692_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[13] ), + .Q(\soc.core.multiregimpl16_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36693_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl16_regs0 ), + .Q(\soc.core.multiregimpl16_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36694_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[14] ), + .Q(\soc.core.multiregimpl17_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36695_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl17_regs0 ), + .Q(\soc.core.multiregimpl17_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36696_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[15] ), + .Q(\soc.core.multiregimpl18_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36697_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl18_regs0 ), + .Q(\soc.core.multiregimpl18_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36698_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[16] ), + .Q(\soc.core.multiregimpl19_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36699_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl19_regs0 ), + .Q(\soc.core.multiregimpl19_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36700_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[17] ), + .Q(\soc.core.multiregimpl20_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36701_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl20_regs0 ), + .Q(\soc.core.multiregimpl20_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36702_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[18] ), + .Q(\soc.core.multiregimpl21_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36703_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl21_regs0 ), + .Q(\soc.core.multiregimpl21_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36704_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[19] ), + .Q(\soc.core.multiregimpl22_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36705_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl22_regs0 ), + .Q(\soc.core.multiregimpl22_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36706_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[20] ), + .Q(\soc.core.multiregimpl23_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36707_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl23_regs0 ), + .Q(\soc.core.multiregimpl23_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36708_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[21] ), + .Q(\soc.core.multiregimpl24_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36709_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl24_regs0 ), + .Q(\soc.core.multiregimpl24_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36710_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[22] ), + .Q(\soc.core.multiregimpl25_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36711_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl25_regs0 ), + .Q(\soc.core.multiregimpl25_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36712_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[23] ), + .Q(\soc.core.multiregimpl26_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36713_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl26_regs0 ), + .Q(\soc.core.multiregimpl26_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36714_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[24] ), + .Q(\soc.core.multiregimpl27_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36715_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl27_regs0 ), + .Q(\soc.core.multiregimpl27_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36716_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[25] ), + .Q(\soc.core.multiregimpl28_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36717_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl28_regs0 ), + .Q(\soc.core.multiregimpl28_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36718_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[26] ), + .Q(\soc.core.multiregimpl29_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36719_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl29_regs0 ), + .Q(\soc.core.multiregimpl29_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36720_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[27] ), + .Q(\soc.core.multiregimpl30_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36721_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl30_regs0 ), + .Q(\soc.core.multiregimpl30_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36722_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[28] ), + .Q(\soc.core.multiregimpl31_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36723_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl31_regs0 ), + .Q(\soc.core.multiregimpl31_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36724_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[29] ), + .Q(\soc.core.multiregimpl32_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36725_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl32_regs0 ), + .Q(\soc.core.multiregimpl32_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36726_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[30] ), + .Q(\soc.core.multiregimpl33_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36727_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl33_regs0 ), + .Q(\soc.core.multiregimpl33_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36728_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[31] ), + .Q(\soc.core.multiregimpl34_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36729_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl34_regs0 ), + .Q(\soc.core.multiregimpl34_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36730_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[32] ), + .Q(\soc.core.multiregimpl35_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36731_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl35_regs0 ), + .Q(\soc.core.multiregimpl35_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36732_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[33] ), + .Q(\soc.core.multiregimpl36_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36733_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl36_regs0 ), + .Q(\soc.core.multiregimpl36_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36734_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[34] ), + .Q(\soc.core.multiregimpl37_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36735_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl37_regs0 ), + .Q(\soc.core.multiregimpl37_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36736_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[35] ), + .Q(\soc.core.multiregimpl38_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36737_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl38_regs0 ), + .Q(\soc.core.multiregimpl38_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36738_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[36] ), + .Q(\soc.core.multiregimpl39_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36739_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl39_regs0 ), + .Q(\soc.core.multiregimpl39_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36740_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[37] ), + .Q(\soc.core.multiregimpl40_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36741_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl40_regs0 ), + .Q(\soc.core.multiregimpl40_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36742_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[38] ), + .Q(\soc.core.multiregimpl41_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36743_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl41_regs0 ), + .Q(\soc.core.multiregimpl41_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36744_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[39] ), + .Q(\soc.core.multiregimpl42_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36745_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl42_regs0 ), + .Q(\soc.core.multiregimpl42_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36746_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[40] ), + .Q(\soc.core.multiregimpl43_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36747_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl43_regs0 ), + .Q(\soc.core.multiregimpl43_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36748_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[41] ), + .Q(\soc.core.multiregimpl44_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36749_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl44_regs0 ), + .Q(\soc.core.multiregimpl44_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36750_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[42] ), + .Q(\soc.core.multiregimpl45_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36751_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl45_regs0 ), + .Q(\soc.core.multiregimpl45_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36752_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[43] ), + .Q(\soc.core.multiregimpl46_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36753_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl46_regs0 ), + .Q(\soc.core.multiregimpl46_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36754_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[44] ), + .Q(\soc.core.multiregimpl47_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36755_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl47_regs0 ), + .Q(\soc.core.multiregimpl47_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36756_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[45] ), + .Q(\soc.core.multiregimpl48_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36757_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl48_regs0 ), + .Q(\soc.core.multiregimpl48_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36758_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[46] ), + .Q(\soc.core.multiregimpl49_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36759_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl49_regs0 ), + .Q(\soc.core.multiregimpl49_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36760_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[47] ), + .Q(\soc.core.multiregimpl50_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36761_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl50_regs0 ), + .Q(\soc.core.multiregimpl50_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36762_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[48] ), + .Q(\soc.core.multiregimpl51_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36763_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl51_regs0 ), + .Q(\soc.core.multiregimpl51_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36764_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[49] ), + .Q(\soc.core.multiregimpl52_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36765_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl52_regs0 ), + .Q(\soc.core.multiregimpl52_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36766_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[50] ), + .Q(\soc.core.multiregimpl53_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36767_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl53_regs0 ), + .Q(\soc.core.multiregimpl53_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36768_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[51] ), + .Q(\soc.core.multiregimpl54_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36769_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl54_regs0 ), + .Q(\soc.core.multiregimpl54_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36770_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[52] ), + .Q(\soc.core.multiregimpl55_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36771_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl55_regs0 ), + .Q(\soc.core.multiregimpl55_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36772_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[53] ), + .Q(\soc.core.multiregimpl56_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36773_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl56_regs0 ), + .Q(\soc.core.multiregimpl56_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36774_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[54] ), + .Q(\soc.core.multiregimpl57_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36775_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl57_regs0 ), + .Q(\soc.core.multiregimpl57_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36776_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[55] ), + .Q(\soc.core.multiregimpl58_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36777_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl58_regs0 ), + .Q(\soc.core.multiregimpl58_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36778_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[56] ), + .Q(\soc.core.multiregimpl59_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36779_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl59_regs0 ), + .Q(\soc.core.multiregimpl59_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36780_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[57] ), + .Q(\soc.core.multiregimpl60_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36781_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl60_regs0 ), + .Q(\soc.core.multiregimpl60_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36782_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[58] ), + .Q(\soc.core.multiregimpl61_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36783_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl61_regs0 ), + .Q(\soc.core.multiregimpl61_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36784_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[59] ), + .Q(\soc.core.multiregimpl62_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36785_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl62_regs0 ), + .Q(\soc.core.multiregimpl62_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36786_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[60] ), + .Q(\soc.core.multiregimpl63_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36787_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl63_regs0 ), + .Q(\soc.core.multiregimpl63_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36788_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[61] ), + .Q(\soc.core.multiregimpl64_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36789_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl64_regs0 ), + .Q(\soc.core.multiregimpl64_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36790_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[62] ), + .Q(\soc.core.multiregimpl65_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36791_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl65_regs0 ), + .Q(\soc.core.multiregimpl65_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36792_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[63] ), + .Q(\soc.core.multiregimpl66_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36793_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl66_regs0 ), + .Q(\soc.core.multiregimpl66_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36794_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[64] ), + .Q(\soc.core.multiregimpl67_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36795_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl67_regs0 ), + .Q(\soc.core.multiregimpl67_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36796_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[65] ), + .Q(\soc.core.multiregimpl68_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36797_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl68_regs0 ), + .Q(\soc.core.multiregimpl68_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36798_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[66] ), + .Q(\soc.core.multiregimpl69_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36799_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl69_regs0 ), + .Q(\soc.core.multiregimpl69_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36800_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[67] ), + .Q(\soc.core.multiregimpl70_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36801_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl70_regs0 ), + .Q(\soc.core.multiregimpl70_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36802_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[68] ), + .Q(\soc.core.multiregimpl71_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36803_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl71_regs0 ), + .Q(\soc.core.multiregimpl71_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36804_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[69] ), + .Q(\soc.core.multiregimpl72_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36805_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl72_regs0 ), + .Q(\soc.core.multiregimpl72_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36806_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[70] ), + .Q(\soc.core.multiregimpl73_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36807_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl73_regs0 ), + .Q(\soc.core.multiregimpl73_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36808_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[71] ), + .Q(\soc.core.multiregimpl74_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36809_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl74_regs0 ), + .Q(\soc.core.multiregimpl74_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36810_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[72] ), + .Q(\soc.core.multiregimpl75_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36811_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl75_regs0 ), + .Q(\soc.core.multiregimpl75_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36812_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[73] ), + .Q(\soc.core.multiregimpl76_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36813_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl76_regs0 ), + .Q(\soc.core.multiregimpl76_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36814_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[74] ), + .Q(\soc.core.multiregimpl77_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36815_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl77_regs0 ), + .Q(\soc.core.multiregimpl77_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36816_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[75] ), + .Q(\soc.core.multiregimpl78_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36817_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl78_regs0 ), + .Q(\soc.core.multiregimpl78_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36818_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[76] ), + .Q(\soc.core.multiregimpl79_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36819_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl79_regs0 ), + .Q(\soc.core.multiregimpl79_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36820_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[77] ), + .Q(\soc.core.multiregimpl80_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36821_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl80_regs0 ), + .Q(\soc.core.multiregimpl80_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36822_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[78] ), + .Q(\soc.core.multiregimpl81_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36823_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl81_regs0 ), + .Q(\soc.core.multiregimpl81_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36824_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[79] ), + .Q(\soc.core.multiregimpl82_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36825_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl82_regs0 ), + .Q(\soc.core.multiregimpl82_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36826_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[80] ), + .Q(\soc.core.multiregimpl83_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36827_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl83_regs0 ), + .Q(\soc.core.multiregimpl83_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36828_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[81] ), + .Q(\soc.core.multiregimpl84_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36829_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl84_regs0 ), + .Q(\soc.core.multiregimpl84_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36830_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[82] ), + .Q(\soc.core.multiregimpl85_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36831_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl85_regs0 ), + .Q(\soc.core.multiregimpl85_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36832_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[83] ), + .Q(\soc.core.multiregimpl86_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36833_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl86_regs0 ), + .Q(\soc.core.multiregimpl86_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36834_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[84] ), + .Q(\soc.core.multiregimpl87_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36835_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl87_regs0 ), + .Q(\soc.core.multiregimpl87_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36836_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[85] ), + .Q(\soc.core.multiregimpl88_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36837_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl88_regs0 ), + .Q(\soc.core.multiregimpl88_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36838_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[86] ), + .Q(\soc.core.multiregimpl89_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36839_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl89_regs0 ), + .Q(\soc.core.multiregimpl89_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36840_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[87] ), + .Q(\soc.core.multiregimpl90_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36841_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl90_regs0 ), + .Q(\soc.core.multiregimpl90_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36842_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[88] ), + .Q(\soc.core.multiregimpl91_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36843_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl91_regs0 ), + .Q(\soc.core.multiregimpl91_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36844_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[89] ), + .Q(\soc.core.multiregimpl92_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36845_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl92_regs0 ), + .Q(\soc.core.multiregimpl92_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36846_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[90] ), + .Q(\soc.core.multiregimpl93_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36847_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl93_regs0 ), + .Q(\soc.core.multiregimpl93_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36848_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[91] ), + .Q(\soc.core.multiregimpl94_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36849_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl94_regs0 ), + .Q(\soc.core.multiregimpl94_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36850_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[92] ), + .Q(\soc.core.multiregimpl95_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36851_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl95_regs0 ), + .Q(\soc.core.multiregimpl95_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36852_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[93] ), + .Q(\soc.core.multiregimpl96_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36853_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl96_regs0 ), + .Q(\soc.core.multiregimpl96_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36854_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[94] ), + .Q(\soc.core.multiregimpl97_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36855_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl97_regs0 ), + .Q(\soc.core.multiregimpl97_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36856_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[95] ), + .Q(\soc.core.multiregimpl98_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36857_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl98_regs0 ), + .Q(\soc.core.multiregimpl98_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36858_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[96] ), + .Q(\soc.core.multiregimpl99_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36859_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl99_regs0 ), + .Q(\soc.core.multiregimpl99_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36860_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[97] ), + .Q(\soc.core.multiregimpl100_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36861_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl100_regs0 ), + .Q(\soc.core.multiregimpl100_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36862_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[98] ), + .Q(\soc.core.multiregimpl101_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36863_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl101_regs0 ), + .Q(\soc.core.multiregimpl101_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36864_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[99] ), + .Q(\soc.core.multiregimpl102_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36865_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl102_regs0 ), + .Q(\soc.core.multiregimpl102_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36866_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[100] ), + .Q(\soc.core.multiregimpl103_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36867_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl103_regs0 ), + .Q(\soc.core.multiregimpl103_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36868_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[101] ), + .Q(\soc.core.multiregimpl104_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36869_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl104_regs0 ), + .Q(\soc.core.multiregimpl104_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36870_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[102] ), + .Q(\soc.core.multiregimpl105_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36871_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl105_regs0 ), + .Q(\soc.core.multiregimpl105_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36872_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[103] ), + .Q(\soc.core.multiregimpl106_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36873_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl106_regs0 ), + .Q(\soc.core.multiregimpl106_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36874_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[104] ), + .Q(\soc.core.multiregimpl107_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36875_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl107_regs0 ), + .Q(\soc.core.multiregimpl107_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36876_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[105] ), + .Q(\soc.core.multiregimpl108_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36877_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl108_regs0 ), + .Q(\soc.core.multiregimpl108_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36878_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[106] ), + .Q(\soc.core.multiregimpl109_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36879_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl109_regs0 ), + .Q(\soc.core.multiregimpl109_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36880_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[107] ), + .Q(\soc.core.multiregimpl110_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36881_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl110_regs0 ), + .Q(\soc.core.multiregimpl110_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36882_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[108] ), + .Q(\soc.core.multiregimpl111_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36883_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl111_regs0 ), + .Q(\soc.core.multiregimpl111_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36884_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[109] ), + .Q(\soc.core.multiregimpl112_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36885_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl112_regs0 ), + .Q(\soc.core.multiregimpl112_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36886_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[110] ), + .Q(\soc.core.multiregimpl113_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36887_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl113_regs0 ), + .Q(\soc.core.multiregimpl113_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36888_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[111] ), + .Q(\soc.core.multiregimpl114_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36889_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl114_regs0 ), + .Q(\soc.core.multiregimpl114_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36890_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[112] ), + .Q(\soc.core.multiregimpl115_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36891_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl115_regs0 ), + .Q(\soc.core.multiregimpl115_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36892_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[113] ), + .Q(\soc.core.multiregimpl116_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36893_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl116_regs0 ), + .Q(\soc.core.multiregimpl116_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36894_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[114] ), + .Q(\soc.core.multiregimpl117_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36895_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl117_regs0 ), + .Q(\soc.core.multiregimpl117_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36896_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[115] ), + .Q(\soc.core.multiregimpl118_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36897_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl118_regs0 ), + .Q(\soc.core.multiregimpl118_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36898_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[116] ), + .Q(\soc.core.multiregimpl119_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36899_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl119_regs0 ), + .Q(\soc.core.multiregimpl119_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36900_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[117] ), + .Q(\soc.core.multiregimpl120_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36901_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl120_regs0 ), + .Q(\soc.core.multiregimpl120_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36902_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[118] ), + .Q(\soc.core.multiregimpl121_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36903_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl121_regs0 ), + .Q(\soc.core.multiregimpl121_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36904_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\mgmt_buffers.la_data_in_mprj[119] ), + .Q(\soc.core.multiregimpl122_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36905_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.multiregimpl122_regs0 ), + .Q(\soc.core.multiregimpl122_regs1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36906_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09794_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36907_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09795_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36908_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09796_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36909_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09797_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36910_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09798_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36911_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09799_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36912_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09800_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36913_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09801_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36914_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09802_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36915_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09803_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36916_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09804_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36917_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09805_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36918_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09806_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36919_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09807_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36920_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09808_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36921_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09809_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36922_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09810_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36923_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09811_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36924_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09812_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36925_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09813_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36926_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09814_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36927_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09815_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36928_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09816_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36929_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09817_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36930_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09818_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36931_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09819_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36932_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09820_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36933_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09821_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36934_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09822_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36935_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09823_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36936_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09824_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36937_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09825_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[18][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36938_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09826_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36939_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09827_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36940_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushCounter[1] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_InstructionCache_l342 ) + ); + sky130_fd_sc_hd__dfxtp_2 _36941_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09828_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36942_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09829_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36943_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09830_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36944_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09831_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36945_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09832_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36946_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09833_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36947_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09834_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36948_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09835_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36949_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09836_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36950_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09837_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36951_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09838_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36952_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09839_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36953_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09840_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36954_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09841_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36955_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09842_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36956_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09843_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36957_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09844_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36958_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09845_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36959_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09846_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36960_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09847_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36961_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09848_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36962_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09849_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36963_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09850_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36964_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09851_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36965_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09852_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36966_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09853_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36967_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09854_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36968_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09855_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36969_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09856_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36970_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09857_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36971_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09858_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36972_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09859_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36973_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09860_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36974_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09861_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36975_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09862_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36976_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09863_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36977_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09864_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36978_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09865_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36979_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09866_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36980_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09867_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36981_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09868_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36982_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09869_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36983_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09870_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36984_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09871_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36985_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09872_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36986_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09873_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36987_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09874_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36988_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09875_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36989_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09876_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36990_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09877_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36991_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09878_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36992_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09879_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36993_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09880_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36994_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09881_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36995_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09882_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36996_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09883_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36997_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09884_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36998_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09885_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _36999_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09886_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37000_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09887_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37001_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09888_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37002_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09889_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_data[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37003_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09890_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_hit_valid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37004_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09891_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_valid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37005_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09892_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_flushPending ) + ); + sky130_fd_sc_hd__dfxtp_2 _37006_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09893_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_cmdSent ) + ); + sky130_fd_sc_hd__dfxtp_2 _37007_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09894_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37008_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09895_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37009_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09896_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_wordIndex[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37010_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09897_), + .Q(\soc.core.VexRiscv.DebugPlugin_debugUsed ) + ); + sky130_fd_sc_hd__dfxtp_2 _37011_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09898_), + .Q(\soc.core.VexRiscv.DebugPlugin_godmode ) + ); + sky130_fd_sc_hd__dfxtp_2 _37012_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09899_), + .Q(\soc.core.VexRiscv.DebugPlugin_haltIt ) + ); + sky130_fd_sc_hd__dfxtp_2 _37013_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09900_), + .Q(\soc.core.VexRiscv.DebugPlugin_stepIt ) + ); + sky130_fd_sc_hd__dfxtp_2 _37014_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09901_), + .Q(\soc.core.multiregimpl1_regs0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37015_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09902_), + .Q(\soc.core.VexRiscv.DebugPlugin_resetIt ) + ); + sky130_fd_sc_hd__dfxtp_2 _37016_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.externalInterrupt ), + .Q(\soc.core.VexRiscv.CsrPlugin_mip_MEIP ) + ); + sky130_fd_sc_hd__dfxtp_2 _37017_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00284_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37018_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00295_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37019_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00306_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37020_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00309_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37021_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00310_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37022_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00311_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37023_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00312_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37024_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00313_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37025_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00314_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37026_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00315_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37027_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00285_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37028_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00286_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37029_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00287_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37030_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00288_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37031_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00289_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37032_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00290_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37033_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00291_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37034_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00292_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37035_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00293_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37036_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00294_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37037_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00296_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37038_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00297_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37039_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00298_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37040_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00299_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37041_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00300_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37042_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00301_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37043_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00302_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37044_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00303_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37045_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00304_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37046_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00305_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37047_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00307_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37048_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00308_), + .Q(\soc.core.VexRiscv.CsrPlugin_mepc[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37049_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09903_), + .Q(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37050_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09904_), + .Q(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37051_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09905_), + .Q(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37052_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[0] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37053_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[1] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37054_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[2] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37055_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[3] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37056_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[4] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37057_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[5] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37058_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[6] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37059_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[7] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37060_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[8] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37061_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[9] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37062_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[10] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37063_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[11] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37064_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[12] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37065_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[13] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37066_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[14] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37067_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[15] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37068_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[16] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37069_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[17] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37070_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[18] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37071_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[19] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37072_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[20] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37073_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[21] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37074_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[22] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37075_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[23] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37076_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[24] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37077_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[25] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37078_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[26] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37079_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[27] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37080_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[28] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37081_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[29] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37082_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[30] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37083_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[31] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_REGFILE_WRITE_DATA[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37084_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09906_), + .Q(\soc.core.VexRiscv.CsrPlugin_mstatus_MIE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37085_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09907_), + .Q(\soc.core.VexRiscv.CsrPlugin_mie_MSIE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37086_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09908_), + .Q(\soc.core.VexRiscv.decode_to_execute_IS_CSR ) + ); + sky130_fd_sc_hd__dfxtp_2 _37087_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.IBusCachedPlugin_cache.reset ), + .Q(\soc.core.VexRiscv._zz_2 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37088_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09909_), + .Q(\soc.core.VexRiscv.execute_LightShifterPlugin_isActive ) + ); + sky130_fd_sc_hd__dfxtp_2 _37089_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09910_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_isValid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37090_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09911_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_decode_isValid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37091_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00347_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_booted ) + ); + sky130_fd_sc_hd__dfxtp_2 _37092_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09912_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_fetchPc_inc ) + ); + sky130_fd_sc_hd__dfxtp_2 _37093_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09913_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_3008 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37094_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09914_), + .Q(\soc.core.VexRiscv.CsrPlugin_mcause_interrupt ) + ); + sky130_fd_sc_hd__dfxtp_2 _37095_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.mgmtsoc_irq ), + .Q(\soc.core.VexRiscv.externalInterruptArray_regNext[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37096_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.uart_irq ), + .Q(\soc.core.VexRiscv.externalInterruptArray_regNext[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37097_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.gpioin0_gpioin0_irq ), + .Q(\soc.core.VexRiscv.externalInterruptArray_regNext[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37098_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.gpioin1_gpioin1_irq ), + .Q(\soc.core.VexRiscv.externalInterruptArray_regNext[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37099_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.gpioin2_gpioin2_irq ), + .Q(\soc.core.VexRiscv.externalInterruptArray_regNext[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37100_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.gpioin3_gpioin3_irq ), + .Q(\soc.core.VexRiscv.externalInterruptArray_regNext[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37101_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.gpioin4_gpioin4_irq ), + .Q(\soc.core.VexRiscv.externalInterruptArray_regNext[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37102_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.gpioin5_gpioin5_irq ), + .Q(\soc.core.VexRiscv.externalInterruptArray_regNext[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37103_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09915_), + .Q(\soc.core.VexRiscv.CsrPlugin_mcause_exceptionCode[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37104_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09916_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37105_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09917_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_768 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37106_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09918_), + .Q(\soc.core.VexRiscv.decode_to_execute_DO_EBREAK ) + ); + sky130_fd_sc_hd__dfxtp_2 _37107_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09919_), + .Q(\soc.core.uart_phy_tx_phase[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37108_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09920_), + .Q(\soc.core.uart_phy_tx_phase[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37109_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09921_), + .Q(\soc.core.uart_phy_tx_phase[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37110_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09922_), + .Q(\soc.core.uart_phy_tx_phase[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37111_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09923_), + .Q(\soc.core.uart_phy_tx_phase[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37112_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09924_), + .Q(\soc.core.uart_phy_tx_phase[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37113_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09925_), + .Q(\soc.core.uart_phy_tx_phase[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37114_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09926_), + .Q(\soc.core.uart_phy_tx_phase[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37115_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09927_), + .Q(\soc.core.uart_phy_tx_phase[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37116_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09928_), + .Q(\soc.core.uart_phy_tx_phase[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37117_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09929_), + .Q(\soc.core.uart_phy_tx_phase[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37118_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09930_), + .Q(\soc.core.uart_phy_tx_phase[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37119_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09931_), + .Q(\soc.core.uart_phy_tx_phase[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37120_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09932_), + .Q(\soc.core.uart_phy_tx_phase[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37121_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09933_), + .Q(\soc.core.uart_phy_tx_phase[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37122_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09934_), + .Q(\soc.core.uart_phy_tx_phase[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37123_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09935_), + .Q(\soc.core.uart_phy_tx_phase[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37124_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09936_), + .Q(\soc.core.uart_phy_tx_phase[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37125_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09937_), + .Q(\soc.core.uart_phy_tx_phase[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37126_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09938_), + .Q(\soc.core.uart_phy_tx_phase[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37127_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09939_), + .Q(\soc.core.uart_phy_tx_phase[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37128_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09940_), + .Q(\soc.core.uart_phy_tx_phase[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37129_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09941_), + .Q(\soc.core.uart_phy_tx_phase[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37130_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09942_), + .Q(\soc.core.uart_phy_tx_phase[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37131_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09943_), + .Q(\soc.core.uart_phy_tx_phase[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37132_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09944_), + .Q(\soc.core.uart_phy_tx_phase[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37133_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09945_), + .Q(\soc.core.uart_phy_tx_phase[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37134_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09946_), + .Q(\soc.core.memdat_3[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37135_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09947_), + .Q(\soc.core.memdat_3[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37136_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09948_), + .Q(\soc.core.memdat_3[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37137_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09949_), + .Q(\soc.core.memdat_3[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37138_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09950_), + .Q(\soc.core.memdat_3[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37139_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09951_), + .Q(\soc.core.memdat_3[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37140_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09952_), + .Q(\soc.core.memdat_3[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37141_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09953_), + .Q(\soc.core.memdat_3[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37142_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09954_), + .Q(\soc.core.storage[15][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37143_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09955_), + .Q(\soc.core.storage[15][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37144_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09956_), + .Q(\soc.core.storage[15][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37145_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09957_), + .Q(\soc.core.storage[15][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37146_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09958_), + .Q(\soc.core.storage[15][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37147_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09959_), + .Q(\soc.core.storage[15][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37148_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09960_), + .Q(\soc.core.storage[15][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37149_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09961_), + .Q(\soc.core.storage[15][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37150_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09962_), + .Q(\soc.core.storage[14][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37151_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09963_), + .Q(\soc.core.storage[14][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37152_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09964_), + .Q(\soc.core.storage[14][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37153_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09965_), + .Q(\soc.core.storage[14][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37154_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09966_), + .Q(\soc.core.storage[14][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37155_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09967_), + .Q(\soc.core.storage[14][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37156_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09968_), + .Q(\soc.core.storage[14][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37157_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09969_), + .Q(\soc.core.storage[14][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37158_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09970_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37159_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09971_), + .Q(\soc.core.VexRiscv._zz_execute_SRC2_CTRL[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37160_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09972_), + .Q(\soc.core.VexRiscv.decode_to_execute_MEMORY_ENABLE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37161_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09973_), + .Q(\soc.core.storage[13][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37162_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09974_), + .Q(\soc.core.storage[13][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37163_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09975_), + .Q(\soc.core.storage[13][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37164_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09976_), + .Q(\soc.core.storage[13][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37165_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09977_), + .Q(\soc.core.storage[13][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37166_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09978_), + .Q(\soc.core.storage[13][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37167_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09979_), + .Q(\soc.core.storage[13][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37168_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09980_), + .Q(\soc.core.storage[13][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37169_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09981_), + .Q(\soc.core.storage[12][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37170_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09982_), + .Q(\soc.core.storage[12][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37171_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09983_), + .Q(\soc.core.storage[12][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37172_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09984_), + .Q(\soc.core.storage[12][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37173_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09985_), + .Q(\soc.core.storage[12][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37174_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09986_), + .Q(\soc.core.storage[12][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37175_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09987_), + .Q(\soc.core.storage[12][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37176_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09988_), + .Q(\soc.core.storage[12][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37177_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09989_), + .Q(\soc.core.storage[11][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37178_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09990_), + .Q(\soc.core.storage[11][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37179_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09991_), + .Q(\soc.core.storage[11][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37180_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09992_), + .Q(\soc.core.storage[11][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37181_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09993_), + .Q(\soc.core.storage[11][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37182_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09994_), + .Q(\soc.core.storage[11][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37183_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09995_), + .Q(\soc.core.storage[11][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37184_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09996_), + .Q(\soc.core.storage[11][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37185_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09997_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37186_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09998_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37187_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_09999_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37188_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10000_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37189_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10001_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37190_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10002_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37191_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10003_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37192_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10004_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37193_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10005_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37194_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10006_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37195_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10007_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37196_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10008_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37197_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10009_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37198_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10010_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37199_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10011_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37200_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10012_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37201_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10013_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37202_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10014_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37203_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10015_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37204_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10016_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37205_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10017_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37206_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10018_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37207_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10019_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37208_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10020_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37209_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10021_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37210_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10022_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37211_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10023_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37212_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10024_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37213_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10025_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37214_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10026_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37215_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10027_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37216_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10028_), + .Q(\soc.core.VexRiscv.CsrPlugin_selfException_payload_badAddr[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37217_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10029_), + .Q(\soc.core.storage[10][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37218_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10030_), + .Q(\soc.core.storage[10][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37219_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10031_), + .Q(\soc.core.storage[10][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37220_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10032_), + .Q(\soc.core.storage[10][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37221_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10033_), + .Q(\soc.core.storage[10][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37222_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10034_), + .Q(\soc.core.storage[10][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37223_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10035_), + .Q(\soc.core.storage[10][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37224_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10036_), + .Q(\soc.core.storage[10][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37225_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10037_), + .Q(\soc.core.storage[9][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37226_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10038_), + .Q(\soc.core.storage[9][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37227_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10039_), + .Q(\soc.core.storage[9][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37228_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10040_), + .Q(\soc.core.storage[9][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37229_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10041_), + .Q(\soc.core.storage[9][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37230_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10042_), + .Q(\soc.core.storage[9][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37231_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10043_), + .Q(\soc.core.storage[9][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37232_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10044_), + .Q(\soc.core.storage[9][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37233_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10045_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37234_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10046_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37235_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10047_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37236_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10048_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37237_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10049_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37238_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10050_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37239_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10051_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37240_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10052_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37241_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10053_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37242_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10054_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37243_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10055_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37244_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10056_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37245_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10057_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37246_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10058_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37247_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10059_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37248_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10060_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37249_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10061_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37250_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10062_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37251_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10063_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37252_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10064_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37253_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10065_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37254_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10066_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37255_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10067_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37256_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10068_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37257_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10069_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37258_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10070_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37259_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10071_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37260_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10072_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37261_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10073_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37262_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10074_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37263_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10075_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37264_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10076_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_badAddr[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37265_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10077_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37266_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10078_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37267_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10079_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37268_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10080_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37269_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10081_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37270_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10082_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37271_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10083_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37272_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10084_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37273_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10085_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37274_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10086_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37275_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10087_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37276_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10088_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37277_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10089_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37278_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10090_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37279_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10091_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37280_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10092_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37281_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10093_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37282_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10094_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37283_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10095_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37284_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10096_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37285_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10097_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37286_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10098_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37287_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10099_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37288_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10100_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37289_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10101_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37290_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10102_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37291_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10103_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.ways_0_tags[0][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37292_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10104_), + .Q(\soc.core.storage[8][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37293_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10105_), + .Q(\soc.core.storage[8][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37294_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10106_), + .Q(\soc.core.storage[8][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37295_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10107_), + .Q(\soc.core.storage[8][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37296_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10108_), + .Q(\soc.core.storage[8][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37297_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10109_), + .Q(\soc.core.storage[8][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37298_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10110_), + .Q(\soc.core.storage[8][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37299_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10111_), + .Q(\soc.core.storage[8][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37300_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10112_), + .Q(\soc.core.storage[7][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37301_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10113_), + .Q(\soc.core.storage[7][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37302_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10114_), + .Q(\soc.core.storage[7][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37303_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10115_), + .Q(\soc.core.storage[7][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37304_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10116_), + .Q(\soc.core.storage[7][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37305_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10117_), + .Q(\soc.core.storage[7][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37306_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10118_), + .Q(\soc.core.storage[7][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37307_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10119_), + .Q(\soc.core.storage[7][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37308_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10120_), + .Q(\soc.core.storage[6][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37309_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10121_), + .Q(\soc.core.storage[6][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37310_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10122_), + .Q(\soc.core.storage[6][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37311_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10123_), + .Q(\soc.core.storage[6][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37312_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10124_), + .Q(\soc.core.storage[6][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37313_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10125_), + .Q(\soc.core.storage[6][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37314_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10126_), + .Q(\soc.core.storage[6][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37315_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10127_), + .Q(\soc.core.storage[6][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37316_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10128_), + .Q(\soc.core.storage[5][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37317_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10129_), + .Q(\soc.core.storage[5][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37318_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10130_), + .Q(\soc.core.storage[5][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37319_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10131_), + .Q(\soc.core.storage[5][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37320_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10132_), + .Q(\soc.core.storage[5][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37321_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10133_), + .Q(\soc.core.storage[5][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37322_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10134_), + .Q(\soc.core.storage[5][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37323_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10135_), + .Q(\soc.core.storage[5][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37324_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10136_), + .Q(\soc.core.storage[4][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37325_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10137_), + .Q(\soc.core.storage[4][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37326_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10138_), + .Q(\soc.core.storage[4][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37327_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10139_), + .Q(\soc.core.storage[4][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37328_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10140_), + .Q(\soc.core.storage[4][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37329_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10141_), + .Q(\soc.core.storage[4][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37330_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10142_), + .Q(\soc.core.storage[4][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37331_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10143_), + .Q(\soc.core.storage[4][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37332_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10144_), + .Q(\soc.core.storage[3][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37333_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10145_), + .Q(\soc.core.storage[3][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37334_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10146_), + .Q(\soc.core.storage[3][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37335_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10147_), + .Q(\soc.core.storage[3][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37336_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10148_), + .Q(\soc.core.storage[3][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37337_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10149_), + .Q(\soc.core.storage[3][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37338_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10150_), + .Q(\soc.core.storage[3][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37339_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10151_), + .Q(\soc.core.storage[3][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37340_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10152_), + .Q(\soc.core.storage[2][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37341_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10153_), + .Q(\soc.core.storage[2][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37342_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10154_), + .Q(\soc.core.storage[2][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37343_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10155_), + .Q(\soc.core.storage[2][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37344_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10156_), + .Q(\soc.core.storage[2][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37345_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10157_), + .Q(\soc.core.storage[2][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37346_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10158_), + .Q(\soc.core.storage[2][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37347_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10159_), + .Q(\soc.core.storage[2][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37348_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10160_), + .Q(\soc.core.storage[1][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37349_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10161_), + .Q(\soc.core.storage[1][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37350_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10162_), + .Q(\soc.core.storage[1][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37351_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10163_), + .Q(\soc.core.storage[1][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37352_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10164_), + .Q(\soc.core.storage[1][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37353_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10165_), + .Q(\soc.core.storage[1][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37354_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10166_), + .Q(\soc.core.storage[1][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37355_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10167_), + .Q(\soc.core.storage[1][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37356_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10168_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37357_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10169_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37358_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10170_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37359_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10171_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37360_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10172_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37361_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10173_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37362_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10174_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37363_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10175_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37364_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10176_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37365_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10177_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37366_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10178_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37367_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10179_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37368_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10180_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37369_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10181_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37370_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10182_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37371_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10183_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37372_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10184_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37373_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10185_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37374_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10186_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37375_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10187_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37376_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10188_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37377_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10189_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37378_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10190_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37379_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10191_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37380_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10192_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37381_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10193_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37382_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10194_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37383_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10195_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37384_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10196_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37385_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10197_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37386_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10198_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37387_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10199_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[9][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37388_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10200_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_772 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37389_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10201_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37390_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10202_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37391_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10203_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37392_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10204_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37393_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10205_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37394_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10206_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37395_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10207_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37396_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10208_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37397_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10209_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37398_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10210_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37399_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10211_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37400_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10212_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37401_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10213_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37402_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10214_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37403_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10215_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37404_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10216_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37405_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10217_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37406_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10218_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37407_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10219_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37408_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10220_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37409_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10221_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37410_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10222_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37411_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10223_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37412_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10224_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37413_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10225_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37414_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10226_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37415_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10227_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37416_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10228_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37417_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10229_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37418_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10230_), + .Q(\soc.core.VexRiscv.execute_to_memory_PC[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37419_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10231_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37420_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10232_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37421_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10233_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37422_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10234_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37423_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10235_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37424_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10236_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37425_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10237_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37426_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10238_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37427_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10239_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37428_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10240_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37429_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10241_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37430_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10242_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37431_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10243_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37432_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10244_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37433_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10245_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37434_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10246_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37435_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10247_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37436_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10248_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37437_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10249_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37438_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10250_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37439_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10251_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37440_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10252_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37441_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10253_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37442_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10254_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37443_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10255_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37444_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10256_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37445_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10257_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37446_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10258_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37447_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10259_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37448_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10260_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtvec_base[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37449_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10261_), + .Q(\soc.core.VexRiscv.CsrPlugin_mip_MSIP ) + ); + sky130_fd_sc_hd__dfxtp_2 _37450_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_MEMORY_ADDRESS_LOW[0] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37451_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_MEMORY_ADDRESS_LOW[1] ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ADDRESS_LOW[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37452_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10262_), + .Q(\soc.core.VexRiscv.execute_to_memory_MEMORY_ADDRESS_LOW[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37453_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10263_), + .Q(\soc.core.VexRiscv.execute_to_memory_MEMORY_ADDRESS_LOW[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37454_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10264_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37455_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10265_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37456_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10266_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37457_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10267_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37458_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10268_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37459_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10269_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37460_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10270_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37461_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10271_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37462_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10272_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37463_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10273_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37464_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10274_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37465_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10275_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37466_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10276_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37467_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10277_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37468_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10278_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37469_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10279_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37470_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10280_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37471_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10281_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37472_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10282_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37473_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10283_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37474_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10284_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37475_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10285_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37476_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10286_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37477_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10287_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37478_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10288_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37479_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10289_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37480_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10290_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37481_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10291_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37482_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10292_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37483_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10293_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37484_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10294_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37485_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10295_), + .Q(\soc.core.VexRiscv.DBusSimplePlugin_memoryExceptionPort_payload_badAddr[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37486_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10296_), + .Q(\soc.core.VexRiscv.execute_to_memory_BRANCH_DO ) + ); + sky130_fd_sc_hd__dfxtp_2 _37487_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10297_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37488_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10298_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37489_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10299_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37490_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10300_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37491_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10301_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37492_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10302_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37493_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10303_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37494_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10304_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37495_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10305_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37496_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10306_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37497_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10307_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37498_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10308_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37499_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10309_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37500_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10310_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37501_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10311_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37502_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10312_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37503_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10313_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37504_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10314_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37505_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10315_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37506_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10316_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37507_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10317_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37508_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10318_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37509_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10319_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37510_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10320_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37511_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10321_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37512_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10322_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37513_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10323_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37514_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10324_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37515_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10325_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37516_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10326_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37517_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10327_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37518_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10328_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37519_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10329_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37520_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10330_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37521_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10331_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37522_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10332_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37523_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10333_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37524_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10334_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37525_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10335_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37526_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10336_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37527_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10337_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37528_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10338_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37529_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10339_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37530_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10340_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37531_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10341_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37532_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10342_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37533_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10343_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37534_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10344_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37535_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10345_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37536_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10346_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37537_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10347_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37538_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10348_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37539_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10349_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37540_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10350_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37541_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10351_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37542_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10352_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37543_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10353_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37544_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10354_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37545_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10355_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37546_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10356_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37547_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10357_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37548_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10358_), + .Q(\soc.core.VexRiscv._zz_CsrPlugin_csrMapping_readDataInit[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37549_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10359_), + .Q(\soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_1 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37550_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10360_), + .Q(\soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_2 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37551_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10361_), + .Q(\soc.core.VexRiscv.CsrPlugin_interrupt_valid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37552_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10362_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_3 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37553_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10363_), + .Q(\soc.core.VexRiscv.CsrPlugin_pipelineLiberator_pcValids_0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37554_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10364_), + .Q(\soc.core.VexRiscv.memory_arbitration_isValid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37555_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10365_), + .Q(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37556_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10366_), + .Q(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_size[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37557_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10367_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_4032 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37558_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_00346_), + .Q(\soc.core.VexRiscv.DebugPlugin_isPipBusy ) + ); + sky130_fd_sc_hd__dfxtp_2 _37559_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10368_), + .Q(\soc.core.VexRiscv.DebugPlugin_haltedByBreak ) + ); + sky130_fd_sc_hd__dfxtp_2 _37560_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10369_), + .Q(\soc.core.VexRiscv.dBusWishbone_WE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37561_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.DebugPlugin_resetIt ), + .Q(\soc.core.VexRiscv.DebugPlugin_resetIt_regNext ) + ); + sky130_fd_sc_hd__dfxtp_2 _37562_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[2] ), + .Q(\soc.core.VexRiscv._zz_when_DebugPlugin_l244 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37563_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10370_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37564_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10371_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37565_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10372_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37566_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10373_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37567_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10374_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37568_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10375_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37569_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10376_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37570_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10377_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37571_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10378_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37572_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10379_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37573_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10380_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37574_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10381_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37575_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10382_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37576_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10383_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37577_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10384_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37578_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10385_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37579_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10386_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37580_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10387_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37581_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10388_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37582_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10389_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37583_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10390_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37584_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10391_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37585_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10392_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37586_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10393_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37587_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10394_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37588_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10395_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37589_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10396_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37590_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10397_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37591_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10398_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37592_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10399_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37593_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10400_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37594_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10401_), + .Q(\soc.core.VexRiscv.CsrPlugin_mtval[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37595_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10402_), + .Q(\soc.core.VexRiscv.CsrPlugin_interrupt_code[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37596_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10403_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37597_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10404_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37598_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10405_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37599_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10406_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37600_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10407_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37601_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10408_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37602_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10409_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37603_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10410_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37604_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10411_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37605_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10412_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37606_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10413_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37607_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10414_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37608_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10415_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37609_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10416_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37610_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10417_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37611_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10418_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37612_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10419_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37613_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10420_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37614_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10421_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37615_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10422_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37616_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10423_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37617_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10424_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37618_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10425_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37619_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10426_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37620_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10427_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37621_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10428_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37622_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10429_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37623_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10430_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37624_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10431_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37625_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10432_), + .Q(\soc.core.VexRiscv.DebugPlugin_busReadDataReg[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37626_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[7] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37627_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[8] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37628_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[9] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37629_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[10] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37630_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[11] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37631_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[12] ), + .Q(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37632_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[13] ), + .Q(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37633_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[14] ), + .Q(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37634_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[28] ), + .Q(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37635_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[29] ), + .Q(\soc.core.VexRiscv._zz_lastStageRegFileWrite_payload_address[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37636_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_MEMORY_ENABLE ), + .Q(\soc.core.VexRiscv.memory_to_writeBack_MEMORY_ENABLE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37637_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.execute_to_memory_REGFILE_WRITE_VALID ), + .Q(\soc.core.VexRiscv._zz_lastStageRegFileWrite_valid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37638_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10433_), + .Q(\soc.core.VexRiscv.decode_to_execute_SRC_LESS_UNSIGNED ) + ); + sky130_fd_sc_hd__dfxtp_2 _37639_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10434_), + .Q(\soc.core.VexRiscv._zz_execute_ALU_BITWISE_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37640_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10435_), + .Q(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37641_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10436_), + .Q(\soc.core.VexRiscv._zz_execute_SHIFT_CTRL[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37642_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10437_), + .Q(\soc.core.VexRiscv._zz_iBusWishbone_ADR[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37643_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10438_), + .Q(\soc.core.VexRiscv._zz_iBusWishbone_ADR[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37644_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10439_), + .Q(\soc.core.VexRiscv._zz_iBusWishbone_ADR[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37645_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10440_), + .Q(\soc.core.VexRiscv.CsrPlugin_hadException ) + ); + sky130_fd_sc_hd__dfxtp_2 _37646_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10441_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_836 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37647_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10442_), + .Q(\soc.core.VexRiscv._zz_memory_ENV_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37648_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10443_), + .Q(\soc.core.VexRiscv._zz_memory_ENV_CTRL[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37649_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10444_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37650_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10445_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37651_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10446_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37652_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10447_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37653_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10448_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37654_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10449_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37655_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10450_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37656_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10451_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37657_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10452_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37658_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10453_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37659_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10454_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37660_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10455_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37661_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10456_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37662_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10457_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37663_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10458_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37664_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10459_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37665_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10460_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37666_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10461_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37667_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10462_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37668_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10463_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37669_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10464_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37670_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10465_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37671_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10466_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37672_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10467_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37673_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10468_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37674_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10469_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37675_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10470_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37676_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10471_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37677_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10472_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37678_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10473_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37679_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10474_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37680_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10475_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS1[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37681_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10476_), + .Q(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37682_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10477_), + .Q(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37683_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10478_), + .Q(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37684_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10479_), + .Q(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37685_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10480_), + .Q(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37686_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10481_), + .Q(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37687_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10482_), + .Q(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37688_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10483_), + .Q(\soc.core.VexRiscv._zz_dBus_cmd_payload_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37689_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10484_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37690_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10485_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37691_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10486_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37692_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10487_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37693_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10488_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37694_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10489_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37695_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10490_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37696_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10491_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37697_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10492_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37698_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10493_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37699_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10494_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37700_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10495_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37701_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10496_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37702_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10497_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37703_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10498_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37704_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10499_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37705_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10500_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37706_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10501_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37707_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10502_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37708_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10503_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37709_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10504_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37710_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10505_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37711_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10506_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37712_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10507_), + .Q(\soc.core.VexRiscv.decode_to_execute_RS2[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37713_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10508_), + .Q(\soc.core.VexRiscv.decode_to_execute_SRC2_FORCE_ZERO ) + ); + sky130_fd_sc_hd__dfxtp_2 _37714_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10509_), + .Q(\soc.core.VexRiscv.decode_to_execute_CSR_WRITE_OPCODE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37715_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10510_), + .Q(\soc.core.VexRiscv._zz_execute_ENV_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37716_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10511_), + .Q(\soc.core.VexRiscv._zz_execute_ENV_CTRL[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37717_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv._zz_memory_ENV_CTRL[0] ), + .Q(\soc.core.VexRiscv._zz_writeBack_ENV_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37718_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv._zz_memory_ENV_CTRL[1] ), + .Q(\soc.core.VexRiscv._zz_writeBack_ENV_CTRL[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37719_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10512_), + .Q(\soc.core.VexRiscv.execute_to_memory_ALIGNEMENT_FAULT ) + ); + sky130_fd_sc_hd__dfxtp_2 _37720_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10513_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_773 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37721_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10514_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_833 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37722_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10515_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37723_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10516_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37724_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10517_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37725_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10518_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37726_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10519_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37727_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10520_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37728_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10521_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37729_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10522_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37730_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10523_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37731_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10524_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37732_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10525_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37733_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10526_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37734_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10527_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37735_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10528_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37736_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10529_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37737_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10530_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37738_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10531_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37739_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10532_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37740_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10533_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37741_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10534_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37742_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10535_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37743_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10536_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37744_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10537_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37745_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10538_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37746_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10539_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37747_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10540_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37748_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10541_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37749_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10542_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37750_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10543_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37751_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10544_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37752_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10545_), + .Q(\soc.core.VexRiscv.BranchPlugin_branchExceptionPort_payload_badAddr[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37753_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10546_), + .Q(\soc.core.VexRiscv.CsrPlugin_mstatus_MPIE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37754_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10547_), + .Q(\soc.core.VexRiscv.CsrPlugin_mie_MEIE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37755_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10548_), + .Q(\soc.core.VexRiscv.CsrPlugin_mie_MTIE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37756_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10549_), + .Q(\soc.core.VexRiscv.CsrPlugin_mstatus_MPP[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37757_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10550_), + .Q(\soc.core.VexRiscv.CsrPlugin_mstatus_MPP[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37758_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10551_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_0 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37759_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10552_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37760_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10553_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37761_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10554_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_when_Fetcher_l398[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37762_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10555_), + .Q(\soc.core.VexRiscv.dBusWishbone_CYC ) + ); + sky130_fd_sc_hd__dfxtp_2 _37763_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[0] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37764_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[1] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37765_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[2] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37766_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[3] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37767_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[4] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37768_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[5] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37769_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[6] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37770_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[7] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37771_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[8] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37772_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[9] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37773_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[10] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37774_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[11] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37775_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[12] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37776_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[13] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37777_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[14] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37778_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[15] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37779_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[16] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37780_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[17] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37781_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[18] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37782_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[19] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37783_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[20] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37784_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[21] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37785_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[22] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37786_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[23] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37787_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[24] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37788_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[25] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37789_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[26] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37790_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[27] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37791_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[28] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37792_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[29] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37793_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[30] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37794_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.dBusWishbone_DAT_MISO[31] ), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_mem_rsp_payload_data[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37795_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10556_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37796_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10557_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37797_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10558_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37798_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10559_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37799_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10560_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37800_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10561_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37801_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10562_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37802_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10563_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37803_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10564_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37804_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10565_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37805_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10566_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37806_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10567_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37807_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10568_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37808_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10569_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37809_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10570_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37810_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10571_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37811_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10572_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37812_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10573_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37813_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10574_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37814_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10575_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37815_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10576_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37816_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10577_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37817_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10578_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37818_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10579_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37819_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10580_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37820_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10581_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37821_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10582_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37822_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10583_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37823_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10584_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_physicalAddress[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37824_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10585_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.io_cpu_fetch_mmuRsp_isIoAccess ) + ); + sky130_fd_sc_hd__dfxtp_2 _37825_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10586_), + .Q(\soc.core.VexRiscv.lastStageIsFiring ) + ); + sky130_fd_sc_hd__dfxtp_2 _37826_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10587_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37827_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10588_), + .Q(\soc.core.VexRiscv.DebugPlugin_disableEbreak ) + ); + sky130_fd_sc_hd__dfxtp_2 _37828_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10589_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37829_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10590_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37830_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10591_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37831_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10592_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37832_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10593_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37833_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10594_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37834_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10595_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37835_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10596_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37836_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10597_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37837_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10598_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37838_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10599_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37839_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10600_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37840_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10601_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37841_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10602_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37842_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10603_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37843_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10604_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37844_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10605_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37845_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10606_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37846_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10607_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37847_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10608_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37848_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10609_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37849_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10610_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37850_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10611_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37851_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10612_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37852_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10613_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37853_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10614_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37854_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10615_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37855_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10616_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37856_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10617_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37857_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10618_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37858_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[0] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37859_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[1] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37860_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[2] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37861_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[3] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37862_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(\soc.core.VexRiscv.HazardSimplePlugin_writeBackWrites_payload_address[4] ), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_payload_address[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37863_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10619_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPendings_2 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37864_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10620_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37865_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10621_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37866_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10622_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37867_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10623_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37868_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10624_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37869_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10625_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37870_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10626_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37871_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10627_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37872_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10628_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37873_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10629_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37874_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10630_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37875_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10631_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37876_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10632_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37877_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10633_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37878_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10634_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37879_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10635_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37880_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10636_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37881_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10637_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37882_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10638_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37883_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10639_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37884_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10640_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37885_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10641_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37886_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10642_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37887_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10643_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37888_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10644_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37889_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10645_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37890_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10646_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37891_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10647_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37892_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10648_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37893_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10649_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37894_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10650_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37895_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10651_), + .Q(\soc.core.VexRiscv.dBusWishbone_DAT_MOSI[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37896_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10652_), + .Q(\soc.core.VexRiscv.execute_arbitration_isValid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37897_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10653_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_834 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37898_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10654_), + .Q(\soc.core.VexRiscv.execute_CsrPlugin_csr_835 ) + ); + sky130_fd_sc_hd__dfxtp_2 _37899_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10655_), + .Q(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37900_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10656_), + .Q(\soc.core.VexRiscv._zz_execute_BRANCH_CTRL[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37901_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10657_), + .Q(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37902_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10658_), + .Q(\soc.core.VexRiscv.dBus_cmd_halfPipe_payload_address[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37903_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10659_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37904_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10660_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37905_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10661_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37906_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10662_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37907_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10663_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37908_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10664_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37909_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10665_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37910_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10666_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37911_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10667_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37912_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10668_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37913_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10669_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37914_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10670_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37915_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10671_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37916_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10672_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37917_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10673_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37918_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10674_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37919_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10675_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37920_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10676_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37921_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10677_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37922_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10678_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37923_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10679_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37924_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10680_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37925_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10681_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37926_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10682_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37927_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10683_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37928_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10684_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37929_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10685_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37930_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10686_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37931_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10687_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37932_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10688_), + .Q(\soc.core.VexRiscv.dBusWishbone_ADR[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37933_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10689_), + .Q(\soc.core.VexRiscv.HazardSimplePlugin_writeBackBuffer_valid ) + ); + sky130_fd_sc_hd__dfxtp_2 _37934_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10690_), + .Q(\soc.core.VexRiscv.lastStagePc[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37935_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10691_), + .Q(\soc.core.VexRiscv.lastStagePc[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37936_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10692_), + .Q(\soc.core.VexRiscv.lastStagePc[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37937_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10693_), + .Q(\soc.core.VexRiscv.lastStagePc[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37938_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10694_), + .Q(\soc.core.VexRiscv.lastStagePc[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37939_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10695_), + .Q(\soc.core.VexRiscv.lastStagePc[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37940_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10696_), + .Q(\soc.core.VexRiscv.lastStagePc[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37941_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10697_), + .Q(\soc.core.VexRiscv.lastStagePc[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37942_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10698_), + .Q(\soc.core.VexRiscv.lastStagePc[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37943_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10699_), + .Q(\soc.core.VexRiscv.lastStagePc[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37944_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10700_), + .Q(\soc.core.VexRiscv.lastStagePc[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37945_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10701_), + .Q(\soc.core.VexRiscv.lastStagePc[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37946_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10702_), + .Q(\soc.core.VexRiscv.lastStagePc[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37947_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10703_), + .Q(\soc.core.VexRiscv.lastStagePc[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37948_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10704_), + .Q(\soc.core.VexRiscv.lastStagePc[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37949_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10705_), + .Q(\soc.core.VexRiscv.lastStagePc[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37950_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10706_), + .Q(\soc.core.VexRiscv.lastStagePc[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37951_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10707_), + .Q(\soc.core.VexRiscv.lastStagePc[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37952_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10708_), + .Q(\soc.core.VexRiscv.lastStagePc[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37953_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10709_), + .Q(\soc.core.VexRiscv.lastStagePc[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37954_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10710_), + .Q(\soc.core.VexRiscv.lastStagePc[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37955_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10711_), + .Q(\soc.core.VexRiscv.lastStagePc[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37956_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10712_), + .Q(\soc.core.VexRiscv.lastStagePc[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37957_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10713_), + .Q(\soc.core.VexRiscv.lastStagePc[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37958_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10714_), + .Q(\soc.core.VexRiscv.lastStagePc[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37959_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10715_), + .Q(\soc.core.VexRiscv.lastStagePc[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37960_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10716_), + .Q(\soc.core.VexRiscv.lastStagePc[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37961_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10717_), + .Q(\soc.core.VexRiscv.lastStagePc[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37962_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10718_), + .Q(\soc.core.VexRiscv.lastStagePc[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37963_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10719_), + .Q(\soc.core.VexRiscv.lastStagePc[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37964_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10720_), + .Q(\soc.core.VexRiscv.execute_to_memory_REGFILE_WRITE_VALID ) + ); + sky130_fd_sc_hd__dfxtp_2 _37965_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10721_), + .Q(\soc.core.VexRiscv.decode_to_execute_REGFILE_WRITE_VALID ) + ); + sky130_fd_sc_hd__dfxtp_2 _37966_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10722_), + .Q(\soc.core.VexRiscv._zz_execute_ALU_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37967_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10723_), + .Q(\soc.core.VexRiscv._zz_execute_ALU_CTRL[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37968_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10724_), + .Q(\soc.core.VexRiscv.execute_to_memory_MEMORY_ENABLE ) + ); + sky130_fd_sc_hd__dfxtp_2 _37969_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10725_), + .Q(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37970_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10726_), + .Q(\soc.core.VexRiscv._zz_execute_SRC1_CTRL[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37971_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10727_), + .Q(\soc.core.VexRiscv.decode_to_execute_SRC_USE_SUB_LESS ) + ); + sky130_fd_sc_hd__dfxtp_2 _37972_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10728_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37973_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10729_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37974_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10730_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37975_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10731_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37976_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10732_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37977_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10733_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37978_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10734_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37979_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10735_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37980_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10736_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37981_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10737_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37982_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10738_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37983_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10739_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37984_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10740_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37985_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10741_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37986_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10742_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37987_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10743_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37988_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10744_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37989_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10745_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37990_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10746_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37991_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10747_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37992_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10748_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37993_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10749_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37994_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10750_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37995_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10751_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37996_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10752_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37997_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10753_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37998_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10754_), + .Q(\soc.core.VexRiscv.IBusCachedPlugin_cache._zz_fetchStage_read_waysValues_0_tag_valid_2[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _37999_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10755_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38000_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10756_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38001_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10757_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38002_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10758_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38003_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10759_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38004_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10760_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38005_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10761_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38006_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10762_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38007_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10763_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38008_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10764_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38009_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10765_), + .Q(\soc.core.VexRiscv.execute_to_memory_INSTRUCTION[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38010_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10766_), + .Q(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38011_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10767_), + .Q(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38012_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10768_), + .Q(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38013_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10769_), + .Q(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38014_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10770_), + .Q(\soc.core.VexRiscv.execute_LightShifterPlugin_amplitudeReg[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38015_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10771_), + .Q(\soc.core.VexRiscv.CsrPlugin_exceptionPortCtrl_exceptionContext_code[0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38016_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10772_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38017_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10773_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38018_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10774_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38019_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10775_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38020_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10776_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38021_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10777_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38022_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10778_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38023_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10779_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38024_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10780_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38025_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10781_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38026_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10782_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38027_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10783_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38028_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10784_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38029_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10785_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38030_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10786_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38031_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10787_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38032_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10788_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38033_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10789_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38034_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10790_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38035_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10791_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38036_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10792_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38037_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10793_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38038_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10794_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38039_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10795_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38040_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10796_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38041_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10797_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38042_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10798_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38043_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10799_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38044_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10800_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38045_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10801_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38046_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10802_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38047_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10803_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[23][31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38048_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10804_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38049_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10805_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38050_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10806_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38051_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10807_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38052_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10808_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38053_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10809_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38054_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10810_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38055_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10811_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38056_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10812_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38057_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10813_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38058_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10814_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38059_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10815_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38060_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10816_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38061_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10817_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38062_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10818_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38063_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10819_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38064_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10820_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38065_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10821_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38066_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10822_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38067_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10823_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38068_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10824_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38069_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10825_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38070_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10826_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38071_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10827_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38072_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10828_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38073_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10829_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38074_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10830_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38075_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10831_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38076_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10832_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38077_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10833_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38078_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10834_), + .Q(\soc.core.mgmtsoc_litespisdrphycore_sr_in[31] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38079_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10835_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][0] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38080_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10836_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][1] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38081_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10837_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38082_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10838_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38083_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10839_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38084_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10840_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38085_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10841_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38086_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10842_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][7] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38087_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10843_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][8] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38088_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10844_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][9] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38089_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10845_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][10] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38090_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10846_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][11] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38091_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10847_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][12] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38092_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10848_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][13] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38093_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10849_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][14] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38094_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10850_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][15] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38095_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10851_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][16] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38096_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10852_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][17] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38097_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10853_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][18] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38098_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10854_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][19] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38099_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10855_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][20] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38100_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10856_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][21] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38101_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10857_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][22] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38102_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10858_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][23] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38103_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10859_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][24] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38104_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10860_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][25] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38105_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10861_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][26] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38106_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10862_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][27] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38107_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10863_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][28] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38108_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10864_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][29] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38109_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10865_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][30] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38110_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10866_), + .Q(\soc.core.VexRiscv.RegFilePlugin_regFile[30][31] ) + ); + sky130_fd_sc_hd__dfrtp_2 _38111_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10867_), + .Q(\pll.pll_control.count1[0] ), + .RESET_B(_06130_) + ); + sky130_fd_sc_hd__dfrtp_2 _38112_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10868_), + .Q(\pll.pll_control.count1[1] ), + .RESET_B(_06131_) + ); + sky130_fd_sc_hd__dfrtp_2 _38113_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10869_), + .Q(\pll.pll_control.count1[2] ), + .RESET_B(_06132_) + ); + sky130_fd_sc_hd__dfrtp_2 _38114_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10870_), + .Q(\pll.pll_control.count1[3] ), + .RESET_B(_06133_) + ); + sky130_fd_sc_hd__dfrtp_2 _38115_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10871_), + .Q(\pll.pll_control.count1[4] ), + .RESET_B(_06134_) + ); + sky130_fd_sc_hd__dfrtp_2 _38116_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(clock_core), + .Q(\pll.pll_control.oscbuf[0] ), + .RESET_B(_06135_) + ); + sky130_fd_sc_hd__dfrtp_2 _38117_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(\pll.pll_control.oscbuf[0] ), + .Q(\pll.pll_control.oscbuf[1] ), + .RESET_B(_06136_) + ); + sky130_fd_sc_hd__dfrtp_2 _38118_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(\pll.pll_control.oscbuf[1] ), + .Q(\pll.pll_control.oscbuf[2] ), + .RESET_B(_06137_) + ); + sky130_fd_sc_hd__dfxtp_2 _38119_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10872_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[2] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38120_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10873_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[3] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38121_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10874_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[4] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38122_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10875_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[5] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38123_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10876_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[6] ) + ); + sky130_fd_sc_hd__dfxtp_2 _38124_ ( + .CLK(\mgmt_buffers.caravel_clk ), + .D(_10877_), + .Q(\soc.core.mgmtsoc_vexriscv_i_cmd_payload_address[7] ) + ); + sky130_fd_sc_hd__dfrtp_2 _38125_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10878_), + .Q(\pll.pll_control.prep[0] ), + .RESET_B(_06138_) + ); + sky130_fd_sc_hd__dfrtp_2 _38126_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10879_), + .Q(\pll.pll_control.prep[1] ), + .RESET_B(_06139_) + ); + sky130_fd_sc_hd__dfrtp_2 _38127_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10880_), + .Q(\pll.pll_control.prep[2] ), + .RESET_B(_06140_) + ); + sky130_fd_sc_hd__dfrtp_2 _38128_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10881_), + .Q(\pll.pll_control.count0[0] ), + .RESET_B(_06141_) + ); + sky130_fd_sc_hd__dfrtp_2 _38129_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10882_), + .Q(\pll.pll_control.count0[1] ), + .RESET_B(_06142_) + ); + sky130_fd_sc_hd__dfrtp_2 _38130_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10883_), + .Q(\pll.pll_control.count0[2] ), + .RESET_B(_06143_) + ); + sky130_fd_sc_hd__dfrtp_2 _38131_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10884_), + .Q(\pll.pll_control.count0[3] ), + .RESET_B(_06144_) + ); + sky130_fd_sc_hd__dfrtp_2 _38132_ ( + .CLK(\pll.clockp_buffer_in[0] ), + .D(_10885_), + .Q(\pll.pll_control.count0[4] ), + .RESET_B(_06145_) + ); + sky130_fd_sc_hd__dfbbn_2 _38133_ ( + .CLK_N(_06146_), + .D(\gpio_control_bidir_1[0].shift_register[7] ), + .Q(\gpio_control_bidir_1[0].gpio_ana_pol ), + .Q_N(_16361_), + .RESET_B(_05135_), + .SET_B(_05136_) + ); + sky130_fd_sc_hd__dfbbn_2 _38134_ ( + .CLK_N(_06147_), + .D(\gpio_control_bidir_1[0].shift_register[6] ), + .Q(\gpio_control_bidir_1[0].gpio_ana_sel ), + .Q_N(_16360_), + .RESET_B(_05137_), + .SET_B(_05138_) + ); + sky130_fd_sc_hd__dfbbn_2 _38135_ ( + .CLK_N(_06148_), + .D(\gpio_control_bidir_1[0].shift_register[5] ), + .Q(\gpio_control_bidir_1[0].gpio_ana_en ), + .Q_N(_16359_), + .RESET_B(_05139_), + .SET_B(_05140_) + ); + sky130_fd_sc_hd__dfbbn_2 _38136_ ( + .CLK_N(_06149_), + .D(\gpio_control_bidir_1[0].shift_register[10] ), + .Q(mprj_io_dm[0]), + .Q_N(_04588_), + .RESET_B(_05141_), + .SET_B(_05142_) + ); + sky130_fd_sc_hd__dfbbn_2 _38137_ ( + .CLK_N(_06150_), + .D(\gpio_control_bidir_1[0].shift_register[11] ), + .Q(mprj_io_dm[1]), + .Q_N(_16358_), + .RESET_B(_05143_), + .SET_B(_05144_) + ); + sky130_fd_sc_hd__dfbbn_2 _38138_ ( + .CLK_N(_06151_), + .D(\gpio_control_bidir_1[0].shift_register[12] ), + .Q(mprj_io_dm[2]), + .Q_N(_16357_), + .RESET_B(_05145_), + .SET_B(_05146_) + ); + sky130_fd_sc_hd__dfbbn_2 _38139_ ( + .CLK_N(_06152_), + .D(\gpio_control_bidir_1[0].shift_register[1] ), + .Q(\gpio_control_bidir_1[0].gpio_outenb ), + .Q_N(_16356_), + .RESET_B(_05147_), + .SET_B(_05148_) + ); + sky130_fd_sc_hd__dfbbn_2 _38140_ ( + .CLK_N(_06153_), + .D(\gpio_control_bidir_1[0].shift_register[4] ), + .Q(\gpio_control_bidir_1[0].gpio_ib_mode_sel ), + .Q_N(_16355_), + .RESET_B(_05149_), + .SET_B(_05150_) + ); + sky130_fd_sc_hd__dfbbn_2 _38141_ ( + .CLK_N(_06154_), + .D(\gpio_control_bidir_1[0].shift_register[3] ), + .Q(\gpio_control_bidir_1[0].gpio_inenb ), + .Q_N(_16354_), + .RESET_B(_05151_), + .SET_B(_05152_) + ); + sky130_fd_sc_hd__dfbbn_2 _38142_ ( + .CLK_N(_06155_), + .D(\gpio_control_bidir_1[0].shift_register[9] ), + .Q(\gpio_control_bidir_1[0].gpio_vtrip_sel ), + .Q_N(_16353_), + .RESET_B(_05153_), + .SET_B(_05154_) + ); + sky130_fd_sc_hd__dfbbn_2 _38143_ ( + .CLK_N(_06156_), + .D(\gpio_control_bidir_1[0].shift_register[8] ), + .Q(\gpio_control_bidir_1[0].gpio_slow_sel ), + .Q_N(_16352_), + .RESET_B(_05155_), + .SET_B(_05156_) + ); + sky130_fd_sc_hd__dfbbn_2 _38144_ ( + .CLK_N(_06157_), + .D(\gpio_control_bidir_1[0].shift_register[2] ), + .Q(\gpio_control_bidir_1[0].gpio_holdover ), + .Q_N(_16351_), + .RESET_B(_05157_), + .SET_B(_05158_) + ); + sky130_fd_sc_hd__dfbbn_2 _38145_ ( + .CLK_N(_06158_), + .D(\gpio_control_bidir_1[0].shift_register[0] ), + .Q(\gpio_control_bidir_1[0].mgmt_ena ), + .Q_N(_16362_), + .RESET_B(_05159_), + .SET_B(_05160_) + ); + sky130_fd_sc_hd__dfrtp_2 _38146_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].serial_data_in ), + .Q(\gpio_control_bidir_1[0].shift_register[0] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38147_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[0] ), + .Q(\gpio_control_bidir_1[0].shift_register[1] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38148_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[1] ), + .Q(\gpio_control_bidir_1[0].shift_register[2] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38149_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[2] ), + .Q(\gpio_control_bidir_1[0].shift_register[3] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38150_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[3] ), + .Q(\gpio_control_bidir_1[0].shift_register[4] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38151_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[4] ), + .Q(\gpio_control_bidir_1[0].shift_register[5] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38152_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[5] ), + .Q(\gpio_control_bidir_1[0].shift_register[6] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38153_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[6] ), + .Q(\gpio_control_bidir_1[0].shift_register[7] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38154_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[7] ), + .Q(\gpio_control_bidir_1[0].shift_register[8] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38155_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[8] ), + .Q(\gpio_control_bidir_1[0].shift_register[9] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38156_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[9] ), + .Q(\gpio_control_bidir_1[0].shift_register[10] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38157_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[10] ), + .Q(\gpio_control_bidir_1[0].shift_register[11] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38158_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_1[0].shift_register[11] ), + .Q(\gpio_control_bidir_1[0].shift_register[12] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38159_ ( + .CLK(_06159_), + .D(\gpio_control_bidir_1[0].shift_register[12] ), + .Q(\gpio_control_bidir_1[0].serial_data_out ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38160_ ( + .CLK_N(_06160_), + .D(\gpio_control_bidir_1[1].shift_register[7] ), + .Q(\gpio_control_bidir_1[1].gpio_ana_pol ), + .Q_N(_16350_), + .RESET_B(_05161_), + .SET_B(_05162_) + ); + sky130_fd_sc_hd__dfbbn_2 _38161_ ( + .CLK_N(_06161_), + .D(\gpio_control_bidir_1[1].shift_register[6] ), + .Q(\gpio_control_bidir_1[1].gpio_ana_sel ), + .Q_N(_16349_), + .RESET_B(_05163_), + .SET_B(_05164_) + ); + sky130_fd_sc_hd__dfbbn_2 _38162_ ( + .CLK_N(_06162_), + .D(\gpio_control_bidir_1[1].shift_register[5] ), + .Q(\gpio_control_bidir_1[1].gpio_ana_en ), + .Q_N(_16348_), + .RESET_B(_05165_), + .SET_B(_05166_) + ); + sky130_fd_sc_hd__dfbbn_2 _38163_ ( + .CLK_N(_06163_), + .D(\gpio_control_bidir_1[1].shift_register[10] ), + .Q(mprj_io_dm[3]), + .Q_N(_04593_), + .RESET_B(_05167_), + .SET_B(_05168_) + ); + sky130_fd_sc_hd__dfbbn_2 _38164_ ( + .CLK_N(_06164_), + .D(\gpio_control_bidir_1[1].shift_register[11] ), + .Q(mprj_io_dm[4]), + .Q_N(_16347_), + .RESET_B(_05169_), + .SET_B(_05170_) + ); + sky130_fd_sc_hd__dfbbn_2 _38165_ ( + .CLK_N(_06165_), + .D(\gpio_control_bidir_1[1].shift_register[12] ), + .Q(mprj_io_dm[5]), + .Q_N(_16346_), + .RESET_B(_05171_), + .SET_B(_05172_) + ); + sky130_fd_sc_hd__dfbbn_2 _38166_ ( + .CLK_N(_06166_), + .D(\gpio_control_bidir_1[1].shift_register[1] ), + .Q(\gpio_control_bidir_1[1].gpio_outenb ), + .Q_N(_16345_), + .RESET_B(_05173_), + .SET_B(_05174_) + ); + sky130_fd_sc_hd__dfbbn_2 _38167_ ( + .CLK_N(_06167_), + .D(\gpio_control_bidir_1[1].shift_register[4] ), + .Q(\gpio_control_bidir_1[1].gpio_ib_mode_sel ), + .Q_N(_16344_), + .RESET_B(_05175_), + .SET_B(_05176_) + ); + sky130_fd_sc_hd__dfbbn_2 _38168_ ( + .CLK_N(_06168_), + .D(\gpio_control_bidir_1[1].shift_register[3] ), + .Q(\gpio_control_bidir_1[1].gpio_inenb ), + .Q_N(_16343_), + .RESET_B(_05177_), + .SET_B(_05178_) + ); + sky130_fd_sc_hd__dfbbn_2 _38169_ ( + .CLK_N(_06169_), + .D(\gpio_control_bidir_1[1].shift_register[9] ), + .Q(\gpio_control_bidir_1[1].gpio_vtrip_sel ), + .Q_N(_16342_), + .RESET_B(_05179_), + .SET_B(_05180_) + ); + sky130_fd_sc_hd__dfbbn_2 _38170_ ( + .CLK_N(_06170_), + .D(\gpio_control_bidir_1[1].shift_register[8] ), + .Q(\gpio_control_bidir_1[1].gpio_slow_sel ), + .Q_N(_16341_), + .RESET_B(_05181_), + .SET_B(_05182_) + ); + sky130_fd_sc_hd__dfbbn_2 _38171_ ( + .CLK_N(_06171_), + .D(\gpio_control_bidir_1[1].shift_register[2] ), + .Q(\gpio_control_bidir_1[1].gpio_holdover ), + .Q_N(_16340_), + .RESET_B(_05183_), + .SET_B(_05184_) + ); + sky130_fd_sc_hd__dfbbn_2 _38172_ ( + .CLK_N(_06172_), + .D(\gpio_control_bidir_1[1].shift_register[0] ), + .Q(\gpio_control_bidir_1[1].mgmt_ena ), + .Q_N(_16363_), + .RESET_B(_05185_), + .SET_B(_05186_) + ); + sky130_fd_sc_hd__dfrtp_2 _38173_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[0].serial_data_out ), + .Q(\gpio_control_bidir_1[1].shift_register[0] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38174_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[0] ), + .Q(\gpio_control_bidir_1[1].shift_register[1] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38175_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[1] ), + .Q(\gpio_control_bidir_1[1].shift_register[2] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38176_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[2] ), + .Q(\gpio_control_bidir_1[1].shift_register[3] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38177_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[3] ), + .Q(\gpio_control_bidir_1[1].shift_register[4] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38178_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[4] ), + .Q(\gpio_control_bidir_1[1].shift_register[5] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38179_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[5] ), + .Q(\gpio_control_bidir_1[1].shift_register[6] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38180_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[6] ), + .Q(\gpio_control_bidir_1[1].shift_register[7] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38181_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[7] ), + .Q(\gpio_control_bidir_1[1].shift_register[8] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38182_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[8] ), + .Q(\gpio_control_bidir_1[1].shift_register[9] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38183_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[9] ), + .Q(\gpio_control_bidir_1[1].shift_register[10] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38184_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[10] ), + .Q(\gpio_control_bidir_1[1].shift_register[11] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38185_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock_out ), + .D(\gpio_control_bidir_1[1].shift_register[11] ), + .Q(\gpio_control_bidir_1[1].shift_register[12] ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38186_ ( + .CLK(_06173_), + .D(\gpio_control_bidir_1[1].shift_register[12] ), + .Q(\gpio_control_bidir_1[1].serial_data_out ), + .RESET_B(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38187_ ( + .CLK_N(_06174_), + .D(\gpio_control_in_1a[0].shift_register[7] ), + .Q(\gpio_control_in_1a[0].gpio_ana_pol ), + .Q_N(_16339_), + .RESET_B(_05187_), + .SET_B(_05188_) + ); + sky130_fd_sc_hd__dfbbn_2 _38188_ ( + .CLK_N(_06175_), + .D(\gpio_control_in_1a[0].shift_register[6] ), + .Q(\gpio_control_in_1a[0].gpio_ana_sel ), + .Q_N(_16338_), + .RESET_B(_05189_), + .SET_B(_05190_) + ); + sky130_fd_sc_hd__dfbbn_2 _38189_ ( + .CLK_N(_06176_), + .D(\gpio_control_in_1a[0].shift_register[5] ), + .Q(\gpio_control_in_1a[0].gpio_ana_en ), + .Q_N(_16337_), + .RESET_B(_05191_), + .SET_B(_05192_) + ); + sky130_fd_sc_hd__dfbbn_2 _38190_ ( + .CLK_N(_06177_), + .D(\gpio_control_in_1a[0].shift_register[10] ), + .Q(mprj_io_dm[6]), + .Q_N(_04598_), + .RESET_B(_05193_), + .SET_B(_05194_) + ); + sky130_fd_sc_hd__dfbbn_2 _38191_ ( + .CLK_N(_06178_), + .D(\gpio_control_in_1a[0].shift_register[11] ), + .Q(mprj_io_dm[7]), + .Q_N(_16336_), + .RESET_B(_05195_), + .SET_B(_05196_) + ); + sky130_fd_sc_hd__dfbbn_2 _38192_ ( + .CLK_N(_06179_), + .D(\gpio_control_in_1a[0].shift_register[12] ), + .Q(mprj_io_dm[8]), + .Q_N(_16335_), + .RESET_B(_05197_), + .SET_B(_05198_) + ); + sky130_fd_sc_hd__dfbbn_2 _38193_ ( + .CLK_N(_06180_), + .D(\gpio_control_in_1a[0].shift_register[1] ), + .Q(\gpio_control_in_1a[0].gpio_outenb ), + .Q_N(_16334_), + .RESET_B(_05199_), + .SET_B(_05200_) + ); + sky130_fd_sc_hd__dfbbn_2 _38194_ ( + .CLK_N(_06181_), + .D(\gpio_control_in_1a[0].shift_register[4] ), + .Q(\gpio_control_in_1a[0].gpio_ib_mode_sel ), + .Q_N(_16333_), + .RESET_B(_05201_), + .SET_B(_05202_) + ); + sky130_fd_sc_hd__dfbbn_2 _38195_ ( + .CLK_N(_06182_), + .D(\gpio_control_in_1a[0].shift_register[3] ), + .Q(\gpio_control_in_1a[0].gpio_inenb ), + .Q_N(_16332_), + .RESET_B(_05203_), + .SET_B(_05204_) + ); + sky130_fd_sc_hd__dfbbn_2 _38196_ ( + .CLK_N(_06183_), + .D(\gpio_control_in_1a[0].shift_register[9] ), + .Q(\gpio_control_in_1a[0].gpio_vtrip_sel ), + .Q_N(_16331_), + .RESET_B(_05205_), + .SET_B(_05206_) + ); + sky130_fd_sc_hd__dfbbn_2 _38197_ ( + .CLK_N(_06184_), + .D(\gpio_control_in_1a[0].shift_register[8] ), + .Q(\gpio_control_in_1a[0].gpio_slow_sel ), + .Q_N(_16330_), + .RESET_B(_05207_), + .SET_B(_05208_) + ); + sky130_fd_sc_hd__dfbbn_2 _38198_ ( + .CLK_N(_06185_), + .D(\gpio_control_in_1a[0].shift_register[2] ), + .Q(\gpio_control_in_1a[0].gpio_holdover ), + .Q_N(_16329_), + .RESET_B(_05209_), + .SET_B(_05210_) + ); + sky130_fd_sc_hd__dfbbn_2 _38199_ ( + .CLK_N(_06186_), + .D(\gpio_control_in_1a[0].shift_register[0] ), + .Q(\gpio_control_in_1a[0].mgmt_ena ), + .Q_N(_16364_), + .RESET_B(_05211_), + .SET_B(_05212_) + ); + sky130_fd_sc_hd__dfrtp_2 _38200_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_bidir_1[1].serial_data_out ), + .Q(\gpio_control_in_1a[0].shift_register[0] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38201_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[0] ), + .Q(\gpio_control_in_1a[0].shift_register[1] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38202_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[1] ), + .Q(\gpio_control_in_1a[0].shift_register[2] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38203_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[2] ), + .Q(\gpio_control_in_1a[0].shift_register[3] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38204_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[3] ), + .Q(\gpio_control_in_1a[0].shift_register[4] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38205_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[4] ), + .Q(\gpio_control_in_1a[0].shift_register[5] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38206_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[5] ), + .Q(\gpio_control_in_1a[0].shift_register[6] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38207_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[6] ), + .Q(\gpio_control_in_1a[0].shift_register[7] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38208_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[7] ), + .Q(\gpio_control_in_1a[0].shift_register[8] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38209_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[8] ), + .Q(\gpio_control_in_1a[0].shift_register[9] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38210_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[9] ), + .Q(\gpio_control_in_1a[0].shift_register[10] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38211_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[10] ), + .Q(\gpio_control_in_1a[0].shift_register[11] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38212_ ( + .CLK(\gpio_control_bidir_1[1].serial_clock_out ), + .D(\gpio_control_in_1a[0].shift_register[11] ), + .Q(\gpio_control_in_1a[0].shift_register[12] ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38213_ ( + .CLK(_06187_), + .D(\gpio_control_in_1a[0].shift_register[12] ), + .Q(\gpio_control_in_1a[0].serial_data_out ), + .RESET_B(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38214_ ( + .CLK_N(_06188_), + .D(\gpio_control_in_1a[1].shift_register[7] ), + .Q(\gpio_control_in_1a[1].gpio_ana_pol ), + .Q_N(_16328_), + .RESET_B(_05213_), + .SET_B(_05214_) + ); + sky130_fd_sc_hd__dfbbn_2 _38215_ ( + .CLK_N(_06189_), + .D(\gpio_control_in_1a[1].shift_register[6] ), + .Q(\gpio_control_in_1a[1].gpio_ana_sel ), + .Q_N(_16327_), + .RESET_B(_05215_), + .SET_B(_05216_) + ); + sky130_fd_sc_hd__dfbbn_2 _38216_ ( + .CLK_N(_06190_), + .D(\gpio_control_in_1a[1].shift_register[5] ), + .Q(\gpio_control_in_1a[1].gpio_ana_en ), + .Q_N(_16326_), + .RESET_B(_05217_), + .SET_B(_05218_) + ); + sky130_fd_sc_hd__dfbbn_2 _38217_ ( + .CLK_N(_06191_), + .D(\gpio_control_in_1a[1].shift_register[10] ), + .Q(mprj_io_dm[9]), + .Q_N(_04603_), + .RESET_B(_05219_), + .SET_B(_05220_) + ); + sky130_fd_sc_hd__dfbbn_2 _38218_ ( + .CLK_N(_06192_), + .D(\gpio_control_in_1a[1].shift_register[11] ), + .Q(mprj_io_dm[10]), + .Q_N(_16325_), + .RESET_B(_05221_), + .SET_B(_05222_) + ); + sky130_fd_sc_hd__dfbbn_2 _38219_ ( + .CLK_N(_06193_), + .D(\gpio_control_in_1a[1].shift_register[12] ), + .Q(mprj_io_dm[11]), + .Q_N(_16324_), + .RESET_B(_05223_), + .SET_B(_05224_) + ); + sky130_fd_sc_hd__dfbbn_2 _38220_ ( + .CLK_N(_06194_), + .D(\gpio_control_in_1a[1].shift_register[1] ), + .Q(\gpio_control_in_1a[1].gpio_outenb ), + .Q_N(_16323_), + .RESET_B(_05225_), + .SET_B(_05226_) + ); + sky130_fd_sc_hd__dfbbn_2 _38221_ ( + .CLK_N(_06195_), + .D(\gpio_control_in_1a[1].shift_register[4] ), + .Q(\gpio_control_in_1a[1].gpio_ib_mode_sel ), + .Q_N(_16322_), + .RESET_B(_05227_), + .SET_B(_05228_) + ); + sky130_fd_sc_hd__dfbbn_2 _38222_ ( + .CLK_N(_06196_), + .D(\gpio_control_in_1a[1].shift_register[3] ), + .Q(\gpio_control_in_1a[1].gpio_inenb ), + .Q_N(_16321_), + .RESET_B(_05229_), + .SET_B(_05230_) + ); + sky130_fd_sc_hd__dfbbn_2 _38223_ ( + .CLK_N(_06197_), + .D(\gpio_control_in_1a[1].shift_register[9] ), + .Q(\gpio_control_in_1a[1].gpio_vtrip_sel ), + .Q_N(_16320_), + .RESET_B(_05231_), + .SET_B(_05232_) + ); + sky130_fd_sc_hd__dfbbn_2 _38224_ ( + .CLK_N(_06198_), + .D(\gpio_control_in_1a[1].shift_register[8] ), + .Q(\gpio_control_in_1a[1].gpio_slow_sel ), + .Q_N(_16319_), + .RESET_B(_05233_), + .SET_B(_05234_) + ); + sky130_fd_sc_hd__dfbbn_2 _38225_ ( + .CLK_N(_06199_), + .D(\gpio_control_in_1a[1].shift_register[2] ), + .Q(\gpio_control_in_1a[1].gpio_holdover ), + .Q_N(_16318_), + .RESET_B(_05235_), + .SET_B(_05236_) + ); + sky130_fd_sc_hd__dfbbn_2 _38226_ ( + .CLK_N(_06200_), + .D(\gpio_control_in_1a[1].shift_register[0] ), + .Q(\gpio_control_in_1a[1].mgmt_ena ), + .Q_N(_16365_), + .RESET_B(_05237_), + .SET_B(_05238_) + ); + sky130_fd_sc_hd__dfrtp_2 _38227_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[0].serial_data_out ), + .Q(\gpio_control_in_1a[1].shift_register[0] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38228_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[0] ), + .Q(\gpio_control_in_1a[1].shift_register[1] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38229_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[1] ), + .Q(\gpio_control_in_1a[1].shift_register[2] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38230_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[2] ), + .Q(\gpio_control_in_1a[1].shift_register[3] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38231_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[3] ), + .Q(\gpio_control_in_1a[1].shift_register[4] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38232_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[4] ), + .Q(\gpio_control_in_1a[1].shift_register[5] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38233_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[5] ), + .Q(\gpio_control_in_1a[1].shift_register[6] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38234_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[6] ), + .Q(\gpio_control_in_1a[1].shift_register[7] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38235_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[7] ), + .Q(\gpio_control_in_1a[1].shift_register[8] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38236_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[8] ), + .Q(\gpio_control_in_1a[1].shift_register[9] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38237_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[9] ), + .Q(\gpio_control_in_1a[1].shift_register[10] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38238_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[10] ), + .Q(\gpio_control_in_1a[1].shift_register[11] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38239_ ( + .CLK(\gpio_control_in_1a[0].serial_clock_out ), + .D(\gpio_control_in_1a[1].shift_register[11] ), + .Q(\gpio_control_in_1a[1].shift_register[12] ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38240_ ( + .CLK(_06201_), + .D(\gpio_control_in_1a[1].shift_register[12] ), + .Q(\gpio_control_in_1a[1].serial_data_out ), + .RESET_B(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38241_ ( + .CLK_N(_06202_), + .D(\gpio_control_in_1a[2].shift_register[7] ), + .Q(\gpio_control_in_1a[2].gpio_ana_pol ), + .Q_N(_16317_), + .RESET_B(_05239_), + .SET_B(_05240_) + ); + sky130_fd_sc_hd__dfbbn_2 _38242_ ( + .CLK_N(_06203_), + .D(\gpio_control_in_1a[2].shift_register[6] ), + .Q(\gpio_control_in_1a[2].gpio_ana_sel ), + .Q_N(_16316_), + .RESET_B(_05241_), + .SET_B(_05242_) + ); + sky130_fd_sc_hd__dfbbn_2 _38243_ ( + .CLK_N(_06204_), + .D(\gpio_control_in_1a[2].shift_register[5] ), + .Q(\gpio_control_in_1a[2].gpio_ana_en ), + .Q_N(_16315_), + .RESET_B(_05243_), + .SET_B(_05244_) + ); + sky130_fd_sc_hd__dfbbn_2 _38244_ ( + .CLK_N(_06205_), + .D(\gpio_control_in_1a[2].shift_register[10] ), + .Q(mprj_io_dm[12]), + .Q_N(_04608_), + .RESET_B(_05245_), + .SET_B(_05246_) + ); + sky130_fd_sc_hd__dfbbn_2 _38245_ ( + .CLK_N(_06206_), + .D(\gpio_control_in_1a[2].shift_register[11] ), + .Q(mprj_io_dm[13]), + .Q_N(_16314_), + .RESET_B(_05247_), + .SET_B(_05248_) + ); + sky130_fd_sc_hd__dfbbn_2 _38246_ ( + .CLK_N(_06207_), + .D(\gpio_control_in_1a[2].shift_register[12] ), + .Q(mprj_io_dm[14]), + .Q_N(_16313_), + .RESET_B(_05249_), + .SET_B(_05250_) + ); + sky130_fd_sc_hd__dfbbn_2 _38247_ ( + .CLK_N(_06208_), + .D(\gpio_control_in_1a[2].shift_register[1] ), + .Q(\gpio_control_in_1a[2].gpio_outenb ), + .Q_N(_16312_), + .RESET_B(_05251_), + .SET_B(_05252_) + ); + sky130_fd_sc_hd__dfbbn_2 _38248_ ( + .CLK_N(_06209_), + .D(\gpio_control_in_1a[2].shift_register[4] ), + .Q(\gpio_control_in_1a[2].gpio_ib_mode_sel ), + .Q_N(_16311_), + .RESET_B(_05253_), + .SET_B(_05254_) + ); + sky130_fd_sc_hd__dfbbn_2 _38249_ ( + .CLK_N(_06210_), + .D(\gpio_control_in_1a[2].shift_register[3] ), + .Q(\gpio_control_in_1a[2].gpio_inenb ), + .Q_N(_16310_), + .RESET_B(_05255_), + .SET_B(_05256_) + ); + sky130_fd_sc_hd__dfbbn_2 _38250_ ( + .CLK_N(_06211_), + .D(\gpio_control_in_1a[2].shift_register[9] ), + .Q(\gpio_control_in_1a[2].gpio_vtrip_sel ), + .Q_N(_16309_), + .RESET_B(_05257_), + .SET_B(_05258_) + ); + sky130_fd_sc_hd__dfbbn_2 _38251_ ( + .CLK_N(_06212_), + .D(\gpio_control_in_1a[2].shift_register[8] ), + .Q(\gpio_control_in_1a[2].gpio_slow_sel ), + .Q_N(_16308_), + .RESET_B(_05259_), + .SET_B(_05260_) + ); + sky130_fd_sc_hd__dfbbn_2 _38252_ ( + .CLK_N(_06213_), + .D(\gpio_control_in_1a[2].shift_register[2] ), + .Q(\gpio_control_in_1a[2].gpio_holdover ), + .Q_N(_16307_), + .RESET_B(_05261_), + .SET_B(_05262_) + ); + sky130_fd_sc_hd__dfbbn_2 _38253_ ( + .CLK_N(_06214_), + .D(\gpio_control_in_1a[2].shift_register[0] ), + .Q(\gpio_control_in_1a[2].mgmt_ena ), + .Q_N(_16366_), + .RESET_B(_05263_), + .SET_B(_05264_) + ); + sky130_fd_sc_hd__dfrtp_2 _38254_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[1].serial_data_out ), + .Q(\gpio_control_in_1a[2].shift_register[0] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38255_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[0] ), + .Q(\gpio_control_in_1a[2].shift_register[1] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38256_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[1] ), + .Q(\gpio_control_in_1a[2].shift_register[2] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38257_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[2] ), + .Q(\gpio_control_in_1a[2].shift_register[3] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38258_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[3] ), + .Q(\gpio_control_in_1a[2].shift_register[4] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38259_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[4] ), + .Q(\gpio_control_in_1a[2].shift_register[5] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38260_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[5] ), + .Q(\gpio_control_in_1a[2].shift_register[6] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38261_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[6] ), + .Q(\gpio_control_in_1a[2].shift_register[7] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38262_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[7] ), + .Q(\gpio_control_in_1a[2].shift_register[8] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38263_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[8] ), + .Q(\gpio_control_in_1a[2].shift_register[9] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38264_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[9] ), + .Q(\gpio_control_in_1a[2].shift_register[10] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38265_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[10] ), + .Q(\gpio_control_in_1a[2].shift_register[11] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38266_ ( + .CLK(\gpio_control_in_1a[1].serial_clock_out ), + .D(\gpio_control_in_1a[2].shift_register[11] ), + .Q(\gpio_control_in_1a[2].shift_register[12] ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38267_ ( + .CLK(_06215_), + .D(\gpio_control_in_1a[2].shift_register[12] ), + .Q(\gpio_control_in_1a[2].serial_data_out ), + .RESET_B(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38268_ ( + .CLK_N(_06216_), + .D(\gpio_control_in_1a[3].shift_register[7] ), + .Q(\gpio_control_in_1a[3].gpio_ana_pol ), + .Q_N(_16306_), + .RESET_B(_05265_), + .SET_B(_05266_) + ); + sky130_fd_sc_hd__dfbbn_2 _38269_ ( + .CLK_N(_06217_), + .D(\gpio_control_in_1a[3].shift_register[6] ), + .Q(\gpio_control_in_1a[3].gpio_ana_sel ), + .Q_N(_16305_), + .RESET_B(_05267_), + .SET_B(_05268_) + ); + sky130_fd_sc_hd__dfbbn_2 _38270_ ( + .CLK_N(_06218_), + .D(\gpio_control_in_1a[3].shift_register[5] ), + .Q(\gpio_control_in_1a[3].gpio_ana_en ), + .Q_N(_16304_), + .RESET_B(_05269_), + .SET_B(_05270_) + ); + sky130_fd_sc_hd__dfbbn_2 _38271_ ( + .CLK_N(_06219_), + .D(\gpio_control_in_1a[3].shift_register[10] ), + .Q(mprj_io_dm[15]), + .Q_N(_04613_), + .RESET_B(_05271_), + .SET_B(_05272_) + ); + sky130_fd_sc_hd__dfbbn_2 _38272_ ( + .CLK_N(_06220_), + .D(\gpio_control_in_1a[3].shift_register[11] ), + .Q(mprj_io_dm[16]), + .Q_N(_16303_), + .RESET_B(_05273_), + .SET_B(_05274_) + ); + sky130_fd_sc_hd__dfbbn_2 _38273_ ( + .CLK_N(_06221_), + .D(\gpio_control_in_1a[3].shift_register[12] ), + .Q(mprj_io_dm[17]), + .Q_N(_16302_), + .RESET_B(_05275_), + .SET_B(_05276_) + ); + sky130_fd_sc_hd__dfbbn_2 _38274_ ( + .CLK_N(_06222_), + .D(\gpio_control_in_1a[3].shift_register[1] ), + .Q(\gpio_control_in_1a[3].gpio_outenb ), + .Q_N(_16301_), + .RESET_B(_05277_), + .SET_B(_05278_) + ); + sky130_fd_sc_hd__dfbbn_2 _38275_ ( + .CLK_N(_06223_), + .D(\gpio_control_in_1a[3].shift_register[4] ), + .Q(\gpio_control_in_1a[3].gpio_ib_mode_sel ), + .Q_N(_16300_), + .RESET_B(_05279_), + .SET_B(_05280_) + ); + sky130_fd_sc_hd__dfbbn_2 _38276_ ( + .CLK_N(_06224_), + .D(\gpio_control_in_1a[3].shift_register[3] ), + .Q(\gpio_control_in_1a[3].gpio_inenb ), + .Q_N(_16299_), + .RESET_B(_05281_), + .SET_B(_05282_) + ); + sky130_fd_sc_hd__dfbbn_2 _38277_ ( + .CLK_N(_06225_), + .D(\gpio_control_in_1a[3].shift_register[9] ), + .Q(\gpio_control_in_1a[3].gpio_vtrip_sel ), + .Q_N(_16298_), + .RESET_B(_05283_), + .SET_B(_05284_) + ); + sky130_fd_sc_hd__dfbbn_2 _38278_ ( + .CLK_N(_06226_), + .D(\gpio_control_in_1a[3].shift_register[8] ), + .Q(\gpio_control_in_1a[3].gpio_slow_sel ), + .Q_N(_16297_), + .RESET_B(_05285_), + .SET_B(_05286_) + ); + sky130_fd_sc_hd__dfbbn_2 _38279_ ( + .CLK_N(_06227_), + .D(\gpio_control_in_1a[3].shift_register[2] ), + .Q(\gpio_control_in_1a[3].gpio_holdover ), + .Q_N(_16296_), + .RESET_B(_05287_), + .SET_B(_05288_) + ); + sky130_fd_sc_hd__dfbbn_2 _38280_ ( + .CLK_N(_06228_), + .D(\gpio_control_in_1a[3].shift_register[0] ), + .Q(\gpio_control_in_1a[3].mgmt_ena ), + .Q_N(_16367_), + .RESET_B(_05289_), + .SET_B(_05290_) + ); + sky130_fd_sc_hd__dfrtp_2 _38281_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[2].serial_data_out ), + .Q(\gpio_control_in_1a[3].shift_register[0] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38282_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[0] ), + .Q(\gpio_control_in_1a[3].shift_register[1] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38283_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[1] ), + .Q(\gpio_control_in_1a[3].shift_register[2] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38284_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[2] ), + .Q(\gpio_control_in_1a[3].shift_register[3] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38285_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[3] ), + .Q(\gpio_control_in_1a[3].shift_register[4] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38286_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[4] ), + .Q(\gpio_control_in_1a[3].shift_register[5] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38287_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[5] ), + .Q(\gpio_control_in_1a[3].shift_register[6] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38288_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[6] ), + .Q(\gpio_control_in_1a[3].shift_register[7] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38289_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[7] ), + .Q(\gpio_control_in_1a[3].shift_register[8] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38290_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[8] ), + .Q(\gpio_control_in_1a[3].shift_register[9] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38291_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[9] ), + .Q(\gpio_control_in_1a[3].shift_register[10] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38292_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[10] ), + .Q(\gpio_control_in_1a[3].shift_register[11] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38293_ ( + .CLK(\gpio_control_in_1a[2].serial_clock_out ), + .D(\gpio_control_in_1a[3].shift_register[11] ), + .Q(\gpio_control_in_1a[3].shift_register[12] ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38294_ ( + .CLK(_06229_), + .D(\gpio_control_in_1a[3].shift_register[12] ), + .Q(\gpio_control_in_1a[3].serial_data_out ), + .RESET_B(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38295_ ( + .CLK_N(_06230_), + .D(\gpio_control_in_1a[4].shift_register[7] ), + .Q(\gpio_control_in_1a[4].gpio_ana_pol ), + .Q_N(_16295_), + .RESET_B(_05291_), + .SET_B(_05292_) + ); + sky130_fd_sc_hd__dfbbn_2 _38296_ ( + .CLK_N(_06231_), + .D(\gpio_control_in_1a[4].shift_register[6] ), + .Q(\gpio_control_in_1a[4].gpio_ana_sel ), + .Q_N(_16294_), + .RESET_B(_05293_), + .SET_B(_05294_) + ); + sky130_fd_sc_hd__dfbbn_2 _38297_ ( + .CLK_N(_06232_), + .D(\gpio_control_in_1a[4].shift_register[5] ), + .Q(\gpio_control_in_1a[4].gpio_ana_en ), + .Q_N(_16293_), + .RESET_B(_05295_), + .SET_B(_05296_) + ); + sky130_fd_sc_hd__dfbbn_2 _38298_ ( + .CLK_N(_06233_), + .D(\gpio_control_in_1a[4].shift_register[10] ), + .Q(mprj_io_dm[18]), + .Q_N(_04618_), + .RESET_B(_05297_), + .SET_B(_05298_) + ); + sky130_fd_sc_hd__dfbbn_2 _38299_ ( + .CLK_N(_06234_), + .D(\gpio_control_in_1a[4].shift_register[11] ), + .Q(mprj_io_dm[19]), + .Q_N(_16292_), + .RESET_B(_05299_), + .SET_B(_05300_) + ); + sky130_fd_sc_hd__dfbbn_2 _38300_ ( + .CLK_N(_06235_), + .D(\gpio_control_in_1a[4].shift_register[12] ), + .Q(mprj_io_dm[20]), + .Q_N(_16291_), + .RESET_B(_05301_), + .SET_B(_05302_) + ); + sky130_fd_sc_hd__dfbbn_2 _38301_ ( + .CLK_N(_06236_), + .D(\gpio_control_in_1a[4].shift_register[1] ), + .Q(\gpio_control_in_1a[4].gpio_outenb ), + .Q_N(_16290_), + .RESET_B(_05303_), + .SET_B(_05304_) + ); + sky130_fd_sc_hd__dfbbn_2 _38302_ ( + .CLK_N(_06237_), + .D(\gpio_control_in_1a[4].shift_register[4] ), + .Q(\gpio_control_in_1a[4].gpio_ib_mode_sel ), + .Q_N(_16289_), + .RESET_B(_05305_), + .SET_B(_05306_) + ); + sky130_fd_sc_hd__dfbbn_2 _38303_ ( + .CLK_N(_06238_), + .D(\gpio_control_in_1a[4].shift_register[3] ), + .Q(\gpio_control_in_1a[4].gpio_inenb ), + .Q_N(_16288_), + .RESET_B(_05307_), + .SET_B(_05308_) + ); + sky130_fd_sc_hd__dfbbn_2 _38304_ ( + .CLK_N(_06239_), + .D(\gpio_control_in_1a[4].shift_register[9] ), + .Q(\gpio_control_in_1a[4].gpio_vtrip_sel ), + .Q_N(_16287_), + .RESET_B(_05309_), + .SET_B(_05310_) + ); + sky130_fd_sc_hd__dfbbn_2 _38305_ ( + .CLK_N(_06240_), + .D(\gpio_control_in_1a[4].shift_register[8] ), + .Q(\gpio_control_in_1a[4].gpio_slow_sel ), + .Q_N(_16286_), + .RESET_B(_05311_), + .SET_B(_05312_) + ); + sky130_fd_sc_hd__dfbbn_2 _38306_ ( + .CLK_N(_06241_), + .D(\gpio_control_in_1a[4].shift_register[2] ), + .Q(\gpio_control_in_1a[4].gpio_holdover ), + .Q_N(_16285_), + .RESET_B(_05313_), + .SET_B(_05314_) + ); + sky130_fd_sc_hd__dfbbn_2 _38307_ ( + .CLK_N(_06242_), + .D(\gpio_control_in_1a[4].shift_register[0] ), + .Q(\gpio_control_in_1a[4].mgmt_ena ), + .Q_N(_16368_), + .RESET_B(_05315_), + .SET_B(_05316_) + ); + sky130_fd_sc_hd__dfrtp_2 _38308_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[3].serial_data_out ), + .Q(\gpio_control_in_1a[4].shift_register[0] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38309_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[0] ), + .Q(\gpio_control_in_1a[4].shift_register[1] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38310_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[1] ), + .Q(\gpio_control_in_1a[4].shift_register[2] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38311_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[2] ), + .Q(\gpio_control_in_1a[4].shift_register[3] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38312_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[3] ), + .Q(\gpio_control_in_1a[4].shift_register[4] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38313_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[4] ), + .Q(\gpio_control_in_1a[4].shift_register[5] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38314_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[5] ), + .Q(\gpio_control_in_1a[4].shift_register[6] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38315_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[6] ), + .Q(\gpio_control_in_1a[4].shift_register[7] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38316_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[7] ), + .Q(\gpio_control_in_1a[4].shift_register[8] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38317_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[8] ), + .Q(\gpio_control_in_1a[4].shift_register[9] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38318_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[9] ), + .Q(\gpio_control_in_1a[4].shift_register[10] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38319_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[10] ), + .Q(\gpio_control_in_1a[4].shift_register[11] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38320_ ( + .CLK(\gpio_control_in_1a[3].serial_clock_out ), + .D(\gpio_control_in_1a[4].shift_register[11] ), + .Q(\gpio_control_in_1a[4].shift_register[12] ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38321_ ( + .CLK(_06243_), + .D(\gpio_control_in_1a[4].shift_register[12] ), + .Q(\gpio_control_in_1a[4].serial_data_out ), + .RESET_B(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38322_ ( + .CLK_N(_06244_), + .D(\gpio_control_in_1a[5].shift_register[7] ), + .Q(\gpio_control_in_1a[5].gpio_ana_pol ), + .Q_N(_16284_), + .RESET_B(_05317_), + .SET_B(_05318_) + ); + sky130_fd_sc_hd__dfbbn_2 _38323_ ( + .CLK_N(_06245_), + .D(\gpio_control_in_1a[5].shift_register[6] ), + .Q(\gpio_control_in_1a[5].gpio_ana_sel ), + .Q_N(_16283_), + .RESET_B(_05319_), + .SET_B(_05320_) + ); + sky130_fd_sc_hd__dfbbn_2 _38324_ ( + .CLK_N(_06246_), + .D(\gpio_control_in_1a[5].shift_register[5] ), + .Q(\gpio_control_in_1a[5].gpio_ana_en ), + .Q_N(_16282_), + .RESET_B(_05321_), + .SET_B(_05322_) + ); + sky130_fd_sc_hd__dfbbn_2 _38325_ ( + .CLK_N(_06247_), + .D(\gpio_control_in_1a[5].shift_register[10] ), + .Q(mprj_io_dm[21]), + .Q_N(_04623_), + .RESET_B(_05323_), + .SET_B(_05324_) + ); + sky130_fd_sc_hd__dfbbn_2 _38326_ ( + .CLK_N(_06248_), + .D(\gpio_control_in_1a[5].shift_register[11] ), + .Q(mprj_io_dm[22]), + .Q_N(_16281_), + .RESET_B(_05325_), + .SET_B(_05326_) + ); + sky130_fd_sc_hd__dfbbn_2 _38327_ ( + .CLK_N(_06249_), + .D(\gpio_control_in_1a[5].shift_register[12] ), + .Q(mprj_io_dm[23]), + .Q_N(_16280_), + .RESET_B(_05327_), + .SET_B(_05328_) + ); + sky130_fd_sc_hd__dfbbn_2 _38328_ ( + .CLK_N(_06250_), + .D(\gpio_control_in_1a[5].shift_register[1] ), + .Q(\gpio_control_in_1a[5].gpio_outenb ), + .Q_N(_16279_), + .RESET_B(_05329_), + .SET_B(_05330_) + ); + sky130_fd_sc_hd__dfbbn_2 _38329_ ( + .CLK_N(_06251_), + .D(\gpio_control_in_1a[5].shift_register[4] ), + .Q(\gpio_control_in_1a[5].gpio_ib_mode_sel ), + .Q_N(_16278_), + .RESET_B(_05331_), + .SET_B(_05332_) + ); + sky130_fd_sc_hd__dfbbn_2 _38330_ ( + .CLK_N(_06252_), + .D(\gpio_control_in_1a[5].shift_register[3] ), + .Q(\gpio_control_in_1a[5].gpio_inenb ), + .Q_N(_16277_), + .RESET_B(_05333_), + .SET_B(_05334_) + ); + sky130_fd_sc_hd__dfbbn_2 _38331_ ( + .CLK_N(_06253_), + .D(\gpio_control_in_1a[5].shift_register[9] ), + .Q(\gpio_control_in_1a[5].gpio_vtrip_sel ), + .Q_N(_16276_), + .RESET_B(_05335_), + .SET_B(_05336_) + ); + sky130_fd_sc_hd__dfbbn_2 _38332_ ( + .CLK_N(_06254_), + .D(\gpio_control_in_1a[5].shift_register[8] ), + .Q(\gpio_control_in_1a[5].gpio_slow_sel ), + .Q_N(_16275_), + .RESET_B(_05337_), + .SET_B(_05338_) + ); + sky130_fd_sc_hd__dfbbn_2 _38333_ ( + .CLK_N(_06255_), + .D(\gpio_control_in_1a[5].shift_register[2] ), + .Q(\gpio_control_in_1a[5].gpio_holdover ), + .Q_N(_16274_), + .RESET_B(_05339_), + .SET_B(_05340_) + ); + sky130_fd_sc_hd__dfbbn_2 _38334_ ( + .CLK_N(_06256_), + .D(\gpio_control_in_1a[5].shift_register[0] ), + .Q(\gpio_control_in_1a[5].mgmt_ena ), + .Q_N(_16369_), + .RESET_B(_05341_), + .SET_B(_05342_) + ); + sky130_fd_sc_hd__dfrtp_2 _38335_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[4].serial_data_out ), + .Q(\gpio_control_in_1a[5].shift_register[0] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38336_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[0] ), + .Q(\gpio_control_in_1a[5].shift_register[1] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38337_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[1] ), + .Q(\gpio_control_in_1a[5].shift_register[2] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38338_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[2] ), + .Q(\gpio_control_in_1a[5].shift_register[3] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38339_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[3] ), + .Q(\gpio_control_in_1a[5].shift_register[4] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38340_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[4] ), + .Q(\gpio_control_in_1a[5].shift_register[5] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38341_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[5] ), + .Q(\gpio_control_in_1a[5].shift_register[6] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38342_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[6] ), + .Q(\gpio_control_in_1a[5].shift_register[7] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38343_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[7] ), + .Q(\gpio_control_in_1a[5].shift_register[8] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38344_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[8] ), + .Q(\gpio_control_in_1a[5].shift_register[9] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38345_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[9] ), + .Q(\gpio_control_in_1a[5].shift_register[10] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38346_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[10] ), + .Q(\gpio_control_in_1a[5].shift_register[11] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38347_ ( + .CLK(\gpio_control_in_1a[4].serial_clock_out ), + .D(\gpio_control_in_1a[5].shift_register[11] ), + .Q(\gpio_control_in_1a[5].shift_register[12] ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38348_ ( + .CLK(_06257_), + .D(\gpio_control_in_1a[5].shift_register[12] ), + .Q(\gpio_control_in_1[0].serial_data_in ), + .RESET_B(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38349_ ( + .CLK_N(_06258_), + .D(\gpio_control_in_1[0].shift_register[7] ), + .Q(\gpio_control_in_1[0].gpio_ana_pol ), + .Q_N(_16273_), + .RESET_B(_05343_), + .SET_B(_05344_) + ); + sky130_fd_sc_hd__dfbbn_2 _38350_ ( + .CLK_N(_06259_), + .D(\gpio_control_in_1[0].shift_register[6] ), + .Q(\gpio_control_in_1[0].gpio_ana_sel ), + .Q_N(_16272_), + .RESET_B(_05345_), + .SET_B(_05346_) + ); + sky130_fd_sc_hd__dfbbn_2 _38351_ ( + .CLK_N(_06260_), + .D(\gpio_control_in_1[0].shift_register[5] ), + .Q(\gpio_control_in_1[0].gpio_ana_en ), + .Q_N(_16271_), + .RESET_B(_05347_), + .SET_B(_05348_) + ); + sky130_fd_sc_hd__dfbbn_2 _38352_ ( + .CLK_N(_06261_), + .D(\gpio_control_in_1[0].shift_register[10] ), + .Q(mprj_io_dm[24]), + .Q_N(_04628_), + .RESET_B(_05349_), + .SET_B(_05350_) + ); + sky130_fd_sc_hd__dfbbn_2 _38353_ ( + .CLK_N(_06262_), + .D(\gpio_control_in_1[0].shift_register[11] ), + .Q(mprj_io_dm[25]), + .Q_N(_16270_), + .RESET_B(_05351_), + .SET_B(_05352_) + ); + sky130_fd_sc_hd__dfbbn_2 _38354_ ( + .CLK_N(_06263_), + .D(\gpio_control_in_1[0].shift_register[12] ), + .Q(mprj_io_dm[26]), + .Q_N(_16269_), + .RESET_B(_05353_), + .SET_B(_05354_) + ); + sky130_fd_sc_hd__dfbbn_2 _38355_ ( + .CLK_N(_06264_), + .D(\gpio_control_in_1[0].shift_register[1] ), + .Q(\gpio_control_in_1[0].gpio_outenb ), + .Q_N(_16268_), + .RESET_B(_05355_), + .SET_B(_05356_) + ); + sky130_fd_sc_hd__dfbbn_2 _38356_ ( + .CLK_N(_06265_), + .D(\gpio_control_in_1[0].shift_register[4] ), + .Q(\gpio_control_in_1[0].gpio_ib_mode_sel ), + .Q_N(_16267_), + .RESET_B(_05357_), + .SET_B(_05358_) + ); + sky130_fd_sc_hd__dfbbn_2 _38357_ ( + .CLK_N(_06266_), + .D(\gpio_control_in_1[0].shift_register[3] ), + .Q(\gpio_control_in_1[0].gpio_inenb ), + .Q_N(_16266_), + .RESET_B(_05359_), + .SET_B(_05360_) + ); + sky130_fd_sc_hd__dfbbn_2 _38358_ ( + .CLK_N(_06267_), + .D(\gpio_control_in_1[0].shift_register[9] ), + .Q(\gpio_control_in_1[0].gpio_vtrip_sel ), + .Q_N(_16265_), + .RESET_B(_05361_), + .SET_B(_05362_) + ); + sky130_fd_sc_hd__dfbbn_2 _38359_ ( + .CLK_N(_06268_), + .D(\gpio_control_in_1[0].shift_register[8] ), + .Q(\gpio_control_in_1[0].gpio_slow_sel ), + .Q_N(_16264_), + .RESET_B(_05363_), + .SET_B(_05364_) + ); + sky130_fd_sc_hd__dfbbn_2 _38360_ ( + .CLK_N(_06269_), + .D(\gpio_control_in_1[0].shift_register[2] ), + .Q(\gpio_control_in_1[0].gpio_holdover ), + .Q_N(_16263_), + .RESET_B(_05365_), + .SET_B(_05366_) + ); + sky130_fd_sc_hd__dfbbn_2 _38361_ ( + .CLK_N(_06270_), + .D(\gpio_control_in_1[0].shift_register[0] ), + .Q(\gpio_control_in_1[0].mgmt_ena ), + .Q_N(_16370_), + .RESET_B(_05367_), + .SET_B(_05368_) + ); + sky130_fd_sc_hd__dfrtp_2 _38362_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].serial_data_in ), + .Q(\gpio_control_in_1[0].shift_register[0] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38363_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[0] ), + .Q(\gpio_control_in_1[0].shift_register[1] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38364_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[1] ), + .Q(\gpio_control_in_1[0].shift_register[2] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38365_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[2] ), + .Q(\gpio_control_in_1[0].shift_register[3] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38366_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[3] ), + .Q(\gpio_control_in_1[0].shift_register[4] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38367_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[4] ), + .Q(\gpio_control_in_1[0].shift_register[5] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38368_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[5] ), + .Q(\gpio_control_in_1[0].shift_register[6] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38369_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[6] ), + .Q(\gpio_control_in_1[0].shift_register[7] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38370_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[7] ), + .Q(\gpio_control_in_1[0].shift_register[8] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38371_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[8] ), + .Q(\gpio_control_in_1[0].shift_register[9] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38372_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[9] ), + .Q(\gpio_control_in_1[0].shift_register[10] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38373_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[10] ), + .Q(\gpio_control_in_1[0].shift_register[11] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38374_ ( + .CLK(\gpio_control_in_1[0].serial_clock ), + .D(\gpio_control_in_1[0].shift_register[11] ), + .Q(\gpio_control_in_1[0].shift_register[12] ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38375_ ( + .CLK(_06271_), + .D(\gpio_control_in_1[0].shift_register[12] ), + .Q(\gpio_control_in_1[0].serial_data_out ), + .RESET_B(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38376_ ( + .CLK_N(_06272_), + .D(\gpio_control_in_1[1].shift_register[7] ), + .Q(\gpio_control_in_1[1].gpio_ana_pol ), + .Q_N(_16262_), + .RESET_B(_05369_), + .SET_B(_05370_) + ); + sky130_fd_sc_hd__dfbbn_2 _38377_ ( + .CLK_N(_06273_), + .D(\gpio_control_in_1[1].shift_register[6] ), + .Q(\gpio_control_in_1[1].gpio_ana_sel ), + .Q_N(_16261_), + .RESET_B(_05371_), + .SET_B(_05372_) + ); + sky130_fd_sc_hd__dfbbn_2 _38378_ ( + .CLK_N(_06274_), + .D(\gpio_control_in_1[1].shift_register[5] ), + .Q(\gpio_control_in_1[1].gpio_ana_en ), + .Q_N(_16260_), + .RESET_B(_05373_), + .SET_B(_05374_) + ); + sky130_fd_sc_hd__dfbbn_2 _38379_ ( + .CLK_N(_06275_), + .D(\gpio_control_in_1[1].shift_register[10] ), + .Q(mprj_io_dm[27]), + .Q_N(_04633_), + .RESET_B(_05375_), + .SET_B(_05376_) + ); + sky130_fd_sc_hd__dfbbn_2 _38380_ ( + .CLK_N(_06276_), + .D(\gpio_control_in_1[1].shift_register[11] ), + .Q(mprj_io_dm[28]), + .Q_N(_16259_), + .RESET_B(_05377_), + .SET_B(_05378_) + ); + sky130_fd_sc_hd__dfbbn_2 _38381_ ( + .CLK_N(_06277_), + .D(\gpio_control_in_1[1].shift_register[12] ), + .Q(mprj_io_dm[29]), + .Q_N(_16258_), + .RESET_B(_05379_), + .SET_B(_05380_) + ); + sky130_fd_sc_hd__dfbbn_2 _38382_ ( + .CLK_N(_06278_), + .D(\gpio_control_in_1[1].shift_register[1] ), + .Q(\gpio_control_in_1[1].gpio_outenb ), + .Q_N(_16257_), + .RESET_B(_05381_), + .SET_B(_05382_) + ); + sky130_fd_sc_hd__dfbbn_2 _38383_ ( + .CLK_N(_06279_), + .D(\gpio_control_in_1[1].shift_register[4] ), + .Q(\gpio_control_in_1[1].gpio_ib_mode_sel ), + .Q_N(_16256_), + .RESET_B(_05383_), + .SET_B(_05384_) + ); + sky130_fd_sc_hd__dfbbn_2 _38384_ ( + .CLK_N(_06280_), + .D(\gpio_control_in_1[1].shift_register[3] ), + .Q(\gpio_control_in_1[1].gpio_inenb ), + .Q_N(_16255_), + .RESET_B(_05385_), + .SET_B(_05386_) + ); + sky130_fd_sc_hd__dfbbn_2 _38385_ ( + .CLK_N(_06281_), + .D(\gpio_control_in_1[1].shift_register[9] ), + .Q(\gpio_control_in_1[1].gpio_vtrip_sel ), + .Q_N(_16254_), + .RESET_B(_05387_), + .SET_B(_05388_) + ); + sky130_fd_sc_hd__dfbbn_2 _38386_ ( + .CLK_N(_06282_), + .D(\gpio_control_in_1[1].shift_register[8] ), + .Q(\gpio_control_in_1[1].gpio_slow_sel ), + .Q_N(_16253_), + .RESET_B(_05389_), + .SET_B(_05390_) + ); + sky130_fd_sc_hd__dfbbn_2 _38387_ ( + .CLK_N(_06283_), + .D(\gpio_control_in_1[1].shift_register[2] ), + .Q(\gpio_control_in_1[1].gpio_holdover ), + .Q_N(_16252_), + .RESET_B(_05391_), + .SET_B(_05392_) + ); + sky130_fd_sc_hd__dfbbn_2 _38388_ ( + .CLK_N(_06284_), + .D(\gpio_control_in_1[1].shift_register[0] ), + .Q(\gpio_control_in_1[1].mgmt_ena ), + .Q_N(_16371_), + .RESET_B(_05393_), + .SET_B(_05394_) + ); + sky130_fd_sc_hd__dfrtp_2 _38389_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[0].serial_data_out ), + .Q(\gpio_control_in_1[1].shift_register[0] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38390_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[0] ), + .Q(\gpio_control_in_1[1].shift_register[1] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38391_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[1] ), + .Q(\gpio_control_in_1[1].shift_register[2] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38392_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[2] ), + .Q(\gpio_control_in_1[1].shift_register[3] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38393_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[3] ), + .Q(\gpio_control_in_1[1].shift_register[4] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38394_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[4] ), + .Q(\gpio_control_in_1[1].shift_register[5] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38395_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[5] ), + .Q(\gpio_control_in_1[1].shift_register[6] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38396_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[6] ), + .Q(\gpio_control_in_1[1].shift_register[7] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38397_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[7] ), + .Q(\gpio_control_in_1[1].shift_register[8] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38398_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[8] ), + .Q(\gpio_control_in_1[1].shift_register[9] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38399_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[9] ), + .Q(\gpio_control_in_1[1].shift_register[10] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38400_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[10] ), + .Q(\gpio_control_in_1[1].shift_register[11] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38401_ ( + .CLK(\gpio_control_in_1[0].serial_clock_out ), + .D(\gpio_control_in_1[1].shift_register[11] ), + .Q(\gpio_control_in_1[1].shift_register[12] ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38402_ ( + .CLK(_06285_), + .D(\gpio_control_in_1[1].shift_register[12] ), + .Q(\gpio_control_in_1[1].serial_data_out ), + .RESET_B(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38403_ ( + .CLK_N(_06286_), + .D(\gpio_control_in_1[2].shift_register[7] ), + .Q(\gpio_control_in_1[2].gpio_ana_pol ), + .Q_N(_16251_), + .RESET_B(_05395_), + .SET_B(_05396_) + ); + sky130_fd_sc_hd__dfbbn_2 _38404_ ( + .CLK_N(_06287_), + .D(\gpio_control_in_1[2].shift_register[6] ), + .Q(\gpio_control_in_1[2].gpio_ana_sel ), + .Q_N(_16250_), + .RESET_B(_05397_), + .SET_B(_05398_) + ); + sky130_fd_sc_hd__dfbbn_2 _38405_ ( + .CLK_N(_06288_), + .D(\gpio_control_in_1[2].shift_register[5] ), + .Q(\gpio_control_in_1[2].gpio_ana_en ), + .Q_N(_16249_), + .RESET_B(_05399_), + .SET_B(_05400_) + ); + sky130_fd_sc_hd__dfbbn_2 _38406_ ( + .CLK_N(_06289_), + .D(\gpio_control_in_1[2].shift_register[10] ), + .Q(mprj_io_dm[30]), + .Q_N(_04638_), + .RESET_B(_05401_), + .SET_B(_05402_) + ); + sky130_fd_sc_hd__dfbbn_2 _38407_ ( + .CLK_N(_06290_), + .D(\gpio_control_in_1[2].shift_register[11] ), + .Q(mprj_io_dm[31]), + .Q_N(_16248_), + .RESET_B(_05403_), + .SET_B(_05404_) + ); + sky130_fd_sc_hd__dfbbn_2 _38408_ ( + .CLK_N(_06291_), + .D(\gpio_control_in_1[2].shift_register[12] ), + .Q(mprj_io_dm[32]), + .Q_N(_16247_), + .RESET_B(_05405_), + .SET_B(_05406_) + ); + sky130_fd_sc_hd__dfbbn_2 _38409_ ( + .CLK_N(_06292_), + .D(\gpio_control_in_1[2].shift_register[1] ), + .Q(\gpio_control_in_1[2].gpio_outenb ), + .Q_N(_16246_), + .RESET_B(_05407_), + .SET_B(_05408_) + ); + sky130_fd_sc_hd__dfbbn_2 _38410_ ( + .CLK_N(_06293_), + .D(\gpio_control_in_1[2].shift_register[4] ), + .Q(\gpio_control_in_1[2].gpio_ib_mode_sel ), + .Q_N(_16245_), + .RESET_B(_05409_), + .SET_B(_05410_) + ); + sky130_fd_sc_hd__dfbbn_2 _38411_ ( + .CLK_N(_06294_), + .D(\gpio_control_in_1[2].shift_register[3] ), + .Q(\gpio_control_in_1[2].gpio_inenb ), + .Q_N(_16244_), + .RESET_B(_05411_), + .SET_B(_05412_) + ); + sky130_fd_sc_hd__dfbbn_2 _38412_ ( + .CLK_N(_06295_), + .D(\gpio_control_in_1[2].shift_register[9] ), + .Q(\gpio_control_in_1[2].gpio_vtrip_sel ), + .Q_N(_16243_), + .RESET_B(_05413_), + .SET_B(_05414_) + ); + sky130_fd_sc_hd__dfbbn_2 _38413_ ( + .CLK_N(_06296_), + .D(\gpio_control_in_1[2].shift_register[8] ), + .Q(\gpio_control_in_1[2].gpio_slow_sel ), + .Q_N(_16242_), + .RESET_B(_05415_), + .SET_B(_05416_) + ); + sky130_fd_sc_hd__dfbbn_2 _38414_ ( + .CLK_N(_06297_), + .D(\gpio_control_in_1[2].shift_register[2] ), + .Q(\gpio_control_in_1[2].gpio_holdover ), + .Q_N(_16241_), + .RESET_B(_05417_), + .SET_B(_05418_) + ); + sky130_fd_sc_hd__dfbbn_2 _38415_ ( + .CLK_N(_06298_), + .D(\gpio_control_in_1[2].shift_register[0] ), + .Q(\gpio_control_in_1[2].mgmt_ena ), + .Q_N(_16372_), + .RESET_B(_05419_), + .SET_B(_05420_) + ); + sky130_fd_sc_hd__dfrtp_2 _38416_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[1].serial_data_out ), + .Q(\gpio_control_in_1[2].shift_register[0] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38417_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[0] ), + .Q(\gpio_control_in_1[2].shift_register[1] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38418_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[1] ), + .Q(\gpio_control_in_1[2].shift_register[2] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38419_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[2] ), + .Q(\gpio_control_in_1[2].shift_register[3] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38420_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[3] ), + .Q(\gpio_control_in_1[2].shift_register[4] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38421_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[4] ), + .Q(\gpio_control_in_1[2].shift_register[5] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38422_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[5] ), + .Q(\gpio_control_in_1[2].shift_register[6] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38423_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[6] ), + .Q(\gpio_control_in_1[2].shift_register[7] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38424_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[7] ), + .Q(\gpio_control_in_1[2].shift_register[8] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38425_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[8] ), + .Q(\gpio_control_in_1[2].shift_register[9] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38426_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[9] ), + .Q(\gpio_control_in_1[2].shift_register[10] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38427_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[10] ), + .Q(\gpio_control_in_1[2].shift_register[11] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38428_ ( + .CLK(\gpio_control_in_1[1].serial_clock_out ), + .D(\gpio_control_in_1[2].shift_register[11] ), + .Q(\gpio_control_in_1[2].shift_register[12] ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38429_ ( + .CLK(_06299_), + .D(\gpio_control_in_1[2].shift_register[12] ), + .Q(\gpio_control_in_1[2].serial_data_out ), + .RESET_B(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38430_ ( + .CLK_N(_06300_), + .D(\gpio_control_in_1[3].shift_register[7] ), + .Q(\gpio_control_in_1[3].gpio_ana_pol ), + .Q_N(_16240_), + .RESET_B(_05421_), + .SET_B(_05422_) + ); + sky130_fd_sc_hd__dfbbn_2 _38431_ ( + .CLK_N(_06301_), + .D(\gpio_control_in_1[3].shift_register[6] ), + .Q(\gpio_control_in_1[3].gpio_ana_sel ), + .Q_N(_16239_), + .RESET_B(_05423_), + .SET_B(_05424_) + ); + sky130_fd_sc_hd__dfbbn_2 _38432_ ( + .CLK_N(_06302_), + .D(\gpio_control_in_1[3].shift_register[5] ), + .Q(\gpio_control_in_1[3].gpio_ana_en ), + .Q_N(_16238_), + .RESET_B(_05425_), + .SET_B(_05426_) + ); + sky130_fd_sc_hd__dfbbn_2 _38433_ ( + .CLK_N(_06303_), + .D(\gpio_control_in_1[3].shift_register[10] ), + .Q(mprj_io_dm[33]), + .Q_N(_04643_), + .RESET_B(_05427_), + .SET_B(_05428_) + ); + sky130_fd_sc_hd__dfbbn_2 _38434_ ( + .CLK_N(_06304_), + .D(\gpio_control_in_1[3].shift_register[11] ), + .Q(mprj_io_dm[34]), + .Q_N(_16237_), + .RESET_B(_05429_), + .SET_B(_05430_) + ); + sky130_fd_sc_hd__dfbbn_2 _38435_ ( + .CLK_N(_06305_), + .D(\gpio_control_in_1[3].shift_register[12] ), + .Q(mprj_io_dm[35]), + .Q_N(_16236_), + .RESET_B(_05431_), + .SET_B(_05432_) + ); + sky130_fd_sc_hd__dfbbn_2 _38436_ ( + .CLK_N(_06306_), + .D(\gpio_control_in_1[3].shift_register[1] ), + .Q(\gpio_control_in_1[3].gpio_outenb ), + .Q_N(_16235_), + .RESET_B(_05433_), + .SET_B(_05434_) + ); + sky130_fd_sc_hd__dfbbn_2 _38437_ ( + .CLK_N(_06307_), + .D(\gpio_control_in_1[3].shift_register[4] ), + .Q(\gpio_control_in_1[3].gpio_ib_mode_sel ), + .Q_N(_16234_), + .RESET_B(_05435_), + .SET_B(_05436_) + ); + sky130_fd_sc_hd__dfbbn_2 _38438_ ( + .CLK_N(_06308_), + .D(\gpio_control_in_1[3].shift_register[3] ), + .Q(\gpio_control_in_1[3].gpio_inenb ), + .Q_N(_16233_), + .RESET_B(_05437_), + .SET_B(_05438_) + ); + sky130_fd_sc_hd__dfbbn_2 _38439_ ( + .CLK_N(_06309_), + .D(\gpio_control_in_1[3].shift_register[9] ), + .Q(\gpio_control_in_1[3].gpio_vtrip_sel ), + .Q_N(_16232_), + .RESET_B(_05439_), + .SET_B(_05440_) + ); + sky130_fd_sc_hd__dfbbn_2 _38440_ ( + .CLK_N(_06310_), + .D(\gpio_control_in_1[3].shift_register[8] ), + .Q(\gpio_control_in_1[3].gpio_slow_sel ), + .Q_N(_16231_), + .RESET_B(_05441_), + .SET_B(_05442_) + ); + sky130_fd_sc_hd__dfbbn_2 _38441_ ( + .CLK_N(_06311_), + .D(\gpio_control_in_1[3].shift_register[2] ), + .Q(\gpio_control_in_1[3].gpio_holdover ), + .Q_N(_16230_), + .RESET_B(_05443_), + .SET_B(_05444_) + ); + sky130_fd_sc_hd__dfbbn_2 _38442_ ( + .CLK_N(_06312_), + .D(\gpio_control_in_1[3].shift_register[0] ), + .Q(\gpio_control_in_1[3].mgmt_ena ), + .Q_N(_16373_), + .RESET_B(_05445_), + .SET_B(_05446_) + ); + sky130_fd_sc_hd__dfrtp_2 _38443_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[2].serial_data_out ), + .Q(\gpio_control_in_1[3].shift_register[0] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38444_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[0] ), + .Q(\gpio_control_in_1[3].shift_register[1] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38445_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[1] ), + .Q(\gpio_control_in_1[3].shift_register[2] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38446_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[2] ), + .Q(\gpio_control_in_1[3].shift_register[3] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38447_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[3] ), + .Q(\gpio_control_in_1[3].shift_register[4] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38448_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[4] ), + .Q(\gpio_control_in_1[3].shift_register[5] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38449_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[5] ), + .Q(\gpio_control_in_1[3].shift_register[6] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38450_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[6] ), + .Q(\gpio_control_in_1[3].shift_register[7] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38451_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[7] ), + .Q(\gpio_control_in_1[3].shift_register[8] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38452_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[8] ), + .Q(\gpio_control_in_1[3].shift_register[9] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38453_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[9] ), + .Q(\gpio_control_in_1[3].shift_register[10] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38454_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[10] ), + .Q(\gpio_control_in_1[3].shift_register[11] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38455_ ( + .CLK(\gpio_control_in_1[2].serial_clock_out ), + .D(\gpio_control_in_1[3].shift_register[11] ), + .Q(\gpio_control_in_1[3].shift_register[12] ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38456_ ( + .CLK(_06313_), + .D(\gpio_control_in_1[3].shift_register[12] ), + .Q(\gpio_control_in_1[3].serial_data_out ), + .RESET_B(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38457_ ( + .CLK_N(_06314_), + .D(\gpio_control_in_1[4].shift_register[7] ), + .Q(\gpio_control_in_1[4].gpio_ana_pol ), + .Q_N(_16229_), + .RESET_B(_05447_), + .SET_B(_05448_) + ); + sky130_fd_sc_hd__dfbbn_2 _38458_ ( + .CLK_N(_06315_), + .D(\gpio_control_in_1[4].shift_register[6] ), + .Q(\gpio_control_in_1[4].gpio_ana_sel ), + .Q_N(_16228_), + .RESET_B(_05449_), + .SET_B(_05450_) + ); + sky130_fd_sc_hd__dfbbn_2 _38459_ ( + .CLK_N(_06316_), + .D(\gpio_control_in_1[4].shift_register[5] ), + .Q(\gpio_control_in_1[4].gpio_ana_en ), + .Q_N(_16227_), + .RESET_B(_05451_), + .SET_B(_05452_) + ); + sky130_fd_sc_hd__dfbbn_2 _38460_ ( + .CLK_N(_06317_), + .D(\gpio_control_in_1[4].shift_register[10] ), + .Q(mprj_io_dm[36]), + .Q_N(_04648_), + .RESET_B(_05453_), + .SET_B(_05454_) + ); + sky130_fd_sc_hd__dfbbn_2 _38461_ ( + .CLK_N(_06318_), + .D(\gpio_control_in_1[4].shift_register[11] ), + .Q(mprj_io_dm[37]), + .Q_N(_16226_), + .RESET_B(_05455_), + .SET_B(_05456_) + ); + sky130_fd_sc_hd__dfbbn_2 _38462_ ( + .CLK_N(_06319_), + .D(\gpio_control_in_1[4].shift_register[12] ), + .Q(mprj_io_dm[38]), + .Q_N(_16225_), + .RESET_B(_05457_), + .SET_B(_05458_) + ); + sky130_fd_sc_hd__dfbbn_2 _38463_ ( + .CLK_N(_06320_), + .D(\gpio_control_in_1[4].shift_register[1] ), + .Q(\gpio_control_in_1[4].gpio_outenb ), + .Q_N(_16224_), + .RESET_B(_05459_), + .SET_B(_05460_) + ); + sky130_fd_sc_hd__dfbbn_2 _38464_ ( + .CLK_N(_06321_), + .D(\gpio_control_in_1[4].shift_register[4] ), + .Q(\gpio_control_in_1[4].gpio_ib_mode_sel ), + .Q_N(_16223_), + .RESET_B(_05461_), + .SET_B(_05462_) + ); + sky130_fd_sc_hd__dfbbn_2 _38465_ ( + .CLK_N(_06322_), + .D(\gpio_control_in_1[4].shift_register[3] ), + .Q(\gpio_control_in_1[4].gpio_inenb ), + .Q_N(_16222_), + .RESET_B(_05463_), + .SET_B(_05464_) + ); + sky130_fd_sc_hd__dfbbn_2 _38466_ ( + .CLK_N(_06323_), + .D(\gpio_control_in_1[4].shift_register[9] ), + .Q(\gpio_control_in_1[4].gpio_vtrip_sel ), + .Q_N(_16221_), + .RESET_B(_05465_), + .SET_B(_05466_) + ); + sky130_fd_sc_hd__dfbbn_2 _38467_ ( + .CLK_N(_06324_), + .D(\gpio_control_in_1[4].shift_register[8] ), + .Q(\gpio_control_in_1[4].gpio_slow_sel ), + .Q_N(_16220_), + .RESET_B(_05467_), + .SET_B(_05468_) + ); + sky130_fd_sc_hd__dfbbn_2 _38468_ ( + .CLK_N(_06325_), + .D(\gpio_control_in_1[4].shift_register[2] ), + .Q(\gpio_control_in_1[4].gpio_holdover ), + .Q_N(_16219_), + .RESET_B(_05469_), + .SET_B(_05470_) + ); + sky130_fd_sc_hd__dfbbn_2 _38469_ ( + .CLK_N(_06326_), + .D(\gpio_control_in_1[4].shift_register[0] ), + .Q(\gpio_control_in_1[4].mgmt_ena ), + .Q_N(_16374_), + .RESET_B(_05471_), + .SET_B(_05472_) + ); + sky130_fd_sc_hd__dfrtp_2 _38470_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[3].serial_data_out ), + .Q(\gpio_control_in_1[4].shift_register[0] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38471_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[0] ), + .Q(\gpio_control_in_1[4].shift_register[1] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38472_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[1] ), + .Q(\gpio_control_in_1[4].shift_register[2] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38473_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[2] ), + .Q(\gpio_control_in_1[4].shift_register[3] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38474_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[3] ), + .Q(\gpio_control_in_1[4].shift_register[4] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38475_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[4] ), + .Q(\gpio_control_in_1[4].shift_register[5] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38476_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[5] ), + .Q(\gpio_control_in_1[4].shift_register[6] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38477_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[6] ), + .Q(\gpio_control_in_1[4].shift_register[7] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38478_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[7] ), + .Q(\gpio_control_in_1[4].shift_register[8] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38479_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[8] ), + .Q(\gpio_control_in_1[4].shift_register[9] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38480_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[9] ), + .Q(\gpio_control_in_1[4].shift_register[10] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38481_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[10] ), + .Q(\gpio_control_in_1[4].shift_register[11] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38482_ ( + .CLK(\gpio_control_in_1[3].serial_clock_out ), + .D(\gpio_control_in_1[4].shift_register[11] ), + .Q(\gpio_control_in_1[4].shift_register[12] ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38483_ ( + .CLK(_06327_), + .D(\gpio_control_in_1[4].shift_register[12] ), + .Q(\gpio_control_in_1[4].serial_data_out ), + .RESET_B(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38484_ ( + .CLK_N(_06328_), + .D(\gpio_control_in_1[5].shift_register[7] ), + .Q(\gpio_control_in_1[5].gpio_ana_pol ), + .Q_N(_16218_), + .RESET_B(_05473_), + .SET_B(_05474_) + ); + sky130_fd_sc_hd__dfbbn_2 _38485_ ( + .CLK_N(_06329_), + .D(\gpio_control_in_1[5].shift_register[6] ), + .Q(\gpio_control_in_1[5].gpio_ana_sel ), + .Q_N(_16217_), + .RESET_B(_05475_), + .SET_B(_05476_) + ); + sky130_fd_sc_hd__dfbbn_2 _38486_ ( + .CLK_N(_06330_), + .D(\gpio_control_in_1[5].shift_register[5] ), + .Q(\gpio_control_in_1[5].gpio_ana_en ), + .Q_N(_16216_), + .RESET_B(_05477_), + .SET_B(_05478_) + ); + sky130_fd_sc_hd__dfbbn_2 _38487_ ( + .CLK_N(_06331_), + .D(\gpio_control_in_1[5].shift_register[10] ), + .Q(mprj_io_dm[39]), + .Q_N(_04653_), + .RESET_B(_05479_), + .SET_B(_05480_) + ); + sky130_fd_sc_hd__dfbbn_2 _38488_ ( + .CLK_N(_06332_), + .D(\gpio_control_in_1[5].shift_register[11] ), + .Q(mprj_io_dm[40]), + .Q_N(_16215_), + .RESET_B(_05481_), + .SET_B(_05482_) + ); + sky130_fd_sc_hd__dfbbn_2 _38489_ ( + .CLK_N(_06333_), + .D(\gpio_control_in_1[5].shift_register[12] ), + .Q(mprj_io_dm[41]), + .Q_N(_16214_), + .RESET_B(_05483_), + .SET_B(_05484_) + ); + sky130_fd_sc_hd__dfbbn_2 _38490_ ( + .CLK_N(_06334_), + .D(\gpio_control_in_1[5].shift_register[1] ), + .Q(\gpio_control_in_1[5].gpio_outenb ), + .Q_N(_16213_), + .RESET_B(_05485_), + .SET_B(_05486_) + ); + sky130_fd_sc_hd__dfbbn_2 _38491_ ( + .CLK_N(_06335_), + .D(\gpio_control_in_1[5].shift_register[4] ), + .Q(\gpio_control_in_1[5].gpio_ib_mode_sel ), + .Q_N(_16212_), + .RESET_B(_05487_), + .SET_B(_05488_) + ); + sky130_fd_sc_hd__dfbbn_2 _38492_ ( + .CLK_N(_06336_), + .D(\gpio_control_in_1[5].shift_register[3] ), + .Q(\gpio_control_in_1[5].gpio_inenb ), + .Q_N(_16211_), + .RESET_B(_05489_), + .SET_B(_05490_) + ); + sky130_fd_sc_hd__dfbbn_2 _38493_ ( + .CLK_N(_06337_), + .D(\gpio_control_in_1[5].shift_register[9] ), + .Q(\gpio_control_in_1[5].gpio_vtrip_sel ), + .Q_N(_16210_), + .RESET_B(_05491_), + .SET_B(_05492_) + ); + sky130_fd_sc_hd__dfbbn_2 _38494_ ( + .CLK_N(_06338_), + .D(\gpio_control_in_1[5].shift_register[8] ), + .Q(\gpio_control_in_1[5].gpio_slow_sel ), + .Q_N(_16209_), + .RESET_B(_05493_), + .SET_B(_05494_) + ); + sky130_fd_sc_hd__dfbbn_2 _38495_ ( + .CLK_N(_06339_), + .D(\gpio_control_in_1[5].shift_register[2] ), + .Q(\gpio_control_in_1[5].gpio_holdover ), + .Q_N(_16208_), + .RESET_B(_05495_), + .SET_B(_05496_) + ); + sky130_fd_sc_hd__dfbbn_2 _38496_ ( + .CLK_N(_06340_), + .D(\gpio_control_in_1[5].shift_register[0] ), + .Q(\gpio_control_in_1[5].mgmt_ena ), + .Q_N(_16375_), + .RESET_B(_05497_), + .SET_B(_05498_) + ); + sky130_fd_sc_hd__dfrtp_2 _38497_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[4].serial_data_out ), + .Q(\gpio_control_in_1[5].shift_register[0] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38498_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[0] ), + .Q(\gpio_control_in_1[5].shift_register[1] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38499_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[1] ), + .Q(\gpio_control_in_1[5].shift_register[2] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38500_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[2] ), + .Q(\gpio_control_in_1[5].shift_register[3] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38501_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[3] ), + .Q(\gpio_control_in_1[5].shift_register[4] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38502_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[4] ), + .Q(\gpio_control_in_1[5].shift_register[5] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38503_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[5] ), + .Q(\gpio_control_in_1[5].shift_register[6] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38504_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[6] ), + .Q(\gpio_control_in_1[5].shift_register[7] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38505_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[7] ), + .Q(\gpio_control_in_1[5].shift_register[8] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38506_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[8] ), + .Q(\gpio_control_in_1[5].shift_register[9] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38507_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[9] ), + .Q(\gpio_control_in_1[5].shift_register[10] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38508_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[10] ), + .Q(\gpio_control_in_1[5].shift_register[11] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38509_ ( + .CLK(\gpio_control_in_1[4].serial_clock_out ), + .D(\gpio_control_in_1[5].shift_register[11] ), + .Q(\gpio_control_in_1[5].shift_register[12] ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38510_ ( + .CLK(_06341_), + .D(\gpio_control_in_1[5].shift_register[12] ), + .Q(\gpio_control_in_1[5].serial_data_out ), + .RESET_B(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38511_ ( + .CLK_N(_06342_), + .D(\gpio_control_in_1[6].shift_register[7] ), + .Q(\gpio_control_in_1[6].gpio_ana_pol ), + .Q_N(_16207_), + .RESET_B(_05499_), + .SET_B(_05500_) + ); + sky130_fd_sc_hd__dfbbn_2 _38512_ ( + .CLK_N(_06343_), + .D(\gpio_control_in_1[6].shift_register[6] ), + .Q(\gpio_control_in_1[6].gpio_ana_sel ), + .Q_N(_16206_), + .RESET_B(_05501_), + .SET_B(_05502_) + ); + sky130_fd_sc_hd__dfbbn_2 _38513_ ( + .CLK_N(_06344_), + .D(\gpio_control_in_1[6].shift_register[5] ), + .Q(\gpio_control_in_1[6].gpio_ana_en ), + .Q_N(_16205_), + .RESET_B(_05503_), + .SET_B(_05504_) + ); + sky130_fd_sc_hd__dfbbn_2 _38514_ ( + .CLK_N(_06345_), + .D(\gpio_control_in_1[6].shift_register[10] ), + .Q(mprj_io_dm[42]), + .Q_N(_04658_), + .RESET_B(_05505_), + .SET_B(_05506_) + ); + sky130_fd_sc_hd__dfbbn_2 _38515_ ( + .CLK_N(_06346_), + .D(\gpio_control_in_1[6].shift_register[11] ), + .Q(mprj_io_dm[43]), + .Q_N(_16204_), + .RESET_B(_05507_), + .SET_B(_05508_) + ); + sky130_fd_sc_hd__dfbbn_2 _38516_ ( + .CLK_N(_06347_), + .D(\gpio_control_in_1[6].shift_register[12] ), + .Q(mprj_io_dm[44]), + .Q_N(_16203_), + .RESET_B(_05509_), + .SET_B(_05510_) + ); + sky130_fd_sc_hd__dfbbn_2 _38517_ ( + .CLK_N(_06348_), + .D(\gpio_control_in_1[6].shift_register[1] ), + .Q(\gpio_control_in_1[6].gpio_outenb ), + .Q_N(_16202_), + .RESET_B(_05511_), + .SET_B(_05512_) + ); + sky130_fd_sc_hd__dfbbn_2 _38518_ ( + .CLK_N(_06349_), + .D(\gpio_control_in_1[6].shift_register[4] ), + .Q(\gpio_control_in_1[6].gpio_ib_mode_sel ), + .Q_N(_16201_), + .RESET_B(_05513_), + .SET_B(_05514_) + ); + sky130_fd_sc_hd__dfbbn_2 _38519_ ( + .CLK_N(_06350_), + .D(\gpio_control_in_1[6].shift_register[3] ), + .Q(\gpio_control_in_1[6].gpio_inenb ), + .Q_N(_16200_), + .RESET_B(_05515_), + .SET_B(_05516_) + ); + sky130_fd_sc_hd__dfbbn_2 _38520_ ( + .CLK_N(_06351_), + .D(\gpio_control_in_1[6].shift_register[9] ), + .Q(\gpio_control_in_1[6].gpio_vtrip_sel ), + .Q_N(_16199_), + .RESET_B(_05517_), + .SET_B(_05518_) + ); + sky130_fd_sc_hd__dfbbn_2 _38521_ ( + .CLK_N(_06352_), + .D(\gpio_control_in_1[6].shift_register[8] ), + .Q(\gpio_control_in_1[6].gpio_slow_sel ), + .Q_N(_16198_), + .RESET_B(_05519_), + .SET_B(_05520_) + ); + sky130_fd_sc_hd__dfbbn_2 _38522_ ( + .CLK_N(_06353_), + .D(\gpio_control_in_1[6].shift_register[2] ), + .Q(\gpio_control_in_1[6].gpio_holdover ), + .Q_N(_16197_), + .RESET_B(_05521_), + .SET_B(_05522_) + ); + sky130_fd_sc_hd__dfbbn_2 _38523_ ( + .CLK_N(_06354_), + .D(\gpio_control_in_1[6].shift_register[0] ), + .Q(\gpio_control_in_1[6].mgmt_ena ), + .Q_N(_16376_), + .RESET_B(_05523_), + .SET_B(_05524_) + ); + sky130_fd_sc_hd__dfrtp_2 _38524_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[5].serial_data_out ), + .Q(\gpio_control_in_1[6].shift_register[0] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38525_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[0] ), + .Q(\gpio_control_in_1[6].shift_register[1] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38526_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[1] ), + .Q(\gpio_control_in_1[6].shift_register[2] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38527_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[2] ), + .Q(\gpio_control_in_1[6].shift_register[3] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38528_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[3] ), + .Q(\gpio_control_in_1[6].shift_register[4] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38529_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[4] ), + .Q(\gpio_control_in_1[6].shift_register[5] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38530_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[5] ), + .Q(\gpio_control_in_1[6].shift_register[6] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38531_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[6] ), + .Q(\gpio_control_in_1[6].shift_register[7] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38532_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[7] ), + .Q(\gpio_control_in_1[6].shift_register[8] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38533_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[8] ), + .Q(\gpio_control_in_1[6].shift_register[9] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38534_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[9] ), + .Q(\gpio_control_in_1[6].shift_register[10] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38535_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[10] ), + .Q(\gpio_control_in_1[6].shift_register[11] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38536_ ( + .CLK(\gpio_control_in_1[5].serial_clock_out ), + .D(\gpio_control_in_1[6].shift_register[11] ), + .Q(\gpio_control_in_1[6].shift_register[12] ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38537_ ( + .CLK(_06355_), + .D(\gpio_control_in_1[6].shift_register[12] ), + .Q(\gpio_control_in_1[6].serial_data_out ), + .RESET_B(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38538_ ( + .CLK_N(_06356_), + .D(\gpio_control_in_1[7].shift_register[7] ), + .Q(\gpio_control_in_1[7].gpio_ana_pol ), + .Q_N(_16196_), + .RESET_B(_05525_), + .SET_B(_05526_) + ); + sky130_fd_sc_hd__dfbbn_2 _38539_ ( + .CLK_N(_06357_), + .D(\gpio_control_in_1[7].shift_register[6] ), + .Q(\gpio_control_in_1[7].gpio_ana_sel ), + .Q_N(_16195_), + .RESET_B(_05527_), + .SET_B(_05528_) + ); + sky130_fd_sc_hd__dfbbn_2 _38540_ ( + .CLK_N(_06358_), + .D(\gpio_control_in_1[7].shift_register[5] ), + .Q(\gpio_control_in_1[7].gpio_ana_en ), + .Q_N(_16194_), + .RESET_B(_05529_), + .SET_B(_05530_) + ); + sky130_fd_sc_hd__dfbbn_2 _38541_ ( + .CLK_N(_06359_), + .D(\gpio_control_in_1[7].shift_register[10] ), + .Q(mprj_io_dm[45]), + .Q_N(_04663_), + .RESET_B(_05531_), + .SET_B(_05532_) + ); + sky130_fd_sc_hd__dfbbn_2 _38542_ ( + .CLK_N(_06360_), + .D(\gpio_control_in_1[7].shift_register[11] ), + .Q(mprj_io_dm[46]), + .Q_N(_16193_), + .RESET_B(_05533_), + .SET_B(_05534_) + ); + sky130_fd_sc_hd__dfbbn_2 _38543_ ( + .CLK_N(_06361_), + .D(\gpio_control_in_1[7].shift_register[12] ), + .Q(mprj_io_dm[47]), + .Q_N(_16192_), + .RESET_B(_05535_), + .SET_B(_05536_) + ); + sky130_fd_sc_hd__dfbbn_2 _38544_ ( + .CLK_N(_06362_), + .D(\gpio_control_in_1[7].shift_register[1] ), + .Q(\gpio_control_in_1[7].gpio_outenb ), + .Q_N(_16191_), + .RESET_B(_05537_), + .SET_B(_05538_) + ); + sky130_fd_sc_hd__dfbbn_2 _38545_ ( + .CLK_N(_06363_), + .D(\gpio_control_in_1[7].shift_register[4] ), + .Q(\gpio_control_in_1[7].gpio_ib_mode_sel ), + .Q_N(_16190_), + .RESET_B(_05539_), + .SET_B(_05540_) + ); + sky130_fd_sc_hd__dfbbn_2 _38546_ ( + .CLK_N(_06364_), + .D(\gpio_control_in_1[7].shift_register[3] ), + .Q(\gpio_control_in_1[7].gpio_inenb ), + .Q_N(_16189_), + .RESET_B(_05541_), + .SET_B(_05542_) + ); + sky130_fd_sc_hd__dfbbn_2 _38547_ ( + .CLK_N(_06365_), + .D(\gpio_control_in_1[7].shift_register[9] ), + .Q(\gpio_control_in_1[7].gpio_vtrip_sel ), + .Q_N(_16188_), + .RESET_B(_05543_), + .SET_B(_05544_) + ); + sky130_fd_sc_hd__dfbbn_2 _38548_ ( + .CLK_N(_06366_), + .D(\gpio_control_in_1[7].shift_register[8] ), + .Q(\gpio_control_in_1[7].gpio_slow_sel ), + .Q_N(_16187_), + .RESET_B(_05545_), + .SET_B(_05546_) + ); + sky130_fd_sc_hd__dfbbn_2 _38549_ ( + .CLK_N(_06367_), + .D(\gpio_control_in_1[7].shift_register[2] ), + .Q(\gpio_control_in_1[7].gpio_holdover ), + .Q_N(_16186_), + .RESET_B(_05547_), + .SET_B(_05548_) + ); + sky130_fd_sc_hd__dfbbn_2 _38550_ ( + .CLK_N(_06368_), + .D(\gpio_control_in_1[7].shift_register[0] ), + .Q(\gpio_control_in_1[7].mgmt_ena ), + .Q_N(_16377_), + .RESET_B(_05549_), + .SET_B(_05550_) + ); + sky130_fd_sc_hd__dfrtp_2 _38551_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[6].serial_data_out ), + .Q(\gpio_control_in_1[7].shift_register[0] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38552_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[0] ), + .Q(\gpio_control_in_1[7].shift_register[1] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38553_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[1] ), + .Q(\gpio_control_in_1[7].shift_register[2] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38554_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[2] ), + .Q(\gpio_control_in_1[7].shift_register[3] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38555_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[3] ), + .Q(\gpio_control_in_1[7].shift_register[4] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38556_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[4] ), + .Q(\gpio_control_in_1[7].shift_register[5] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38557_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[5] ), + .Q(\gpio_control_in_1[7].shift_register[6] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38558_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[6] ), + .Q(\gpio_control_in_1[7].shift_register[7] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38559_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[7] ), + .Q(\gpio_control_in_1[7].shift_register[8] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38560_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[8] ), + .Q(\gpio_control_in_1[7].shift_register[9] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38561_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[9] ), + .Q(\gpio_control_in_1[7].shift_register[10] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38562_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[10] ), + .Q(\gpio_control_in_1[7].shift_register[11] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38563_ ( + .CLK(\gpio_control_in_1[6].serial_clock_out ), + .D(\gpio_control_in_1[7].shift_register[11] ), + .Q(\gpio_control_in_1[7].shift_register[12] ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38564_ ( + .CLK(_06369_), + .D(\gpio_control_in_1[7].shift_register[12] ), + .Q(\gpio_control_in_1[7].serial_data_out ), + .RESET_B(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38565_ ( + .CLK_N(_06370_), + .D(\gpio_control_in_1[8].shift_register[7] ), + .Q(\gpio_control_in_1[8].gpio_ana_pol ), + .Q_N(_16185_), + .RESET_B(_05551_), + .SET_B(_05552_) + ); + sky130_fd_sc_hd__dfbbn_2 _38566_ ( + .CLK_N(_06371_), + .D(\gpio_control_in_1[8].shift_register[6] ), + .Q(\gpio_control_in_1[8].gpio_ana_sel ), + .Q_N(_16184_), + .RESET_B(_05553_), + .SET_B(_05554_) + ); + sky130_fd_sc_hd__dfbbn_2 _38567_ ( + .CLK_N(_06372_), + .D(\gpio_control_in_1[8].shift_register[5] ), + .Q(\gpio_control_in_1[8].gpio_ana_en ), + .Q_N(_16183_), + .RESET_B(_05555_), + .SET_B(_05556_) + ); + sky130_fd_sc_hd__dfbbn_2 _38568_ ( + .CLK_N(_06373_), + .D(\gpio_control_in_1[8].shift_register[10] ), + .Q(mprj_io_dm[48]), + .Q_N(_04668_), + .RESET_B(_05557_), + .SET_B(_05558_) + ); + sky130_fd_sc_hd__dfbbn_2 _38569_ ( + .CLK_N(_06374_), + .D(\gpio_control_in_1[8].shift_register[11] ), + .Q(mprj_io_dm[49]), + .Q_N(_16182_), + .RESET_B(_05559_), + .SET_B(_05560_) + ); + sky130_fd_sc_hd__dfbbn_2 _38570_ ( + .CLK_N(_06375_), + .D(\gpio_control_in_1[8].shift_register[12] ), + .Q(mprj_io_dm[50]), + .Q_N(_16181_), + .RESET_B(_05561_), + .SET_B(_05562_) + ); + sky130_fd_sc_hd__dfbbn_2 _38571_ ( + .CLK_N(_06376_), + .D(\gpio_control_in_1[8].shift_register[1] ), + .Q(\gpio_control_in_1[8].gpio_outenb ), + .Q_N(_16180_), + .RESET_B(_05563_), + .SET_B(_05564_) + ); + sky130_fd_sc_hd__dfbbn_2 _38572_ ( + .CLK_N(_06377_), + .D(\gpio_control_in_1[8].shift_register[4] ), + .Q(\gpio_control_in_1[8].gpio_ib_mode_sel ), + .Q_N(_16179_), + .RESET_B(_05565_), + .SET_B(_05566_) + ); + sky130_fd_sc_hd__dfbbn_2 _38573_ ( + .CLK_N(_06378_), + .D(\gpio_control_in_1[8].shift_register[3] ), + .Q(\gpio_control_in_1[8].gpio_inenb ), + .Q_N(_16178_), + .RESET_B(_05567_), + .SET_B(_05568_) + ); + sky130_fd_sc_hd__dfbbn_2 _38574_ ( + .CLK_N(_06379_), + .D(\gpio_control_in_1[8].shift_register[9] ), + .Q(\gpio_control_in_1[8].gpio_vtrip_sel ), + .Q_N(_16177_), + .RESET_B(_05569_), + .SET_B(_05570_) + ); + sky130_fd_sc_hd__dfbbn_2 _38575_ ( + .CLK_N(_06380_), + .D(\gpio_control_in_1[8].shift_register[8] ), + .Q(\gpio_control_in_1[8].gpio_slow_sel ), + .Q_N(_16176_), + .RESET_B(_05571_), + .SET_B(_05572_) + ); + sky130_fd_sc_hd__dfbbn_2 _38576_ ( + .CLK_N(_06381_), + .D(\gpio_control_in_1[8].shift_register[2] ), + .Q(\gpio_control_in_1[8].gpio_holdover ), + .Q_N(_16175_), + .RESET_B(_05573_), + .SET_B(_05574_) + ); + sky130_fd_sc_hd__dfbbn_2 _38577_ ( + .CLK_N(_06382_), + .D(\gpio_control_in_1[8].shift_register[0] ), + .Q(\gpio_control_in_1[8].mgmt_ena ), + .Q_N(_16378_), + .RESET_B(_05575_), + .SET_B(_05576_) + ); + sky130_fd_sc_hd__dfrtp_2 _38578_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[7].serial_data_out ), + .Q(\gpio_control_in_1[8].shift_register[0] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38579_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[0] ), + .Q(\gpio_control_in_1[8].shift_register[1] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38580_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[1] ), + .Q(\gpio_control_in_1[8].shift_register[2] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38581_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[2] ), + .Q(\gpio_control_in_1[8].shift_register[3] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38582_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[3] ), + .Q(\gpio_control_in_1[8].shift_register[4] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38583_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[4] ), + .Q(\gpio_control_in_1[8].shift_register[5] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38584_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[5] ), + .Q(\gpio_control_in_1[8].shift_register[6] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38585_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[6] ), + .Q(\gpio_control_in_1[8].shift_register[7] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38586_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[7] ), + .Q(\gpio_control_in_1[8].shift_register[8] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38587_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[8] ), + .Q(\gpio_control_in_1[8].shift_register[9] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38588_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[9] ), + .Q(\gpio_control_in_1[8].shift_register[10] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38589_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[10] ), + .Q(\gpio_control_in_1[8].shift_register[11] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38590_ ( + .CLK(\gpio_control_in_1[7].serial_clock_out ), + .D(\gpio_control_in_1[8].shift_register[11] ), + .Q(\gpio_control_in_1[8].shift_register[12] ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38591_ ( + .CLK(_06383_), + .D(\gpio_control_in_1[8].shift_register[12] ), + .Q(\gpio_control_in_1[8].serial_data_out ), + .RESET_B(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38592_ ( + .CLK_N(_06384_), + .D(\gpio_control_in_1[9].shift_register[7] ), + .Q(\gpio_control_in_1[9].gpio_ana_pol ), + .Q_N(_16174_), + .RESET_B(_05577_), + .SET_B(_05578_) + ); + sky130_fd_sc_hd__dfbbn_2 _38593_ ( + .CLK_N(_06385_), + .D(\gpio_control_in_1[9].shift_register[6] ), + .Q(\gpio_control_in_1[9].gpio_ana_sel ), + .Q_N(_16173_), + .RESET_B(_05579_), + .SET_B(_05580_) + ); + sky130_fd_sc_hd__dfbbn_2 _38594_ ( + .CLK_N(_06386_), + .D(\gpio_control_in_1[9].shift_register[5] ), + .Q(\gpio_control_in_1[9].gpio_ana_en ), + .Q_N(_16172_), + .RESET_B(_05581_), + .SET_B(_05582_) + ); + sky130_fd_sc_hd__dfbbn_2 _38595_ ( + .CLK_N(_06387_), + .D(\gpio_control_in_1[9].shift_register[10] ), + .Q(mprj_io_dm[51]), + .Q_N(_04673_), + .RESET_B(_05583_), + .SET_B(_05584_) + ); + sky130_fd_sc_hd__dfbbn_2 _38596_ ( + .CLK_N(_06388_), + .D(\gpio_control_in_1[9].shift_register[11] ), + .Q(mprj_io_dm[52]), + .Q_N(_16171_), + .RESET_B(_05585_), + .SET_B(_05586_) + ); + sky130_fd_sc_hd__dfbbn_2 _38597_ ( + .CLK_N(_06389_), + .D(\gpio_control_in_1[9].shift_register[12] ), + .Q(mprj_io_dm[53]), + .Q_N(_16170_), + .RESET_B(_05587_), + .SET_B(_05588_) + ); + sky130_fd_sc_hd__dfbbn_2 _38598_ ( + .CLK_N(_06390_), + .D(\gpio_control_in_1[9].shift_register[1] ), + .Q(\gpio_control_in_1[9].gpio_outenb ), + .Q_N(_16169_), + .RESET_B(_05589_), + .SET_B(_05590_) + ); + sky130_fd_sc_hd__dfbbn_2 _38599_ ( + .CLK_N(_06391_), + .D(\gpio_control_in_1[9].shift_register[4] ), + .Q(\gpio_control_in_1[9].gpio_ib_mode_sel ), + .Q_N(_16168_), + .RESET_B(_05591_), + .SET_B(_05592_) + ); + sky130_fd_sc_hd__dfbbn_2 _38600_ ( + .CLK_N(_06392_), + .D(\gpio_control_in_1[9].shift_register[3] ), + .Q(\gpio_control_in_1[9].gpio_inenb ), + .Q_N(_16167_), + .RESET_B(_05593_), + .SET_B(_05594_) + ); + sky130_fd_sc_hd__dfbbn_2 _38601_ ( + .CLK_N(_06393_), + .D(\gpio_control_in_1[9].shift_register[9] ), + .Q(\gpio_control_in_1[9].gpio_vtrip_sel ), + .Q_N(_16166_), + .RESET_B(_05595_), + .SET_B(_05596_) + ); + sky130_fd_sc_hd__dfbbn_2 _38602_ ( + .CLK_N(_06394_), + .D(\gpio_control_in_1[9].shift_register[8] ), + .Q(\gpio_control_in_1[9].gpio_slow_sel ), + .Q_N(_16165_), + .RESET_B(_05597_), + .SET_B(_05598_) + ); + sky130_fd_sc_hd__dfbbn_2 _38603_ ( + .CLK_N(_06395_), + .D(\gpio_control_in_1[9].shift_register[2] ), + .Q(\gpio_control_in_1[9].gpio_holdover ), + .Q_N(_16164_), + .RESET_B(_05599_), + .SET_B(_05600_) + ); + sky130_fd_sc_hd__dfbbn_2 _38604_ ( + .CLK_N(_06396_), + .D(\gpio_control_in_1[9].shift_register[0] ), + .Q(\gpio_control_in_1[9].mgmt_ena ), + .Q_N(_16379_), + .RESET_B(_05601_), + .SET_B(_05602_) + ); + sky130_fd_sc_hd__dfrtp_2 _38605_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[8].serial_data_out ), + .Q(\gpio_control_in_1[9].shift_register[0] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38606_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[0] ), + .Q(\gpio_control_in_1[9].shift_register[1] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38607_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[1] ), + .Q(\gpio_control_in_1[9].shift_register[2] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38608_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[2] ), + .Q(\gpio_control_in_1[9].shift_register[3] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38609_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[3] ), + .Q(\gpio_control_in_1[9].shift_register[4] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38610_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[4] ), + .Q(\gpio_control_in_1[9].shift_register[5] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38611_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[5] ), + .Q(\gpio_control_in_1[9].shift_register[6] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38612_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[6] ), + .Q(\gpio_control_in_1[9].shift_register[7] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38613_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[7] ), + .Q(\gpio_control_in_1[9].shift_register[8] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38614_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[8] ), + .Q(\gpio_control_in_1[9].shift_register[9] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38615_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[9] ), + .Q(\gpio_control_in_1[9].shift_register[10] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38616_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[10] ), + .Q(\gpio_control_in_1[9].shift_register[11] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38617_ ( + .CLK(\gpio_control_in_1[8].serial_clock_out ), + .D(\gpio_control_in_1[9].shift_register[11] ), + .Q(\gpio_control_in_1[9].shift_register[12] ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38618_ ( + .CLK(_06397_), + .D(\gpio_control_in_1[9].shift_register[12] ), + .Q(\gpio_control_in_1[10].serial_data_in ), + .RESET_B(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38619_ ( + .CLK_N(_06398_), + .D(\gpio_control_in_1[10].shift_register[7] ), + .Q(\gpio_control_in_1[10].gpio_ana_pol ), + .Q_N(_16163_), + .RESET_B(_05603_), + .SET_B(_05604_) + ); + sky130_fd_sc_hd__dfbbn_2 _38620_ ( + .CLK_N(_06399_), + .D(\gpio_control_in_1[10].shift_register[6] ), + .Q(\gpio_control_in_1[10].gpio_ana_sel ), + .Q_N(_16162_), + .RESET_B(_05605_), + .SET_B(_05606_) + ); + sky130_fd_sc_hd__dfbbn_2 _38621_ ( + .CLK_N(_06400_), + .D(\gpio_control_in_1[10].shift_register[5] ), + .Q(\gpio_control_in_1[10].gpio_ana_en ), + .Q_N(_16161_), + .RESET_B(_05607_), + .SET_B(_05608_) + ); + sky130_fd_sc_hd__dfbbn_2 _38622_ ( + .CLK_N(_06401_), + .D(\gpio_control_in_1[10].shift_register[10] ), + .Q(mprj_io_dm[54]), + .Q_N(_04678_), + .RESET_B(_05609_), + .SET_B(_05610_) + ); + sky130_fd_sc_hd__dfbbn_2 _38623_ ( + .CLK_N(_06402_), + .D(\gpio_control_in_1[10].shift_register[11] ), + .Q(mprj_io_dm[55]), + .Q_N(_16160_), + .RESET_B(_05611_), + .SET_B(_05612_) + ); + sky130_fd_sc_hd__dfbbn_2 _38624_ ( + .CLK_N(_06403_), + .D(\gpio_control_in_1[10].shift_register[12] ), + .Q(mprj_io_dm[56]), + .Q_N(_16159_), + .RESET_B(_05613_), + .SET_B(_05614_) + ); + sky130_fd_sc_hd__dfbbn_2 _38625_ ( + .CLK_N(_06404_), + .D(\gpio_control_in_1[10].shift_register[1] ), + .Q(\gpio_control_in_1[10].gpio_outenb ), + .Q_N(_16158_), + .RESET_B(_05615_), + .SET_B(_05616_) + ); + sky130_fd_sc_hd__dfbbn_2 _38626_ ( + .CLK_N(_06405_), + .D(\gpio_control_in_1[10].shift_register[4] ), + .Q(\gpio_control_in_1[10].gpio_ib_mode_sel ), + .Q_N(_16157_), + .RESET_B(_05617_), + .SET_B(_05618_) + ); + sky130_fd_sc_hd__dfbbn_2 _38627_ ( + .CLK_N(_06406_), + .D(\gpio_control_in_1[10].shift_register[3] ), + .Q(\gpio_control_in_1[10].gpio_inenb ), + .Q_N(_16156_), + .RESET_B(_05619_), + .SET_B(_05620_) + ); + sky130_fd_sc_hd__dfbbn_2 _38628_ ( + .CLK_N(_06407_), + .D(\gpio_control_in_1[10].shift_register[9] ), + .Q(\gpio_control_in_1[10].gpio_vtrip_sel ), + .Q_N(_16155_), + .RESET_B(_05621_), + .SET_B(_05622_) + ); + sky130_fd_sc_hd__dfbbn_2 _38629_ ( + .CLK_N(_06408_), + .D(\gpio_control_in_1[10].shift_register[8] ), + .Q(\gpio_control_in_1[10].gpio_slow_sel ), + .Q_N(_16154_), + .RESET_B(_05623_), + .SET_B(_05624_) + ); + sky130_fd_sc_hd__dfbbn_2 _38630_ ( + .CLK_N(_06409_), + .D(\gpio_control_in_1[10].shift_register[2] ), + .Q(\gpio_control_in_1[10].gpio_holdover ), + .Q_N(_16153_), + .RESET_B(_05625_), + .SET_B(_05626_) + ); + sky130_fd_sc_hd__dfbbn_2 _38631_ ( + .CLK_N(_06410_), + .D(\gpio_control_in_1[10].shift_register[0] ), + .Q(\gpio_control_in_1[10].mgmt_ena ), + .Q_N(_16380_), + .RESET_B(_05627_), + .SET_B(_05628_) + ); + sky130_fd_sc_hd__dfrtp_2 _38632_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].serial_data_in ), + .Q(\gpio_control_in_1[10].shift_register[0] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38633_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[0] ), + .Q(\gpio_control_in_1[10].shift_register[1] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38634_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[1] ), + .Q(\gpio_control_in_1[10].shift_register[2] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38635_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[2] ), + .Q(\gpio_control_in_1[10].shift_register[3] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38636_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[3] ), + .Q(\gpio_control_in_1[10].shift_register[4] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38637_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[4] ), + .Q(\gpio_control_in_1[10].shift_register[5] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38638_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[5] ), + .Q(\gpio_control_in_1[10].shift_register[6] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38639_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[6] ), + .Q(\gpio_control_in_1[10].shift_register[7] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38640_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[7] ), + .Q(\gpio_control_in_1[10].shift_register[8] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38641_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[8] ), + .Q(\gpio_control_in_1[10].shift_register[9] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38642_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[9] ), + .Q(\gpio_control_in_1[10].shift_register[10] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38643_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[10] ), + .Q(\gpio_control_in_1[10].shift_register[11] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38644_ ( + .CLK(\gpio_control_in_1[10].serial_clock ), + .D(\gpio_control_in_1[10].shift_register[11] ), + .Q(\gpio_control_in_1[10].shift_register[12] ), + .RESET_B(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38645_ ( + .CLK_N(_06411_), + .D(\gpio_control_bidir_2[0].shift_register[7] ), + .Q(\gpio_control_bidir_2[0].gpio_ana_pol ), + .Q_N(_16152_), + .RESET_B(_05629_), + .SET_B(_05630_) + ); + sky130_fd_sc_hd__dfbbn_2 _38646_ ( + .CLK_N(_06412_), + .D(\gpio_control_bidir_2[0].shift_register[6] ), + .Q(\gpio_control_bidir_2[0].gpio_ana_sel ), + .Q_N(_16151_), + .RESET_B(_05631_), + .SET_B(_05632_) + ); + sky130_fd_sc_hd__dfbbn_2 _38647_ ( + .CLK_N(_06413_), + .D(\gpio_control_bidir_2[0].shift_register[5] ), + .Q(\gpio_control_bidir_2[0].gpio_ana_en ), + .Q_N(_16150_), + .RESET_B(_05633_), + .SET_B(_05634_) + ); + sky130_fd_sc_hd__dfbbn_2 _38648_ ( + .CLK_N(_06414_), + .D(\gpio_control_bidir_2[0].shift_register[10] ), + .Q(mprj_io_dm[105]), + .Q_N(_04683_), + .RESET_B(_05635_), + .SET_B(_05636_) + ); + sky130_fd_sc_hd__dfbbn_2 _38649_ ( + .CLK_N(_06415_), + .D(\gpio_control_bidir_2[0].shift_register[11] ), + .Q(mprj_io_dm[106]), + .Q_N(_16149_), + .RESET_B(_05637_), + .SET_B(_05638_) + ); + sky130_fd_sc_hd__dfbbn_2 _38650_ ( + .CLK_N(_06416_), + .D(\gpio_control_bidir_2[0].shift_register[12] ), + .Q(mprj_io_dm[107]), + .Q_N(_16148_), + .RESET_B(_05639_), + .SET_B(_05640_) + ); + sky130_fd_sc_hd__dfbbn_2 _38651_ ( + .CLK_N(_06417_), + .D(\gpio_control_bidir_2[0].shift_register[1] ), + .Q(\gpio_control_bidir_2[0].gpio_outenb ), + .Q_N(_16147_), + .RESET_B(_05641_), + .SET_B(_05642_) + ); + sky130_fd_sc_hd__dfbbn_2 _38652_ ( + .CLK_N(_06418_), + .D(\gpio_control_bidir_2[0].shift_register[4] ), + .Q(\gpio_control_bidir_2[0].gpio_ib_mode_sel ), + .Q_N(_16146_), + .RESET_B(_05643_), + .SET_B(_05644_) + ); + sky130_fd_sc_hd__dfbbn_2 _38653_ ( + .CLK_N(_06419_), + .D(\gpio_control_bidir_2[0].shift_register[3] ), + .Q(\gpio_control_bidir_2[0].gpio_inenb ), + .Q_N(_16145_), + .RESET_B(_05645_), + .SET_B(_05646_) + ); + sky130_fd_sc_hd__dfbbn_2 _38654_ ( + .CLK_N(_06420_), + .D(\gpio_control_bidir_2[0].shift_register[9] ), + .Q(\gpio_control_bidir_2[0].gpio_vtrip_sel ), + .Q_N(_16144_), + .RESET_B(_05647_), + .SET_B(_05648_) + ); + sky130_fd_sc_hd__dfbbn_2 _38655_ ( + .CLK_N(_06421_), + .D(\gpio_control_bidir_2[0].shift_register[8] ), + .Q(\gpio_control_bidir_2[0].gpio_slow_sel ), + .Q_N(_16143_), + .RESET_B(_05649_), + .SET_B(_05650_) + ); + sky130_fd_sc_hd__dfbbn_2 _38656_ ( + .CLK_N(_06422_), + .D(\gpio_control_bidir_2[0].shift_register[2] ), + .Q(\gpio_control_bidir_2[0].gpio_holdover ), + .Q_N(_16142_), + .RESET_B(_05651_), + .SET_B(_05652_) + ); + sky130_fd_sc_hd__dfbbn_2 _38657_ ( + .CLK_N(_06423_), + .D(\gpio_control_bidir_2[0].shift_register[0] ), + .Q(\gpio_control_bidir_2[0].mgmt_ena ), + .Q_N(_16381_), + .RESET_B(_05653_), + .SET_B(_05654_) + ); + sky130_fd_sc_hd__dfrtp_2 _38658_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].serial_data_in ), + .Q(\gpio_control_bidir_2[0].shift_register[0] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38659_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[0] ), + .Q(\gpio_control_bidir_2[0].shift_register[1] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38660_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[1] ), + .Q(\gpio_control_bidir_2[0].shift_register[2] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38661_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[2] ), + .Q(\gpio_control_bidir_2[0].shift_register[3] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38662_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[3] ), + .Q(\gpio_control_bidir_2[0].shift_register[4] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38663_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[4] ), + .Q(\gpio_control_bidir_2[0].shift_register[5] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38664_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[5] ), + .Q(\gpio_control_bidir_2[0].shift_register[6] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38665_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[6] ), + .Q(\gpio_control_bidir_2[0].shift_register[7] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38666_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[7] ), + .Q(\gpio_control_bidir_2[0].shift_register[8] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38667_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[8] ), + .Q(\gpio_control_bidir_2[0].shift_register[9] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38668_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[9] ), + .Q(\gpio_control_bidir_2[0].shift_register[10] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38669_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[10] ), + .Q(\gpio_control_bidir_2[0].shift_register[11] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38670_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock ), + .D(\gpio_control_bidir_2[0].shift_register[11] ), + .Q(\gpio_control_bidir_2[0].shift_register[12] ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38671_ ( + .CLK(_06424_), + .D(\gpio_control_bidir_2[0].shift_register[12] ), + .Q(\gpio_control_bidir_2[0].serial_data_out ), + .RESET_B(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38672_ ( + .CLK_N(_06425_), + .D(\gpio_control_bidir_2[1].shift_register[7] ), + .Q(\gpio_control_bidir_2[1].gpio_ana_pol ), + .Q_N(_16141_), + .RESET_B(_05655_), + .SET_B(_05656_) + ); + sky130_fd_sc_hd__dfbbn_2 _38673_ ( + .CLK_N(_06426_), + .D(\gpio_control_bidir_2[1].shift_register[6] ), + .Q(\gpio_control_bidir_2[1].gpio_ana_sel ), + .Q_N(_16140_), + .RESET_B(_05657_), + .SET_B(_05658_) + ); + sky130_fd_sc_hd__dfbbn_2 _38674_ ( + .CLK_N(_06427_), + .D(\gpio_control_bidir_2[1].shift_register[5] ), + .Q(\gpio_control_bidir_2[1].gpio_ana_en ), + .Q_N(_16139_), + .RESET_B(_05659_), + .SET_B(_05660_) + ); + sky130_fd_sc_hd__dfbbn_2 _38675_ ( + .CLK_N(_06428_), + .D(\gpio_control_bidir_2[1].shift_register[10] ), + .Q(mprj_io_dm[108]), + .Q_N(_04688_), + .RESET_B(_05661_), + .SET_B(_05662_) + ); + sky130_fd_sc_hd__dfbbn_2 _38676_ ( + .CLK_N(_06429_), + .D(\gpio_control_bidir_2[1].shift_register[11] ), + .Q(mprj_io_dm[109]), + .Q_N(_16138_), + .RESET_B(_05663_), + .SET_B(_05664_) + ); + sky130_fd_sc_hd__dfbbn_2 _38677_ ( + .CLK_N(_06430_), + .D(\gpio_control_bidir_2[1].shift_register[12] ), + .Q(mprj_io_dm[110]), + .Q_N(_16137_), + .RESET_B(_05665_), + .SET_B(_05666_) + ); + sky130_fd_sc_hd__dfbbn_2 _38678_ ( + .CLK_N(_06431_), + .D(\gpio_control_bidir_2[1].shift_register[1] ), + .Q(\gpio_control_bidir_2[1].gpio_outenb ), + .Q_N(_16136_), + .RESET_B(_05667_), + .SET_B(_05668_) + ); + sky130_fd_sc_hd__dfbbn_2 _38679_ ( + .CLK_N(_06432_), + .D(\gpio_control_bidir_2[1].shift_register[4] ), + .Q(\gpio_control_bidir_2[1].gpio_ib_mode_sel ), + .Q_N(_16135_), + .RESET_B(_05669_), + .SET_B(_05670_) + ); + sky130_fd_sc_hd__dfbbn_2 _38680_ ( + .CLK_N(_06433_), + .D(\gpio_control_bidir_2[1].shift_register[3] ), + .Q(\gpio_control_bidir_2[1].gpio_inenb ), + .Q_N(_16134_), + .RESET_B(_05671_), + .SET_B(_05672_) + ); + sky130_fd_sc_hd__dfbbn_2 _38681_ ( + .CLK_N(_06434_), + .D(\gpio_control_bidir_2[1].shift_register[9] ), + .Q(\gpio_control_bidir_2[1].gpio_vtrip_sel ), + .Q_N(_16133_), + .RESET_B(_05673_), + .SET_B(_05674_) + ); + sky130_fd_sc_hd__dfbbn_2 _38682_ ( + .CLK_N(_06435_), + .D(\gpio_control_bidir_2[1].shift_register[8] ), + .Q(\gpio_control_bidir_2[1].gpio_slow_sel ), + .Q_N(_16132_), + .RESET_B(_05675_), + .SET_B(_05676_) + ); + sky130_fd_sc_hd__dfbbn_2 _38683_ ( + .CLK_N(_06436_), + .D(\gpio_control_bidir_2[1].shift_register[2] ), + .Q(\gpio_control_bidir_2[1].gpio_holdover ), + .Q_N(_16131_), + .RESET_B(_05677_), + .SET_B(_05678_) + ); + sky130_fd_sc_hd__dfbbn_2 _38684_ ( + .CLK_N(_06437_), + .D(\gpio_control_bidir_2[1].shift_register[0] ), + .Q(\gpio_control_bidir_2[1].mgmt_ena ), + .Q_N(_16382_), + .RESET_B(_05679_), + .SET_B(_05680_) + ); + sky130_fd_sc_hd__dfrtp_2 _38685_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].serial_data_in ), + .Q(\gpio_control_bidir_2[1].shift_register[0] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38686_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[0] ), + .Q(\gpio_control_bidir_2[1].shift_register[1] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38687_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[1] ), + .Q(\gpio_control_bidir_2[1].shift_register[2] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38688_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[2] ), + .Q(\gpio_control_bidir_2[1].shift_register[3] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38689_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[3] ), + .Q(\gpio_control_bidir_2[1].shift_register[4] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38690_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[4] ), + .Q(\gpio_control_bidir_2[1].shift_register[5] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38691_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[5] ), + .Q(\gpio_control_bidir_2[1].shift_register[6] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38692_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[6] ), + .Q(\gpio_control_bidir_2[1].shift_register[7] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38693_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[7] ), + .Q(\gpio_control_bidir_2[1].shift_register[8] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38694_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[8] ), + .Q(\gpio_control_bidir_2[1].shift_register[9] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38695_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[9] ), + .Q(\gpio_control_bidir_2[1].shift_register[10] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38696_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[10] ), + .Q(\gpio_control_bidir_2[1].shift_register[11] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38697_ ( + .CLK(\gpio_control_bidir_2[1].serial_clock ), + .D(\gpio_control_bidir_2[1].shift_register[11] ), + .Q(\gpio_control_bidir_2[1].shift_register[12] ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38698_ ( + .CLK(_06438_), + .D(\gpio_control_bidir_2[1].shift_register[12] ), + .Q(\gpio_control_bidir_2[0].serial_data_in ), + .RESET_B(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38699_ ( + .CLK_N(_06439_), + .D(\gpio_control_bidir_2[2].shift_register[7] ), + .Q(\gpio_control_bidir_2[2].gpio_ana_pol ), + .Q_N(_16130_), + .RESET_B(_05681_), + .SET_B(_05682_) + ); + sky130_fd_sc_hd__dfbbn_2 _38700_ ( + .CLK_N(_06440_), + .D(\gpio_control_bidir_2[2].shift_register[6] ), + .Q(\gpio_control_bidir_2[2].gpio_ana_sel ), + .Q_N(_16129_), + .RESET_B(_05683_), + .SET_B(_05684_) + ); + sky130_fd_sc_hd__dfbbn_2 _38701_ ( + .CLK_N(_06441_), + .D(\gpio_control_bidir_2[2].shift_register[5] ), + .Q(\gpio_control_bidir_2[2].gpio_ana_en ), + .Q_N(_16128_), + .RESET_B(_05685_), + .SET_B(_05686_) + ); + sky130_fd_sc_hd__dfbbn_2 _38702_ ( + .CLK_N(_06442_), + .D(\gpio_control_bidir_2[2].shift_register[10] ), + .Q(mprj_io_dm[111]), + .Q_N(_04693_), + .RESET_B(_05687_), + .SET_B(_05688_) + ); + sky130_fd_sc_hd__dfbbn_2 _38703_ ( + .CLK_N(_06443_), + .D(\gpio_control_bidir_2[2].shift_register[11] ), + .Q(mprj_io_dm[112]), + .Q_N(_16127_), + .RESET_B(_05689_), + .SET_B(_05690_) + ); + sky130_fd_sc_hd__dfbbn_2 _38704_ ( + .CLK_N(_06444_), + .D(\gpio_control_bidir_2[2].shift_register[12] ), + .Q(mprj_io_dm[113]), + .Q_N(_16126_), + .RESET_B(_05691_), + .SET_B(_05692_) + ); + sky130_fd_sc_hd__dfbbn_2 _38705_ ( + .CLK_N(_06445_), + .D(\gpio_control_bidir_2[2].shift_register[1] ), + .Q(\gpio_control_bidir_2[2].gpio_outenb ), + .Q_N(_16125_), + .RESET_B(_05693_), + .SET_B(_05694_) + ); + sky130_fd_sc_hd__dfbbn_2 _38706_ ( + .CLK_N(_06446_), + .D(\gpio_control_bidir_2[2].shift_register[4] ), + .Q(\gpio_control_bidir_2[2].gpio_ib_mode_sel ), + .Q_N(_16124_), + .RESET_B(_05695_), + .SET_B(_05696_) + ); + sky130_fd_sc_hd__dfbbn_2 _38707_ ( + .CLK_N(_06447_), + .D(\gpio_control_bidir_2[2].shift_register[3] ), + .Q(\gpio_control_bidir_2[2].gpio_inenb ), + .Q_N(_16123_), + .RESET_B(_05697_), + .SET_B(_05698_) + ); + sky130_fd_sc_hd__dfbbn_2 _38708_ ( + .CLK_N(_06448_), + .D(\gpio_control_bidir_2[2].shift_register[9] ), + .Q(\gpio_control_bidir_2[2].gpio_vtrip_sel ), + .Q_N(_16122_), + .RESET_B(_05699_), + .SET_B(_05700_) + ); + sky130_fd_sc_hd__dfbbn_2 _38709_ ( + .CLK_N(_06449_), + .D(\gpio_control_bidir_2[2].shift_register[8] ), + .Q(\gpio_control_bidir_2[2].gpio_slow_sel ), + .Q_N(_16121_), + .RESET_B(_05701_), + .SET_B(_05702_) + ); + sky130_fd_sc_hd__dfbbn_2 _38710_ ( + .CLK_N(_06450_), + .D(\gpio_control_bidir_2[2].shift_register[2] ), + .Q(\gpio_control_bidir_2[2].gpio_holdover ), + .Q_N(_16120_), + .RESET_B(_05703_), + .SET_B(_05704_) + ); + sky130_fd_sc_hd__dfbbn_2 _38711_ ( + .CLK_N(_06451_), + .D(\gpio_control_bidir_2[2].shift_register[0] ), + .Q(\gpio_control_bidir_2[2].mgmt_ena ), + .Q_N(_16383_), + .RESET_B(_05705_), + .SET_B(_05706_) + ); + sky130_fd_sc_hd__dfrtp_2 _38712_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].serial_data_in ), + .Q(\gpio_control_bidir_2[2].shift_register[0] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38713_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[0] ), + .Q(\gpio_control_bidir_2[2].shift_register[1] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38714_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[1] ), + .Q(\gpio_control_bidir_2[2].shift_register[2] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38715_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[2] ), + .Q(\gpio_control_bidir_2[2].shift_register[3] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38716_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[3] ), + .Q(\gpio_control_bidir_2[2].shift_register[4] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38717_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[4] ), + .Q(\gpio_control_bidir_2[2].shift_register[5] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38718_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[5] ), + .Q(\gpio_control_bidir_2[2].shift_register[6] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38719_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[6] ), + .Q(\gpio_control_bidir_2[2].shift_register[7] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38720_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[7] ), + .Q(\gpio_control_bidir_2[2].shift_register[8] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38721_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[8] ), + .Q(\gpio_control_bidir_2[2].shift_register[9] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38722_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[9] ), + .Q(\gpio_control_bidir_2[2].shift_register[10] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38723_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[10] ), + .Q(\gpio_control_bidir_2[2].shift_register[11] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38724_ ( + .CLK(\gpio_control_bidir_1[0].serial_clock ), + .D(\gpio_control_bidir_2[2].shift_register[11] ), + .Q(\gpio_control_bidir_2[2].shift_register[12] ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38725_ ( + .CLK(_06452_), + .D(\gpio_control_bidir_2[2].shift_register[12] ), + .Q(\gpio_control_bidir_2[1].serial_data_in ), + .RESET_B(\gpio_control_bidir_1[0].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38726_ ( + .CLK_N(_06453_), + .D(\gpio_control_in_2[0].shift_register[7] ), + .Q(\gpio_control_in_2[0].gpio_ana_pol ), + .Q_N(_16119_), + .RESET_B(_05707_), + .SET_B(_05708_) + ); + sky130_fd_sc_hd__dfbbn_2 _38727_ ( + .CLK_N(_06454_), + .D(\gpio_control_in_2[0].shift_register[6] ), + .Q(\gpio_control_in_2[0].gpio_ana_sel ), + .Q_N(_16118_), + .RESET_B(_05709_), + .SET_B(_05710_) + ); + sky130_fd_sc_hd__dfbbn_2 _38728_ ( + .CLK_N(_06455_), + .D(\gpio_control_in_2[0].shift_register[5] ), + .Q(\gpio_control_in_2[0].gpio_ana_en ), + .Q_N(_16117_), + .RESET_B(_05711_), + .SET_B(_05712_) + ); + sky130_fd_sc_hd__dfbbn_2 _38729_ ( + .CLK_N(_06456_), + .D(\gpio_control_in_2[0].shift_register[10] ), + .Q(mprj_io_dm[57]), + .Q_N(_04698_), + .RESET_B(_05713_), + .SET_B(_05714_) + ); + sky130_fd_sc_hd__dfbbn_2 _38730_ ( + .CLK_N(_06457_), + .D(\gpio_control_in_2[0].shift_register[11] ), + .Q(mprj_io_dm[58]), + .Q_N(_16116_), + .RESET_B(_05715_), + .SET_B(_05716_) + ); + sky130_fd_sc_hd__dfbbn_2 _38731_ ( + .CLK_N(_06458_), + .D(\gpio_control_in_2[0].shift_register[12] ), + .Q(mprj_io_dm[59]), + .Q_N(_16115_), + .RESET_B(_05717_), + .SET_B(_05718_) + ); + sky130_fd_sc_hd__dfbbn_2 _38732_ ( + .CLK_N(_06459_), + .D(\gpio_control_in_2[0].shift_register[1] ), + .Q(\gpio_control_in_2[0].gpio_outenb ), + .Q_N(_16114_), + .RESET_B(_05719_), + .SET_B(_05720_) + ); + sky130_fd_sc_hd__dfbbn_2 _38733_ ( + .CLK_N(_06460_), + .D(\gpio_control_in_2[0].shift_register[4] ), + .Q(\gpio_control_in_2[0].gpio_ib_mode_sel ), + .Q_N(_16113_), + .RESET_B(_05721_), + .SET_B(_05722_) + ); + sky130_fd_sc_hd__dfbbn_2 _38734_ ( + .CLK_N(_06461_), + .D(\gpio_control_in_2[0].shift_register[3] ), + .Q(\gpio_control_in_2[0].gpio_inenb ), + .Q_N(_16112_), + .RESET_B(_05723_), + .SET_B(_05724_) + ); + sky130_fd_sc_hd__dfbbn_2 _38735_ ( + .CLK_N(_06462_), + .D(\gpio_control_in_2[0].shift_register[9] ), + .Q(\gpio_control_in_2[0].gpio_vtrip_sel ), + .Q_N(_16111_), + .RESET_B(_05725_), + .SET_B(_05726_) + ); + sky130_fd_sc_hd__dfbbn_2 _38736_ ( + .CLK_N(_06463_), + .D(\gpio_control_in_2[0].shift_register[8] ), + .Q(\gpio_control_in_2[0].gpio_slow_sel ), + .Q_N(_16110_), + .RESET_B(_05727_), + .SET_B(_05728_) + ); + sky130_fd_sc_hd__dfbbn_2 _38737_ ( + .CLK_N(_06464_), + .D(\gpio_control_in_2[0].shift_register[2] ), + .Q(\gpio_control_in_2[0].gpio_holdover ), + .Q_N(_16109_), + .RESET_B(_05729_), + .SET_B(_05730_) + ); + sky130_fd_sc_hd__dfbbn_2 _38738_ ( + .CLK_N(_06465_), + .D(\gpio_control_in_2[0].shift_register[0] ), + .Q(\gpio_control_in_2[0].mgmt_ena ), + .Q_N(_16384_), + .RESET_B(_05731_), + .SET_B(_05732_) + ); + sky130_fd_sc_hd__dfrtp_2 _38739_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].serial_data_in ), + .Q(\gpio_control_in_2[0].shift_register[0] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38740_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[0] ), + .Q(\gpio_control_in_2[0].shift_register[1] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38741_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[1] ), + .Q(\gpio_control_in_2[0].shift_register[2] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38742_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[2] ), + .Q(\gpio_control_in_2[0].shift_register[3] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38743_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[3] ), + .Q(\gpio_control_in_2[0].shift_register[4] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38744_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[4] ), + .Q(\gpio_control_in_2[0].shift_register[5] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38745_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[5] ), + .Q(\gpio_control_in_2[0].shift_register[6] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38746_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[6] ), + .Q(\gpio_control_in_2[0].shift_register[7] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38747_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[7] ), + .Q(\gpio_control_in_2[0].shift_register[8] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38748_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[8] ), + .Q(\gpio_control_in_2[0].shift_register[9] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38749_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[9] ), + .Q(\gpio_control_in_2[0].shift_register[10] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38750_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[10] ), + .Q(\gpio_control_in_2[0].shift_register[11] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38751_ ( + .CLK(\gpio_control_in_2[0].serial_clock ), + .D(\gpio_control_in_2[0].shift_register[11] ), + .Q(\gpio_control_in_2[0].shift_register[12] ), + .RESET_B(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38752_ ( + .CLK_N(_06466_), + .D(\gpio_control_in_2[1].shift_register[7] ), + .Q(\gpio_control_in_2[1].gpio_ana_pol ), + .Q_N(_16108_), + .RESET_B(_05733_), + .SET_B(_05734_) + ); + sky130_fd_sc_hd__dfbbn_2 _38753_ ( + .CLK_N(_06467_), + .D(\gpio_control_in_2[1].shift_register[6] ), + .Q(\gpio_control_in_2[1].gpio_ana_sel ), + .Q_N(_16107_), + .RESET_B(_05735_), + .SET_B(_05736_) + ); + sky130_fd_sc_hd__dfbbn_2 _38754_ ( + .CLK_N(_06468_), + .D(\gpio_control_in_2[1].shift_register[5] ), + .Q(\gpio_control_in_2[1].gpio_ana_en ), + .Q_N(_16106_), + .RESET_B(_05737_), + .SET_B(_05738_) + ); + sky130_fd_sc_hd__dfbbn_2 _38755_ ( + .CLK_N(_06469_), + .D(\gpio_control_in_2[1].shift_register[10] ), + .Q(mprj_io_dm[60]), + .Q_N(_04703_), + .RESET_B(_05739_), + .SET_B(_05740_) + ); + sky130_fd_sc_hd__dfbbn_2 _38756_ ( + .CLK_N(_06470_), + .D(\gpio_control_in_2[1].shift_register[11] ), + .Q(mprj_io_dm[61]), + .Q_N(_16105_), + .RESET_B(_05741_), + .SET_B(_05742_) + ); + sky130_fd_sc_hd__dfbbn_2 _38757_ ( + .CLK_N(_06471_), + .D(\gpio_control_in_2[1].shift_register[12] ), + .Q(mprj_io_dm[62]), + .Q_N(_16104_), + .RESET_B(_05743_), + .SET_B(_05744_) + ); + sky130_fd_sc_hd__dfbbn_2 _38758_ ( + .CLK_N(_06472_), + .D(\gpio_control_in_2[1].shift_register[1] ), + .Q(\gpio_control_in_2[1].gpio_outenb ), + .Q_N(_16103_), + .RESET_B(_05745_), + .SET_B(_05746_) + ); + sky130_fd_sc_hd__dfbbn_2 _38759_ ( + .CLK_N(_06473_), + .D(\gpio_control_in_2[1].shift_register[4] ), + .Q(\gpio_control_in_2[1].gpio_ib_mode_sel ), + .Q_N(_16102_), + .RESET_B(_05747_), + .SET_B(_05748_) + ); + sky130_fd_sc_hd__dfbbn_2 _38760_ ( + .CLK_N(_06474_), + .D(\gpio_control_in_2[1].shift_register[3] ), + .Q(\gpio_control_in_2[1].gpio_inenb ), + .Q_N(_16101_), + .RESET_B(_05749_), + .SET_B(_05750_) + ); + sky130_fd_sc_hd__dfbbn_2 _38761_ ( + .CLK_N(_06475_), + .D(\gpio_control_in_2[1].shift_register[9] ), + .Q(\gpio_control_in_2[1].gpio_vtrip_sel ), + .Q_N(_16100_), + .RESET_B(_05751_), + .SET_B(_05752_) + ); + sky130_fd_sc_hd__dfbbn_2 _38762_ ( + .CLK_N(_06476_), + .D(\gpio_control_in_2[1].shift_register[8] ), + .Q(\gpio_control_in_2[1].gpio_slow_sel ), + .Q_N(_16099_), + .RESET_B(_05753_), + .SET_B(_05754_) + ); + sky130_fd_sc_hd__dfbbn_2 _38763_ ( + .CLK_N(_06477_), + .D(\gpio_control_in_2[1].shift_register[2] ), + .Q(\gpio_control_in_2[1].gpio_holdover ), + .Q_N(_16098_), + .RESET_B(_05755_), + .SET_B(_05756_) + ); + sky130_fd_sc_hd__dfbbn_2 _38764_ ( + .CLK_N(_06478_), + .D(\gpio_control_in_2[1].shift_register[0] ), + .Q(\gpio_control_in_2[1].mgmt_ena ), + .Q_N(_16385_), + .RESET_B(_05757_), + .SET_B(_05758_) + ); + sky130_fd_sc_hd__dfrtp_2 _38765_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].serial_data_in ), + .Q(\gpio_control_in_2[1].shift_register[0] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38766_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[0] ), + .Q(\gpio_control_in_2[1].shift_register[1] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38767_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[1] ), + .Q(\gpio_control_in_2[1].shift_register[2] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38768_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[2] ), + .Q(\gpio_control_in_2[1].shift_register[3] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38769_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[3] ), + .Q(\gpio_control_in_2[1].shift_register[4] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38770_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[4] ), + .Q(\gpio_control_in_2[1].shift_register[5] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38771_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[5] ), + .Q(\gpio_control_in_2[1].shift_register[6] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38772_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[6] ), + .Q(\gpio_control_in_2[1].shift_register[7] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38773_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[7] ), + .Q(\gpio_control_in_2[1].shift_register[8] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38774_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[8] ), + .Q(\gpio_control_in_2[1].shift_register[9] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38775_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[9] ), + .Q(\gpio_control_in_2[1].shift_register[10] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38776_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[10] ), + .Q(\gpio_control_in_2[1].shift_register[11] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38777_ ( + .CLK(\gpio_control_in_2[1].serial_clock ), + .D(\gpio_control_in_2[1].shift_register[11] ), + .Q(\gpio_control_in_2[1].shift_register[12] ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38778_ ( + .CLK(_06479_), + .D(\gpio_control_in_2[1].shift_register[12] ), + .Q(\gpio_control_in_2[0].serial_data_in ), + .RESET_B(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38779_ ( + .CLK_N(_06480_), + .D(\gpio_control_in_2[2].shift_register[7] ), + .Q(\gpio_control_in_2[2].gpio_ana_pol ), + .Q_N(_16097_), + .RESET_B(_05759_), + .SET_B(_05760_) + ); + sky130_fd_sc_hd__dfbbn_2 _38780_ ( + .CLK_N(_06481_), + .D(\gpio_control_in_2[2].shift_register[6] ), + .Q(\gpio_control_in_2[2].gpio_ana_sel ), + .Q_N(_16096_), + .RESET_B(_05761_), + .SET_B(_05762_) + ); + sky130_fd_sc_hd__dfbbn_2 _38781_ ( + .CLK_N(_06482_), + .D(\gpio_control_in_2[2].shift_register[5] ), + .Q(\gpio_control_in_2[2].gpio_ana_en ), + .Q_N(_16095_), + .RESET_B(_05763_), + .SET_B(_05764_) + ); + sky130_fd_sc_hd__dfbbn_2 _38782_ ( + .CLK_N(_06483_), + .D(\gpio_control_in_2[2].shift_register[10] ), + .Q(mprj_io_dm[63]), + .Q_N(_04708_), + .RESET_B(_05765_), + .SET_B(_05766_) + ); + sky130_fd_sc_hd__dfbbn_2 _38783_ ( + .CLK_N(_06484_), + .D(\gpio_control_in_2[2].shift_register[11] ), + .Q(mprj_io_dm[64]), + .Q_N(_16094_), + .RESET_B(_05767_), + .SET_B(_05768_) + ); + sky130_fd_sc_hd__dfbbn_2 _38784_ ( + .CLK_N(_06485_), + .D(\gpio_control_in_2[2].shift_register[12] ), + .Q(mprj_io_dm[65]), + .Q_N(_16093_), + .RESET_B(_05769_), + .SET_B(_05770_) + ); + sky130_fd_sc_hd__dfbbn_2 _38785_ ( + .CLK_N(_06486_), + .D(\gpio_control_in_2[2].shift_register[1] ), + .Q(\gpio_control_in_2[2].gpio_outenb ), + .Q_N(_16092_), + .RESET_B(_05771_), + .SET_B(_05772_) + ); + sky130_fd_sc_hd__dfbbn_2 _38786_ ( + .CLK_N(_06487_), + .D(\gpio_control_in_2[2].shift_register[4] ), + .Q(\gpio_control_in_2[2].gpio_ib_mode_sel ), + .Q_N(_16091_), + .RESET_B(_05773_), + .SET_B(_05774_) + ); + sky130_fd_sc_hd__dfbbn_2 _38787_ ( + .CLK_N(_06488_), + .D(\gpio_control_in_2[2].shift_register[3] ), + .Q(\gpio_control_in_2[2].gpio_inenb ), + .Q_N(_16090_), + .RESET_B(_05775_), + .SET_B(_05776_) + ); + sky130_fd_sc_hd__dfbbn_2 _38788_ ( + .CLK_N(_06489_), + .D(\gpio_control_in_2[2].shift_register[9] ), + .Q(\gpio_control_in_2[2].gpio_vtrip_sel ), + .Q_N(_16089_), + .RESET_B(_05777_), + .SET_B(_05778_) + ); + sky130_fd_sc_hd__dfbbn_2 _38789_ ( + .CLK_N(_06490_), + .D(\gpio_control_in_2[2].shift_register[8] ), + .Q(\gpio_control_in_2[2].gpio_slow_sel ), + .Q_N(_16088_), + .RESET_B(_05779_), + .SET_B(_05780_) + ); + sky130_fd_sc_hd__dfbbn_2 _38790_ ( + .CLK_N(_06491_), + .D(\gpio_control_in_2[2].shift_register[2] ), + .Q(\gpio_control_in_2[2].gpio_holdover ), + .Q_N(_16087_), + .RESET_B(_05781_), + .SET_B(_05782_) + ); + sky130_fd_sc_hd__dfbbn_2 _38791_ ( + .CLK_N(_06492_), + .D(\gpio_control_in_2[2].shift_register[0] ), + .Q(\gpio_control_in_2[2].mgmt_ena ), + .Q_N(_16386_), + .RESET_B(_05783_), + .SET_B(_05784_) + ); + sky130_fd_sc_hd__dfrtp_2 _38792_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].serial_data_in ), + .Q(\gpio_control_in_2[2].shift_register[0] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38793_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[0] ), + .Q(\gpio_control_in_2[2].shift_register[1] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38794_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[1] ), + .Q(\gpio_control_in_2[2].shift_register[2] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38795_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[2] ), + .Q(\gpio_control_in_2[2].shift_register[3] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38796_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[3] ), + .Q(\gpio_control_in_2[2].shift_register[4] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38797_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[4] ), + .Q(\gpio_control_in_2[2].shift_register[5] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38798_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[5] ), + .Q(\gpio_control_in_2[2].shift_register[6] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38799_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[6] ), + .Q(\gpio_control_in_2[2].shift_register[7] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38800_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[7] ), + .Q(\gpio_control_in_2[2].shift_register[8] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38801_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[8] ), + .Q(\gpio_control_in_2[2].shift_register[9] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38802_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[9] ), + .Q(\gpio_control_in_2[2].shift_register[10] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38803_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[10] ), + .Q(\gpio_control_in_2[2].shift_register[11] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38804_ ( + .CLK(\gpio_control_in_2[2].serial_clock ), + .D(\gpio_control_in_2[2].shift_register[11] ), + .Q(\gpio_control_in_2[2].shift_register[12] ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38805_ ( + .CLK(_06493_), + .D(\gpio_control_in_2[2].shift_register[12] ), + .Q(\gpio_control_in_2[1].serial_data_in ), + .RESET_B(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38806_ ( + .CLK_N(_06494_), + .D(\gpio_control_in_2[3].shift_register[7] ), + .Q(\gpio_control_in_2[3].gpio_ana_pol ), + .Q_N(_16086_), + .RESET_B(_05785_), + .SET_B(_05786_) + ); + sky130_fd_sc_hd__dfbbn_2 _38807_ ( + .CLK_N(_06495_), + .D(\gpio_control_in_2[3].shift_register[6] ), + .Q(\gpio_control_in_2[3].gpio_ana_sel ), + .Q_N(_16085_), + .RESET_B(_05787_), + .SET_B(_05788_) + ); + sky130_fd_sc_hd__dfbbn_2 _38808_ ( + .CLK_N(_06496_), + .D(\gpio_control_in_2[3].shift_register[5] ), + .Q(\gpio_control_in_2[3].gpio_ana_en ), + .Q_N(_16084_), + .RESET_B(_05789_), + .SET_B(_05790_) + ); + sky130_fd_sc_hd__dfbbn_2 _38809_ ( + .CLK_N(_06497_), + .D(\gpio_control_in_2[3].shift_register[10] ), + .Q(mprj_io_dm[66]), + .Q_N(_04713_), + .RESET_B(_05791_), + .SET_B(_05792_) + ); + sky130_fd_sc_hd__dfbbn_2 _38810_ ( + .CLK_N(_06498_), + .D(\gpio_control_in_2[3].shift_register[11] ), + .Q(mprj_io_dm[67]), + .Q_N(_16083_), + .RESET_B(_05793_), + .SET_B(_05794_) + ); + sky130_fd_sc_hd__dfbbn_2 _38811_ ( + .CLK_N(_06499_), + .D(\gpio_control_in_2[3].shift_register[12] ), + .Q(mprj_io_dm[68]), + .Q_N(_16082_), + .RESET_B(_05795_), + .SET_B(_05796_) + ); + sky130_fd_sc_hd__dfbbn_2 _38812_ ( + .CLK_N(_06500_), + .D(\gpio_control_in_2[3].shift_register[1] ), + .Q(\gpio_control_in_2[3].gpio_outenb ), + .Q_N(_16081_), + .RESET_B(_05797_), + .SET_B(_05798_) + ); + sky130_fd_sc_hd__dfbbn_2 _38813_ ( + .CLK_N(_06501_), + .D(\gpio_control_in_2[3].shift_register[4] ), + .Q(\gpio_control_in_2[3].gpio_ib_mode_sel ), + .Q_N(_16080_), + .RESET_B(_05799_), + .SET_B(_05800_) + ); + sky130_fd_sc_hd__dfbbn_2 _38814_ ( + .CLK_N(_06502_), + .D(\gpio_control_in_2[3].shift_register[3] ), + .Q(\gpio_control_in_2[3].gpio_inenb ), + .Q_N(_16079_), + .RESET_B(_05801_), + .SET_B(_05802_) + ); + sky130_fd_sc_hd__dfbbn_2 _38815_ ( + .CLK_N(_06503_), + .D(\gpio_control_in_2[3].shift_register[9] ), + .Q(\gpio_control_in_2[3].gpio_vtrip_sel ), + .Q_N(_16078_), + .RESET_B(_05803_), + .SET_B(_05804_) + ); + sky130_fd_sc_hd__dfbbn_2 _38816_ ( + .CLK_N(_06504_), + .D(\gpio_control_in_2[3].shift_register[8] ), + .Q(\gpio_control_in_2[3].gpio_slow_sel ), + .Q_N(_16077_), + .RESET_B(_05805_), + .SET_B(_05806_) + ); + sky130_fd_sc_hd__dfbbn_2 _38817_ ( + .CLK_N(_06505_), + .D(\gpio_control_in_2[3].shift_register[2] ), + .Q(\gpio_control_in_2[3].gpio_holdover ), + .Q_N(_16076_), + .RESET_B(_05807_), + .SET_B(_05808_) + ); + sky130_fd_sc_hd__dfbbn_2 _38818_ ( + .CLK_N(_06506_), + .D(\gpio_control_in_2[3].shift_register[0] ), + .Q(\gpio_control_in_2[3].mgmt_ena ), + .Q_N(_16387_), + .RESET_B(_05809_), + .SET_B(_05810_) + ); + sky130_fd_sc_hd__dfrtp_2 _38819_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].serial_data_in ), + .Q(\gpio_control_in_2[3].shift_register[0] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38820_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[0] ), + .Q(\gpio_control_in_2[3].shift_register[1] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38821_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[1] ), + .Q(\gpio_control_in_2[3].shift_register[2] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38822_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[2] ), + .Q(\gpio_control_in_2[3].shift_register[3] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38823_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[3] ), + .Q(\gpio_control_in_2[3].shift_register[4] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38824_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[4] ), + .Q(\gpio_control_in_2[3].shift_register[5] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38825_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[5] ), + .Q(\gpio_control_in_2[3].shift_register[6] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38826_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[6] ), + .Q(\gpio_control_in_2[3].shift_register[7] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38827_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[7] ), + .Q(\gpio_control_in_2[3].shift_register[8] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38828_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[8] ), + .Q(\gpio_control_in_2[3].shift_register[9] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38829_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[9] ), + .Q(\gpio_control_in_2[3].shift_register[10] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38830_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[10] ), + .Q(\gpio_control_in_2[3].shift_register[11] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38831_ ( + .CLK(\gpio_control_in_2[3].serial_clock ), + .D(\gpio_control_in_2[3].shift_register[11] ), + .Q(\gpio_control_in_2[3].shift_register[12] ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38832_ ( + .CLK(_06507_), + .D(\gpio_control_in_2[3].shift_register[12] ), + .Q(\gpio_control_in_2[2].serial_data_in ), + .RESET_B(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38833_ ( + .CLK_N(_06508_), + .D(\gpio_control_in_2[4].shift_register[7] ), + .Q(\gpio_control_in_2[4].gpio_ana_pol ), + .Q_N(_16075_), + .RESET_B(_05811_), + .SET_B(_05812_) + ); + sky130_fd_sc_hd__dfbbn_2 _38834_ ( + .CLK_N(_06509_), + .D(\gpio_control_in_2[4].shift_register[6] ), + .Q(\gpio_control_in_2[4].gpio_ana_sel ), + .Q_N(_16074_), + .RESET_B(_05813_), + .SET_B(_05814_) + ); + sky130_fd_sc_hd__dfbbn_2 _38835_ ( + .CLK_N(_06510_), + .D(\gpio_control_in_2[4].shift_register[5] ), + .Q(\gpio_control_in_2[4].gpio_ana_en ), + .Q_N(_16073_), + .RESET_B(_05815_), + .SET_B(_05816_) + ); + sky130_fd_sc_hd__dfbbn_2 _38836_ ( + .CLK_N(_06511_), + .D(\gpio_control_in_2[4].shift_register[10] ), + .Q(mprj_io_dm[69]), + .Q_N(_04718_), + .RESET_B(_05817_), + .SET_B(_05818_) + ); + sky130_fd_sc_hd__dfbbn_2 _38837_ ( + .CLK_N(_06512_), + .D(\gpio_control_in_2[4].shift_register[11] ), + .Q(mprj_io_dm[70]), + .Q_N(_16072_), + .RESET_B(_05819_), + .SET_B(_05820_) + ); + sky130_fd_sc_hd__dfbbn_2 _38838_ ( + .CLK_N(_06513_), + .D(\gpio_control_in_2[4].shift_register[12] ), + .Q(mprj_io_dm[71]), + .Q_N(_16071_), + .RESET_B(_05821_), + .SET_B(_05822_) + ); + sky130_fd_sc_hd__dfbbn_2 _38839_ ( + .CLK_N(_06514_), + .D(\gpio_control_in_2[4].shift_register[1] ), + .Q(\gpio_control_in_2[4].gpio_outenb ), + .Q_N(_16070_), + .RESET_B(_05823_), + .SET_B(_05824_) + ); + sky130_fd_sc_hd__dfbbn_2 _38840_ ( + .CLK_N(_06515_), + .D(\gpio_control_in_2[4].shift_register[4] ), + .Q(\gpio_control_in_2[4].gpio_ib_mode_sel ), + .Q_N(_16069_), + .RESET_B(_05825_), + .SET_B(_05826_) + ); + sky130_fd_sc_hd__dfbbn_2 _38841_ ( + .CLK_N(_06516_), + .D(\gpio_control_in_2[4].shift_register[3] ), + .Q(\gpio_control_in_2[4].gpio_inenb ), + .Q_N(_16068_), + .RESET_B(_05827_), + .SET_B(_05828_) + ); + sky130_fd_sc_hd__dfbbn_2 _38842_ ( + .CLK_N(_06517_), + .D(\gpio_control_in_2[4].shift_register[9] ), + .Q(\gpio_control_in_2[4].gpio_vtrip_sel ), + .Q_N(_16067_), + .RESET_B(_05829_), + .SET_B(_05830_) + ); + sky130_fd_sc_hd__dfbbn_2 _38843_ ( + .CLK_N(_06518_), + .D(\gpio_control_in_2[4].shift_register[8] ), + .Q(\gpio_control_in_2[4].gpio_slow_sel ), + .Q_N(_16066_), + .RESET_B(_05831_), + .SET_B(_05832_) + ); + sky130_fd_sc_hd__dfbbn_2 _38844_ ( + .CLK_N(_06519_), + .D(\gpio_control_in_2[4].shift_register[2] ), + .Q(\gpio_control_in_2[4].gpio_holdover ), + .Q_N(_16065_), + .RESET_B(_05833_), + .SET_B(_05834_) + ); + sky130_fd_sc_hd__dfbbn_2 _38845_ ( + .CLK_N(_06520_), + .D(\gpio_control_in_2[4].shift_register[0] ), + .Q(\gpio_control_in_2[4].mgmt_ena ), + .Q_N(_16388_), + .RESET_B(_05835_), + .SET_B(_05836_) + ); + sky130_fd_sc_hd__dfrtp_2 _38846_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].serial_data_in ), + .Q(\gpio_control_in_2[4].shift_register[0] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38847_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[0] ), + .Q(\gpio_control_in_2[4].shift_register[1] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38848_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[1] ), + .Q(\gpio_control_in_2[4].shift_register[2] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38849_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[2] ), + .Q(\gpio_control_in_2[4].shift_register[3] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38850_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[3] ), + .Q(\gpio_control_in_2[4].shift_register[4] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38851_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[4] ), + .Q(\gpio_control_in_2[4].shift_register[5] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38852_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[5] ), + .Q(\gpio_control_in_2[4].shift_register[6] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38853_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[6] ), + .Q(\gpio_control_in_2[4].shift_register[7] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38854_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[7] ), + .Q(\gpio_control_in_2[4].shift_register[8] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38855_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[8] ), + .Q(\gpio_control_in_2[4].shift_register[9] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38856_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[9] ), + .Q(\gpio_control_in_2[4].shift_register[10] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38857_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[10] ), + .Q(\gpio_control_in_2[4].shift_register[11] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38858_ ( + .CLK(\gpio_control_in_2[4].serial_clock ), + .D(\gpio_control_in_2[4].shift_register[11] ), + .Q(\gpio_control_in_2[4].shift_register[12] ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38859_ ( + .CLK(_06521_), + .D(\gpio_control_in_2[4].shift_register[12] ), + .Q(\gpio_control_in_2[3].serial_data_in ), + .RESET_B(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38860_ ( + .CLK_N(_06522_), + .D(\gpio_control_in_2[5].shift_register[7] ), + .Q(\gpio_control_in_2[5].gpio_ana_pol ), + .Q_N(_16064_), + .RESET_B(_05837_), + .SET_B(_05838_) + ); + sky130_fd_sc_hd__dfbbn_2 _38861_ ( + .CLK_N(_06523_), + .D(\gpio_control_in_2[5].shift_register[6] ), + .Q(\gpio_control_in_2[5].gpio_ana_sel ), + .Q_N(_16063_), + .RESET_B(_05839_), + .SET_B(_05840_) + ); + sky130_fd_sc_hd__dfbbn_2 _38862_ ( + .CLK_N(_06524_), + .D(\gpio_control_in_2[5].shift_register[5] ), + .Q(\gpio_control_in_2[5].gpio_ana_en ), + .Q_N(_16062_), + .RESET_B(_05841_), + .SET_B(_05842_) + ); + sky130_fd_sc_hd__dfbbn_2 _38863_ ( + .CLK_N(_06525_), + .D(\gpio_control_in_2[5].shift_register[10] ), + .Q(mprj_io_dm[72]), + .Q_N(_04723_), + .RESET_B(_05843_), + .SET_B(_05844_) + ); + sky130_fd_sc_hd__dfbbn_2 _38864_ ( + .CLK_N(_06526_), + .D(\gpio_control_in_2[5].shift_register[11] ), + .Q(mprj_io_dm[73]), + .Q_N(_16061_), + .RESET_B(_05845_), + .SET_B(_05846_) + ); + sky130_fd_sc_hd__dfbbn_2 _38865_ ( + .CLK_N(_06527_), + .D(\gpio_control_in_2[5].shift_register[12] ), + .Q(mprj_io_dm[74]), + .Q_N(_16060_), + .RESET_B(_05847_), + .SET_B(_05848_) + ); + sky130_fd_sc_hd__dfbbn_2 _38866_ ( + .CLK_N(_06528_), + .D(\gpio_control_in_2[5].shift_register[1] ), + .Q(\gpio_control_in_2[5].gpio_outenb ), + .Q_N(_16059_), + .RESET_B(_05849_), + .SET_B(_05850_) + ); + sky130_fd_sc_hd__dfbbn_2 _38867_ ( + .CLK_N(_06529_), + .D(\gpio_control_in_2[5].shift_register[4] ), + .Q(\gpio_control_in_2[5].gpio_ib_mode_sel ), + .Q_N(_16058_), + .RESET_B(_05851_), + .SET_B(_05852_) + ); + sky130_fd_sc_hd__dfbbn_2 _38868_ ( + .CLK_N(_06530_), + .D(\gpio_control_in_2[5].shift_register[3] ), + .Q(\gpio_control_in_2[5].gpio_inenb ), + .Q_N(_16057_), + .RESET_B(_05853_), + .SET_B(_05854_) + ); + sky130_fd_sc_hd__dfbbn_2 _38869_ ( + .CLK_N(_06531_), + .D(\gpio_control_in_2[5].shift_register[9] ), + .Q(\gpio_control_in_2[5].gpio_vtrip_sel ), + .Q_N(_16056_), + .RESET_B(_05855_), + .SET_B(_05856_) + ); + sky130_fd_sc_hd__dfbbn_2 _38870_ ( + .CLK_N(_06532_), + .D(\gpio_control_in_2[5].shift_register[8] ), + .Q(\gpio_control_in_2[5].gpio_slow_sel ), + .Q_N(_16055_), + .RESET_B(_05857_), + .SET_B(_05858_) + ); + sky130_fd_sc_hd__dfbbn_2 _38871_ ( + .CLK_N(_06533_), + .D(\gpio_control_in_2[5].shift_register[2] ), + .Q(\gpio_control_in_2[5].gpio_holdover ), + .Q_N(_16054_), + .RESET_B(_05859_), + .SET_B(_05860_) + ); + sky130_fd_sc_hd__dfbbn_2 _38872_ ( + .CLK_N(_06534_), + .D(\gpio_control_in_2[5].shift_register[0] ), + .Q(\gpio_control_in_2[5].mgmt_ena ), + .Q_N(_16389_), + .RESET_B(_05861_), + .SET_B(_05862_) + ); + sky130_fd_sc_hd__dfrtp_2 _38873_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].serial_data_in ), + .Q(\gpio_control_in_2[5].shift_register[0] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38874_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[0] ), + .Q(\gpio_control_in_2[5].shift_register[1] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38875_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[1] ), + .Q(\gpio_control_in_2[5].shift_register[2] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38876_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[2] ), + .Q(\gpio_control_in_2[5].shift_register[3] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38877_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[3] ), + .Q(\gpio_control_in_2[5].shift_register[4] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38878_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[4] ), + .Q(\gpio_control_in_2[5].shift_register[5] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38879_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[5] ), + .Q(\gpio_control_in_2[5].shift_register[6] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38880_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[6] ), + .Q(\gpio_control_in_2[5].shift_register[7] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38881_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[7] ), + .Q(\gpio_control_in_2[5].shift_register[8] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38882_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[8] ), + .Q(\gpio_control_in_2[5].shift_register[9] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38883_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[9] ), + .Q(\gpio_control_in_2[5].shift_register[10] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38884_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[10] ), + .Q(\gpio_control_in_2[5].shift_register[11] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38885_ ( + .CLK(\gpio_control_in_2[5].serial_clock ), + .D(\gpio_control_in_2[5].shift_register[11] ), + .Q(\gpio_control_in_2[5].shift_register[12] ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38886_ ( + .CLK(_06535_), + .D(\gpio_control_in_2[5].shift_register[12] ), + .Q(\gpio_control_in_2[4].serial_data_in ), + .RESET_B(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38887_ ( + .CLK_N(_06536_), + .D(\gpio_control_in_2[6].shift_register[7] ), + .Q(\gpio_control_in_2[6].gpio_ana_pol ), + .Q_N(_16053_), + .RESET_B(_05863_), + .SET_B(_05864_) + ); + sky130_fd_sc_hd__dfbbn_2 _38888_ ( + .CLK_N(_06537_), + .D(\gpio_control_in_2[6].shift_register[6] ), + .Q(\gpio_control_in_2[6].gpio_ana_sel ), + .Q_N(_16052_), + .RESET_B(_05865_), + .SET_B(_05866_) + ); + sky130_fd_sc_hd__dfbbn_2 _38889_ ( + .CLK_N(_06538_), + .D(\gpio_control_in_2[6].shift_register[5] ), + .Q(\gpio_control_in_2[6].gpio_ana_en ), + .Q_N(_16051_), + .RESET_B(_05867_), + .SET_B(_05868_) + ); + sky130_fd_sc_hd__dfbbn_2 _38890_ ( + .CLK_N(_06539_), + .D(\gpio_control_in_2[6].shift_register[10] ), + .Q(mprj_io_dm[75]), + .Q_N(_04728_), + .RESET_B(_05869_), + .SET_B(_05870_) + ); + sky130_fd_sc_hd__dfbbn_2 _38891_ ( + .CLK_N(_06540_), + .D(\gpio_control_in_2[6].shift_register[11] ), + .Q(mprj_io_dm[76]), + .Q_N(_16050_), + .RESET_B(_05871_), + .SET_B(_05872_) + ); + sky130_fd_sc_hd__dfbbn_2 _38892_ ( + .CLK_N(_06541_), + .D(\gpio_control_in_2[6].shift_register[12] ), + .Q(mprj_io_dm[77]), + .Q_N(_16049_), + .RESET_B(_05873_), + .SET_B(_05874_) + ); + sky130_fd_sc_hd__dfbbn_2 _38893_ ( + .CLK_N(_06542_), + .D(\gpio_control_in_2[6].shift_register[1] ), + .Q(\gpio_control_in_2[6].gpio_outenb ), + .Q_N(_16048_), + .RESET_B(_05875_), + .SET_B(_05876_) + ); + sky130_fd_sc_hd__dfbbn_2 _38894_ ( + .CLK_N(_06543_), + .D(\gpio_control_in_2[6].shift_register[4] ), + .Q(\gpio_control_in_2[6].gpio_ib_mode_sel ), + .Q_N(_16047_), + .RESET_B(_05877_), + .SET_B(_05878_) + ); + sky130_fd_sc_hd__dfbbn_2 _38895_ ( + .CLK_N(_06544_), + .D(\gpio_control_in_2[6].shift_register[3] ), + .Q(\gpio_control_in_2[6].gpio_inenb ), + .Q_N(_16046_), + .RESET_B(_05879_), + .SET_B(_05880_) + ); + sky130_fd_sc_hd__dfbbn_2 _38896_ ( + .CLK_N(_06545_), + .D(\gpio_control_in_2[6].shift_register[9] ), + .Q(\gpio_control_in_2[6].gpio_vtrip_sel ), + .Q_N(_16045_), + .RESET_B(_05881_), + .SET_B(_05882_) + ); + sky130_fd_sc_hd__dfbbn_2 _38897_ ( + .CLK_N(_06546_), + .D(\gpio_control_in_2[6].shift_register[8] ), + .Q(\gpio_control_in_2[6].gpio_slow_sel ), + .Q_N(_16044_), + .RESET_B(_05883_), + .SET_B(_05884_) + ); + sky130_fd_sc_hd__dfbbn_2 _38898_ ( + .CLK_N(_06547_), + .D(\gpio_control_in_2[6].shift_register[2] ), + .Q(\gpio_control_in_2[6].gpio_holdover ), + .Q_N(_16043_), + .RESET_B(_05885_), + .SET_B(_05886_) + ); + sky130_fd_sc_hd__dfbbn_2 _38899_ ( + .CLK_N(_06548_), + .D(\gpio_control_in_2[6].shift_register[0] ), + .Q(\gpio_control_in_2[6].mgmt_ena ), + .Q_N(_16390_), + .RESET_B(_05887_), + .SET_B(_05888_) + ); + sky130_fd_sc_hd__dfrtp_2 _38900_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].serial_data_in ), + .Q(\gpio_control_in_2[6].shift_register[0] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38901_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[0] ), + .Q(\gpio_control_in_2[6].shift_register[1] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38902_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[1] ), + .Q(\gpio_control_in_2[6].shift_register[2] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38903_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[2] ), + .Q(\gpio_control_in_2[6].shift_register[3] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38904_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[3] ), + .Q(\gpio_control_in_2[6].shift_register[4] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38905_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[4] ), + .Q(\gpio_control_in_2[6].shift_register[5] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38906_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[5] ), + .Q(\gpio_control_in_2[6].shift_register[6] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38907_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[6] ), + .Q(\gpio_control_in_2[6].shift_register[7] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38908_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[7] ), + .Q(\gpio_control_in_2[6].shift_register[8] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38909_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[8] ), + .Q(\gpio_control_in_2[6].shift_register[9] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38910_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[9] ), + .Q(\gpio_control_in_2[6].shift_register[10] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38911_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[10] ), + .Q(\gpio_control_in_2[6].shift_register[11] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38912_ ( + .CLK(\gpio_control_in_2[6].serial_clock ), + .D(\gpio_control_in_2[6].shift_register[11] ), + .Q(\gpio_control_in_2[6].shift_register[12] ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38913_ ( + .CLK(_06549_), + .D(\gpio_control_in_2[6].shift_register[12] ), + .Q(\gpio_control_in_2[5].serial_data_in ), + .RESET_B(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38914_ ( + .CLK_N(_06550_), + .D(\gpio_control_in_2[7].shift_register[7] ), + .Q(\gpio_control_in_2[7].gpio_ana_pol ), + .Q_N(_16042_), + .RESET_B(_05889_), + .SET_B(_05890_) + ); + sky130_fd_sc_hd__dfbbn_2 _38915_ ( + .CLK_N(_06551_), + .D(\gpio_control_in_2[7].shift_register[6] ), + .Q(\gpio_control_in_2[7].gpio_ana_sel ), + .Q_N(_16041_), + .RESET_B(_05891_), + .SET_B(_05892_) + ); + sky130_fd_sc_hd__dfbbn_2 _38916_ ( + .CLK_N(_06552_), + .D(\gpio_control_in_2[7].shift_register[5] ), + .Q(\gpio_control_in_2[7].gpio_ana_en ), + .Q_N(_16040_), + .RESET_B(_05893_), + .SET_B(_05894_) + ); + sky130_fd_sc_hd__dfbbn_2 _38917_ ( + .CLK_N(_06553_), + .D(\gpio_control_in_2[7].shift_register[10] ), + .Q(mprj_io_dm[78]), + .Q_N(_04733_), + .RESET_B(_05895_), + .SET_B(_05896_) + ); + sky130_fd_sc_hd__dfbbn_2 _38918_ ( + .CLK_N(_06554_), + .D(\gpio_control_in_2[7].shift_register[11] ), + .Q(mprj_io_dm[79]), + .Q_N(_16039_), + .RESET_B(_05897_), + .SET_B(_05898_) + ); + sky130_fd_sc_hd__dfbbn_2 _38919_ ( + .CLK_N(_06555_), + .D(\gpio_control_in_2[7].shift_register[12] ), + .Q(mprj_io_dm[80]), + .Q_N(_16038_), + .RESET_B(_05899_), + .SET_B(_05900_) + ); + sky130_fd_sc_hd__dfbbn_2 _38920_ ( + .CLK_N(_06556_), + .D(\gpio_control_in_2[7].shift_register[1] ), + .Q(\gpio_control_in_2[7].gpio_outenb ), + .Q_N(_16037_), + .RESET_B(_05901_), + .SET_B(_05902_) + ); + sky130_fd_sc_hd__dfbbn_2 _38921_ ( + .CLK_N(_06557_), + .D(\gpio_control_in_2[7].shift_register[4] ), + .Q(\gpio_control_in_2[7].gpio_ib_mode_sel ), + .Q_N(_16036_), + .RESET_B(_05903_), + .SET_B(_05904_) + ); + sky130_fd_sc_hd__dfbbn_2 _38922_ ( + .CLK_N(_06558_), + .D(\gpio_control_in_2[7].shift_register[3] ), + .Q(\gpio_control_in_2[7].gpio_inenb ), + .Q_N(_16035_), + .RESET_B(_05905_), + .SET_B(_05906_) + ); + sky130_fd_sc_hd__dfbbn_2 _38923_ ( + .CLK_N(_06559_), + .D(\gpio_control_in_2[7].shift_register[9] ), + .Q(\gpio_control_in_2[7].gpio_vtrip_sel ), + .Q_N(_16034_), + .RESET_B(_05907_), + .SET_B(_05908_) + ); + sky130_fd_sc_hd__dfbbn_2 _38924_ ( + .CLK_N(_06560_), + .D(\gpio_control_in_2[7].shift_register[8] ), + .Q(\gpio_control_in_2[7].gpio_slow_sel ), + .Q_N(_16033_), + .RESET_B(_05909_), + .SET_B(_05910_) + ); + sky130_fd_sc_hd__dfbbn_2 _38925_ ( + .CLK_N(_06561_), + .D(\gpio_control_in_2[7].shift_register[2] ), + .Q(\gpio_control_in_2[7].gpio_holdover ), + .Q_N(_16032_), + .RESET_B(_05911_), + .SET_B(_05912_) + ); + sky130_fd_sc_hd__dfbbn_2 _38926_ ( + .CLK_N(_06562_), + .D(\gpio_control_in_2[7].shift_register[0] ), + .Q(\gpio_control_in_2[7].mgmt_ena ), + .Q_N(_16391_), + .RESET_B(_05913_), + .SET_B(_05914_) + ); + sky130_fd_sc_hd__dfrtp_2 _38927_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].serial_data_in ), + .Q(\gpio_control_in_2[7].shift_register[0] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38928_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[0] ), + .Q(\gpio_control_in_2[7].shift_register[1] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38929_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[1] ), + .Q(\gpio_control_in_2[7].shift_register[2] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38930_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[2] ), + .Q(\gpio_control_in_2[7].shift_register[3] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38931_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[3] ), + .Q(\gpio_control_in_2[7].shift_register[4] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38932_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[4] ), + .Q(\gpio_control_in_2[7].shift_register[5] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38933_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[5] ), + .Q(\gpio_control_in_2[7].shift_register[6] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38934_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[6] ), + .Q(\gpio_control_in_2[7].shift_register[7] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38935_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[7] ), + .Q(\gpio_control_in_2[7].shift_register[8] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38936_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[8] ), + .Q(\gpio_control_in_2[7].shift_register[9] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38937_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[9] ), + .Q(\gpio_control_in_2[7].shift_register[10] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38938_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[10] ), + .Q(\gpio_control_in_2[7].shift_register[11] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38939_ ( + .CLK(\gpio_control_in_2[7].serial_clock ), + .D(\gpio_control_in_2[7].shift_register[11] ), + .Q(\gpio_control_in_2[7].shift_register[12] ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38940_ ( + .CLK(_06563_), + .D(\gpio_control_in_2[7].shift_register[12] ), + .Q(\gpio_control_in_2[6].serial_data_in ), + .RESET_B(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38941_ ( + .CLK_N(_06564_), + .D(\gpio_control_in_2[8].shift_register[7] ), + .Q(\gpio_control_in_2[8].gpio_ana_pol ), + .Q_N(_16031_), + .RESET_B(_05915_), + .SET_B(_05916_) + ); + sky130_fd_sc_hd__dfbbn_2 _38942_ ( + .CLK_N(_06565_), + .D(\gpio_control_in_2[8].shift_register[6] ), + .Q(\gpio_control_in_2[8].gpio_ana_sel ), + .Q_N(_16030_), + .RESET_B(_05917_), + .SET_B(_05918_) + ); + sky130_fd_sc_hd__dfbbn_2 _38943_ ( + .CLK_N(_06566_), + .D(\gpio_control_in_2[8].shift_register[5] ), + .Q(\gpio_control_in_2[8].gpio_ana_en ), + .Q_N(_16029_), + .RESET_B(_05919_), + .SET_B(_05920_) + ); + sky130_fd_sc_hd__dfbbn_2 _38944_ ( + .CLK_N(_06567_), + .D(\gpio_control_in_2[8].shift_register[10] ), + .Q(mprj_io_dm[81]), + .Q_N(_04738_), + .RESET_B(_05921_), + .SET_B(_05922_) + ); + sky130_fd_sc_hd__dfbbn_2 _38945_ ( + .CLK_N(_06568_), + .D(\gpio_control_in_2[8].shift_register[11] ), + .Q(mprj_io_dm[82]), + .Q_N(_16028_), + .RESET_B(_05923_), + .SET_B(_05924_) + ); + sky130_fd_sc_hd__dfbbn_2 _38946_ ( + .CLK_N(_06569_), + .D(\gpio_control_in_2[8].shift_register[12] ), + .Q(mprj_io_dm[83]), + .Q_N(_16027_), + .RESET_B(_05925_), + .SET_B(_05926_) + ); + sky130_fd_sc_hd__dfbbn_2 _38947_ ( + .CLK_N(_06570_), + .D(\gpio_control_in_2[8].shift_register[1] ), + .Q(\gpio_control_in_2[8].gpio_outenb ), + .Q_N(_16026_), + .RESET_B(_05927_), + .SET_B(_05928_) + ); + sky130_fd_sc_hd__dfbbn_2 _38948_ ( + .CLK_N(_06571_), + .D(\gpio_control_in_2[8].shift_register[4] ), + .Q(\gpio_control_in_2[8].gpio_ib_mode_sel ), + .Q_N(_16025_), + .RESET_B(_05929_), + .SET_B(_05930_) + ); + sky130_fd_sc_hd__dfbbn_2 _38949_ ( + .CLK_N(_06572_), + .D(\gpio_control_in_2[8].shift_register[3] ), + .Q(\gpio_control_in_2[8].gpio_inenb ), + .Q_N(_16024_), + .RESET_B(_05931_), + .SET_B(_05932_) + ); + sky130_fd_sc_hd__dfbbn_2 _38950_ ( + .CLK_N(_06573_), + .D(\gpio_control_in_2[8].shift_register[9] ), + .Q(\gpio_control_in_2[8].gpio_vtrip_sel ), + .Q_N(_16023_), + .RESET_B(_05933_), + .SET_B(_05934_) + ); + sky130_fd_sc_hd__dfbbn_2 _38951_ ( + .CLK_N(_06574_), + .D(\gpio_control_in_2[8].shift_register[8] ), + .Q(\gpio_control_in_2[8].gpio_slow_sel ), + .Q_N(_16022_), + .RESET_B(_05935_), + .SET_B(_05936_) + ); + sky130_fd_sc_hd__dfbbn_2 _38952_ ( + .CLK_N(_06575_), + .D(\gpio_control_in_2[8].shift_register[2] ), + .Q(\gpio_control_in_2[8].gpio_holdover ), + .Q_N(_16021_), + .RESET_B(_05937_), + .SET_B(_05938_) + ); + sky130_fd_sc_hd__dfbbn_2 _38953_ ( + .CLK_N(_06576_), + .D(\gpio_control_in_2[8].shift_register[0] ), + .Q(\gpio_control_in_2[8].mgmt_ena ), + .Q_N(_16392_), + .RESET_B(_05939_), + .SET_B(_05940_) + ); + sky130_fd_sc_hd__dfrtp_2 _38954_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].serial_data_in ), + .Q(\gpio_control_in_2[8].shift_register[0] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38955_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[0] ), + .Q(\gpio_control_in_2[8].shift_register[1] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38956_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[1] ), + .Q(\gpio_control_in_2[8].shift_register[2] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38957_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[2] ), + .Q(\gpio_control_in_2[8].shift_register[3] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38958_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[3] ), + .Q(\gpio_control_in_2[8].shift_register[4] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38959_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[4] ), + .Q(\gpio_control_in_2[8].shift_register[5] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38960_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[5] ), + .Q(\gpio_control_in_2[8].shift_register[6] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38961_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[6] ), + .Q(\gpio_control_in_2[8].shift_register[7] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38962_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[7] ), + .Q(\gpio_control_in_2[8].shift_register[8] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38963_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[8] ), + .Q(\gpio_control_in_2[8].shift_register[9] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38964_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[9] ), + .Q(\gpio_control_in_2[8].shift_register[10] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38965_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[10] ), + .Q(\gpio_control_in_2[8].shift_register[11] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38966_ ( + .CLK(\gpio_control_in_2[8].serial_clock ), + .D(\gpio_control_in_2[8].shift_register[11] ), + .Q(\gpio_control_in_2[8].shift_register[12] ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _38967_ ( + .CLK(_06577_), + .D(\gpio_control_in_2[8].shift_register[12] ), + .Q(\gpio_control_in_2[7].serial_data_in ), + .RESET_B(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _38968_ ( + .CLK_N(_06578_), + .D(\gpio_control_in_2[9].shift_register[7] ), + .Q(\gpio_control_in_2[9].gpio_ana_pol ), + .Q_N(_16020_), + .RESET_B(_05941_), + .SET_B(_05942_) + ); + sky130_fd_sc_hd__dfbbn_2 _38969_ ( + .CLK_N(_06579_), + .D(\gpio_control_in_2[9].shift_register[6] ), + .Q(\gpio_control_in_2[9].gpio_ana_sel ), + .Q_N(_16019_), + .RESET_B(_05943_), + .SET_B(_05944_) + ); + sky130_fd_sc_hd__dfbbn_2 _38970_ ( + .CLK_N(_06580_), + .D(\gpio_control_in_2[9].shift_register[5] ), + .Q(\gpio_control_in_2[9].gpio_ana_en ), + .Q_N(_16018_), + .RESET_B(_05945_), + .SET_B(_05946_) + ); + sky130_fd_sc_hd__dfbbn_2 _38971_ ( + .CLK_N(_06581_), + .D(\gpio_control_in_2[9].shift_register[10] ), + .Q(mprj_io_dm[84]), + .Q_N(_04743_), + .RESET_B(_05947_), + .SET_B(_05948_) + ); + sky130_fd_sc_hd__dfbbn_2 _38972_ ( + .CLK_N(_06582_), + .D(\gpio_control_in_2[9].shift_register[11] ), + .Q(mprj_io_dm[85]), + .Q_N(_16017_), + .RESET_B(_05949_), + .SET_B(_05950_) + ); + sky130_fd_sc_hd__dfbbn_2 _38973_ ( + .CLK_N(_06583_), + .D(\gpio_control_in_2[9].shift_register[12] ), + .Q(mprj_io_dm[86]), + .Q_N(_16016_), + .RESET_B(_05951_), + .SET_B(_05952_) + ); + sky130_fd_sc_hd__dfbbn_2 _38974_ ( + .CLK_N(_06584_), + .D(\gpio_control_in_2[9].shift_register[1] ), + .Q(\gpio_control_in_2[9].gpio_outenb ), + .Q_N(_16015_), + .RESET_B(_05953_), + .SET_B(_05954_) + ); + sky130_fd_sc_hd__dfbbn_2 _38975_ ( + .CLK_N(_06585_), + .D(\gpio_control_in_2[9].shift_register[4] ), + .Q(\gpio_control_in_2[9].gpio_ib_mode_sel ), + .Q_N(_16014_), + .RESET_B(_05955_), + .SET_B(_05956_) + ); + sky130_fd_sc_hd__dfbbn_2 _38976_ ( + .CLK_N(_06586_), + .D(\gpio_control_in_2[9].shift_register[3] ), + .Q(\gpio_control_in_2[9].gpio_inenb ), + .Q_N(_16013_), + .RESET_B(_05957_), + .SET_B(_05958_) + ); + sky130_fd_sc_hd__dfbbn_2 _38977_ ( + .CLK_N(_06587_), + .D(\gpio_control_in_2[9].shift_register[9] ), + .Q(\gpio_control_in_2[9].gpio_vtrip_sel ), + .Q_N(_16012_), + .RESET_B(_05959_), + .SET_B(_05960_) + ); + sky130_fd_sc_hd__dfbbn_2 _38978_ ( + .CLK_N(_06588_), + .D(\gpio_control_in_2[9].shift_register[8] ), + .Q(\gpio_control_in_2[9].gpio_slow_sel ), + .Q_N(_16011_), + .RESET_B(_05961_), + .SET_B(_05962_) + ); + sky130_fd_sc_hd__dfbbn_2 _38979_ ( + .CLK_N(_06589_), + .D(\gpio_control_in_2[9].shift_register[2] ), + .Q(\gpio_control_in_2[9].gpio_holdover ), + .Q_N(_16010_), + .RESET_B(_05963_), + .SET_B(_05964_) + ); + sky130_fd_sc_hd__dfbbn_2 _38980_ ( + .CLK_N(_06590_), + .D(\gpio_control_in_2[9].shift_register[0] ), + .Q(\gpio_control_in_2[9].mgmt_ena ), + .Q_N(_16393_), + .RESET_B(_05965_), + .SET_B(_05966_) + ); + sky130_fd_sc_hd__dfrtp_2 _38981_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[10].serial_data_out ), + .Q(\gpio_control_in_2[9].shift_register[0] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38982_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[0] ), + .Q(\gpio_control_in_2[9].shift_register[1] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38983_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[1] ), + .Q(\gpio_control_in_2[9].shift_register[2] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38984_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[2] ), + .Q(\gpio_control_in_2[9].shift_register[3] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38985_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[3] ), + .Q(\gpio_control_in_2[9].shift_register[4] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38986_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[4] ), + .Q(\gpio_control_in_2[9].shift_register[5] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38987_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[5] ), + .Q(\gpio_control_in_2[9].shift_register[6] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38988_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[6] ), + .Q(\gpio_control_in_2[9].shift_register[7] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38989_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[7] ), + .Q(\gpio_control_in_2[9].shift_register[8] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38990_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[8] ), + .Q(\gpio_control_in_2[9].shift_register[9] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38991_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[9] ), + .Q(\gpio_control_in_2[9].shift_register[10] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38992_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[10] ), + .Q(\gpio_control_in_2[9].shift_register[11] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38993_ ( + .CLK(\gpio_control_in_2[10].serial_clock_out ), + .D(\gpio_control_in_2[9].shift_register[11] ), + .Q(\gpio_control_in_2[9].shift_register[12] ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _38994_ ( + .CLK(_06591_), + .D(\gpio_control_in_2[9].shift_register[12] ), + .Q(\gpio_control_in_2[8].serial_data_in ), + .RESET_B(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__dfbbn_2 _38995_ ( + .CLK_N(_06592_), + .D(\gpio_control_in_2[10].shift_register[7] ), + .Q(\gpio_control_in_2[10].gpio_ana_pol ), + .Q_N(_16009_), + .RESET_B(_05967_), + .SET_B(_05968_) + ); + sky130_fd_sc_hd__dfbbn_2 _38996_ ( + .CLK_N(_06593_), + .D(\gpio_control_in_2[10].shift_register[6] ), + .Q(\gpio_control_in_2[10].gpio_ana_sel ), + .Q_N(_16008_), + .RESET_B(_05969_), + .SET_B(_05970_) + ); + sky130_fd_sc_hd__dfbbn_2 _38997_ ( + .CLK_N(_06594_), + .D(\gpio_control_in_2[10].shift_register[5] ), + .Q(\gpio_control_in_2[10].gpio_ana_en ), + .Q_N(_16007_), + .RESET_B(_05971_), + .SET_B(_05972_) + ); + sky130_fd_sc_hd__dfbbn_2 _38998_ ( + .CLK_N(_06595_), + .D(\gpio_control_in_2[10].shift_register[10] ), + .Q(mprj_io_dm[87]), + .Q_N(_04748_), + .RESET_B(_05973_), + .SET_B(_05974_) + ); + sky130_fd_sc_hd__dfbbn_2 _38999_ ( + .CLK_N(_06596_), + .D(\gpio_control_in_2[10].shift_register[11] ), + .Q(mprj_io_dm[88]), + .Q_N(_16006_), + .RESET_B(_05975_), + .SET_B(_05976_) + ); + sky130_fd_sc_hd__dfbbn_2 _39000_ ( + .CLK_N(_06597_), + .D(\gpio_control_in_2[10].shift_register[12] ), + .Q(mprj_io_dm[89]), + .Q_N(_16005_), + .RESET_B(_05977_), + .SET_B(_05978_) + ); + sky130_fd_sc_hd__dfbbn_2 _39001_ ( + .CLK_N(_06598_), + .D(\gpio_control_in_2[10].shift_register[1] ), + .Q(\gpio_control_in_2[10].gpio_outenb ), + .Q_N(_16004_), + .RESET_B(_05979_), + .SET_B(_05980_) + ); + sky130_fd_sc_hd__dfbbn_2 _39002_ ( + .CLK_N(_06599_), + .D(\gpio_control_in_2[10].shift_register[4] ), + .Q(\gpio_control_in_2[10].gpio_ib_mode_sel ), + .Q_N(_16003_), + .RESET_B(_05981_), + .SET_B(_05982_) + ); + sky130_fd_sc_hd__dfbbn_2 _39003_ ( + .CLK_N(_06600_), + .D(\gpio_control_in_2[10].shift_register[3] ), + .Q(\gpio_control_in_2[10].gpio_inenb ), + .Q_N(_16002_), + .RESET_B(_05983_), + .SET_B(_05984_) + ); + sky130_fd_sc_hd__dfbbn_2 _39004_ ( + .CLK_N(_06601_), + .D(\gpio_control_in_2[10].shift_register[9] ), + .Q(\gpio_control_in_2[10].gpio_vtrip_sel ), + .Q_N(_16001_), + .RESET_B(_05985_), + .SET_B(_05986_) + ); + sky130_fd_sc_hd__dfbbn_2 _39005_ ( + .CLK_N(_06602_), + .D(\gpio_control_in_2[10].shift_register[8] ), + .Q(\gpio_control_in_2[10].gpio_slow_sel ), + .Q_N(_16000_), + .RESET_B(_05987_), + .SET_B(_05988_) + ); + sky130_fd_sc_hd__dfbbn_2 _39006_ ( + .CLK_N(_06603_), + .D(\gpio_control_in_2[10].shift_register[2] ), + .Q(\gpio_control_in_2[10].gpio_holdover ), + .Q_N(_15999_), + .RESET_B(_05989_), + .SET_B(_05990_) + ); + sky130_fd_sc_hd__dfbbn_2 _39007_ ( + .CLK_N(_06604_), + .D(\gpio_control_in_2[10].shift_register[0] ), + .Q(\gpio_control_in_2[10].mgmt_ena ), + .Q_N(_16394_), + .RESET_B(_05991_), + .SET_B(_05992_) + ); + sky130_fd_sc_hd__dfrtp_2 _39008_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].serial_data_in ), + .Q(\gpio_control_in_2[10].shift_register[0] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39009_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[0] ), + .Q(\gpio_control_in_2[10].shift_register[1] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39010_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[1] ), + .Q(\gpio_control_in_2[10].shift_register[2] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39011_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[2] ), + .Q(\gpio_control_in_2[10].shift_register[3] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39012_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[3] ), + .Q(\gpio_control_in_2[10].shift_register[4] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39013_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[4] ), + .Q(\gpio_control_in_2[10].shift_register[5] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39014_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[5] ), + .Q(\gpio_control_in_2[10].shift_register[6] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39015_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[6] ), + .Q(\gpio_control_in_2[10].shift_register[7] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39016_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[7] ), + .Q(\gpio_control_in_2[10].shift_register[8] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39017_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[8] ), + .Q(\gpio_control_in_2[10].shift_register[9] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39018_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[9] ), + .Q(\gpio_control_in_2[10].shift_register[10] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39019_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[10] ), + .Q(\gpio_control_in_2[10].shift_register[11] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39020_ ( + .CLK(\gpio_control_in_2[10].serial_clock ), + .D(\gpio_control_in_2[10].shift_register[11] ), + .Q(\gpio_control_in_2[10].shift_register[12] ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39021_ ( + .CLK(_06605_), + .D(\gpio_control_in_2[10].shift_register[12] ), + .Q(\gpio_control_in_2[10].serial_data_out ), + .RESET_B(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _39022_ ( + .CLK_N(_06606_), + .D(\gpio_control_in_2[11].shift_register[7] ), + .Q(\gpio_control_in_2[11].gpio_ana_pol ), + .Q_N(_15998_), + .RESET_B(_05993_), + .SET_B(_05994_) + ); + sky130_fd_sc_hd__dfbbn_2 _39023_ ( + .CLK_N(_06607_), + .D(\gpio_control_in_2[11].shift_register[6] ), + .Q(\gpio_control_in_2[11].gpio_ana_sel ), + .Q_N(_15997_), + .RESET_B(_05995_), + .SET_B(_05996_) + ); + sky130_fd_sc_hd__dfbbn_2 _39024_ ( + .CLK_N(_06608_), + .D(\gpio_control_in_2[11].shift_register[5] ), + .Q(\gpio_control_in_2[11].gpio_ana_en ), + .Q_N(_15996_), + .RESET_B(_05997_), + .SET_B(_05998_) + ); + sky130_fd_sc_hd__dfbbn_2 _39025_ ( + .CLK_N(_06609_), + .D(\gpio_control_in_2[11].shift_register[10] ), + .Q(mprj_io_dm[90]), + .Q_N(_04753_), + .RESET_B(_05999_), + .SET_B(_06000_) + ); + sky130_fd_sc_hd__dfbbn_2 _39026_ ( + .CLK_N(_06610_), + .D(\gpio_control_in_2[11].shift_register[11] ), + .Q(mprj_io_dm[91]), + .Q_N(_15995_), + .RESET_B(_06001_), + .SET_B(_06002_) + ); + sky130_fd_sc_hd__dfbbn_2 _39027_ ( + .CLK_N(_06611_), + .D(\gpio_control_in_2[11].shift_register[12] ), + .Q(mprj_io_dm[92]), + .Q_N(_15994_), + .RESET_B(_06003_), + .SET_B(_06004_) + ); + sky130_fd_sc_hd__dfbbn_2 _39028_ ( + .CLK_N(_06612_), + .D(\gpio_control_in_2[11].shift_register[1] ), + .Q(\gpio_control_in_2[11].gpio_outenb ), + .Q_N(_15993_), + .RESET_B(_06005_), + .SET_B(_06006_) + ); + sky130_fd_sc_hd__dfbbn_2 _39029_ ( + .CLK_N(_06613_), + .D(\gpio_control_in_2[11].shift_register[4] ), + .Q(\gpio_control_in_2[11].gpio_ib_mode_sel ), + .Q_N(_15992_), + .RESET_B(_06007_), + .SET_B(_06008_) + ); + sky130_fd_sc_hd__dfbbn_2 _39030_ ( + .CLK_N(_06614_), + .D(\gpio_control_in_2[11].shift_register[3] ), + .Q(\gpio_control_in_2[11].gpio_inenb ), + .Q_N(_15991_), + .RESET_B(_06009_), + .SET_B(_06010_) + ); + sky130_fd_sc_hd__dfbbn_2 _39031_ ( + .CLK_N(_06615_), + .D(\gpio_control_in_2[11].shift_register[9] ), + .Q(\gpio_control_in_2[11].gpio_vtrip_sel ), + .Q_N(_15990_), + .RESET_B(_06011_), + .SET_B(_06012_) + ); + sky130_fd_sc_hd__dfbbn_2 _39032_ ( + .CLK_N(_06616_), + .D(\gpio_control_in_2[11].shift_register[8] ), + .Q(\gpio_control_in_2[11].gpio_slow_sel ), + .Q_N(_15989_), + .RESET_B(_06013_), + .SET_B(_06014_) + ); + sky130_fd_sc_hd__dfbbn_2 _39033_ ( + .CLK_N(_06617_), + .D(\gpio_control_in_2[11].shift_register[2] ), + .Q(\gpio_control_in_2[11].gpio_holdover ), + .Q_N(_15988_), + .RESET_B(_06015_), + .SET_B(_06016_) + ); + sky130_fd_sc_hd__dfbbn_2 _39034_ ( + .CLK_N(_06618_), + .D(\gpio_control_in_2[11].shift_register[0] ), + .Q(\gpio_control_in_2[11].mgmt_ena ), + .Q_N(_16395_), + .RESET_B(_06017_), + .SET_B(_06018_) + ); + sky130_fd_sc_hd__dfrtp_2 _39035_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].serial_data_in ), + .Q(\gpio_control_in_2[11].shift_register[0] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39036_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[0] ), + .Q(\gpio_control_in_2[11].shift_register[1] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39037_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[1] ), + .Q(\gpio_control_in_2[11].shift_register[2] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39038_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[2] ), + .Q(\gpio_control_in_2[11].shift_register[3] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39039_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[3] ), + .Q(\gpio_control_in_2[11].shift_register[4] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39040_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[4] ), + .Q(\gpio_control_in_2[11].shift_register[5] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39041_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[5] ), + .Q(\gpio_control_in_2[11].shift_register[6] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39042_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[6] ), + .Q(\gpio_control_in_2[11].shift_register[7] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39043_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[7] ), + .Q(\gpio_control_in_2[11].shift_register[8] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39044_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[8] ), + .Q(\gpio_control_in_2[11].shift_register[9] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39045_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[9] ), + .Q(\gpio_control_in_2[11].shift_register[10] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39046_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[10] ), + .Q(\gpio_control_in_2[11].shift_register[11] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39047_ ( + .CLK(\gpio_control_in_2[11].serial_clock ), + .D(\gpio_control_in_2[11].shift_register[11] ), + .Q(\gpio_control_in_2[11].shift_register[12] ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39048_ ( + .CLK(_06619_), + .D(\gpio_control_in_2[11].shift_register[12] ), + .Q(\gpio_control_in_2[10].serial_data_in ), + .RESET_B(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _39049_ ( + .CLK_N(_06620_), + .D(\gpio_control_in_2[12].shift_register[7] ), + .Q(\gpio_control_in_2[12].gpio_ana_pol ), + .Q_N(_15987_), + .RESET_B(_06019_), + .SET_B(_06020_) + ); + sky130_fd_sc_hd__dfbbn_2 _39050_ ( + .CLK_N(_06621_), + .D(\gpio_control_in_2[12].shift_register[6] ), + .Q(\gpio_control_in_2[12].gpio_ana_sel ), + .Q_N(_15986_), + .RESET_B(_06021_), + .SET_B(_06022_) + ); + sky130_fd_sc_hd__dfbbn_2 _39051_ ( + .CLK_N(_06622_), + .D(\gpio_control_in_2[12].shift_register[5] ), + .Q(\gpio_control_in_2[12].gpio_ana_en ), + .Q_N(_15985_), + .RESET_B(_06023_), + .SET_B(_06024_) + ); + sky130_fd_sc_hd__dfbbn_2 _39052_ ( + .CLK_N(_06623_), + .D(\gpio_control_in_2[12].shift_register[10] ), + .Q(mprj_io_dm[93]), + .Q_N(_04758_), + .RESET_B(_06025_), + .SET_B(_06026_) + ); + sky130_fd_sc_hd__dfbbn_2 _39053_ ( + .CLK_N(_06624_), + .D(\gpio_control_in_2[12].shift_register[11] ), + .Q(mprj_io_dm[94]), + .Q_N(_15984_), + .RESET_B(_06027_), + .SET_B(_06028_) + ); + sky130_fd_sc_hd__dfbbn_2 _39054_ ( + .CLK_N(_06625_), + .D(\gpio_control_in_2[12].shift_register[12] ), + .Q(mprj_io_dm[95]), + .Q_N(_15983_), + .RESET_B(_06029_), + .SET_B(_06030_) + ); + sky130_fd_sc_hd__dfbbn_2 _39055_ ( + .CLK_N(_06626_), + .D(\gpio_control_in_2[12].shift_register[1] ), + .Q(\gpio_control_in_2[12].gpio_outenb ), + .Q_N(_15982_), + .RESET_B(_06031_), + .SET_B(_06032_) + ); + sky130_fd_sc_hd__dfbbn_2 _39056_ ( + .CLK_N(_06627_), + .D(\gpio_control_in_2[12].shift_register[4] ), + .Q(\gpio_control_in_2[12].gpio_ib_mode_sel ), + .Q_N(_15981_), + .RESET_B(_06033_), + .SET_B(_06034_) + ); + sky130_fd_sc_hd__dfbbn_2 _39057_ ( + .CLK_N(_06628_), + .D(\gpio_control_in_2[12].shift_register[3] ), + .Q(\gpio_control_in_2[12].gpio_inenb ), + .Q_N(_15980_), + .RESET_B(_06035_), + .SET_B(_06036_) + ); + sky130_fd_sc_hd__dfbbn_2 _39058_ ( + .CLK_N(_06629_), + .D(\gpio_control_in_2[12].shift_register[9] ), + .Q(\gpio_control_in_2[12].gpio_vtrip_sel ), + .Q_N(_15979_), + .RESET_B(_06037_), + .SET_B(_06038_) + ); + sky130_fd_sc_hd__dfbbn_2 _39059_ ( + .CLK_N(_06630_), + .D(\gpio_control_in_2[12].shift_register[8] ), + .Q(\gpio_control_in_2[12].gpio_slow_sel ), + .Q_N(_15978_), + .RESET_B(_06039_), + .SET_B(_06040_) + ); + sky130_fd_sc_hd__dfbbn_2 _39060_ ( + .CLK_N(_06631_), + .D(\gpio_control_in_2[12].shift_register[2] ), + .Q(\gpio_control_in_2[12].gpio_holdover ), + .Q_N(_15977_), + .RESET_B(_06041_), + .SET_B(_06042_) + ); + sky130_fd_sc_hd__dfbbn_2 _39061_ ( + .CLK_N(_06632_), + .D(\gpio_control_in_2[12].shift_register[0] ), + .Q(\gpio_control_in_2[12].mgmt_ena ), + .Q_N(_16396_), + .RESET_B(_06043_), + .SET_B(_06044_) + ); + sky130_fd_sc_hd__dfrtp_2 _39062_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].serial_data_in ), + .Q(\gpio_control_in_2[12].shift_register[0] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39063_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[0] ), + .Q(\gpio_control_in_2[12].shift_register[1] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39064_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[1] ), + .Q(\gpio_control_in_2[12].shift_register[2] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39065_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[2] ), + .Q(\gpio_control_in_2[12].shift_register[3] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39066_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[3] ), + .Q(\gpio_control_in_2[12].shift_register[4] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39067_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[4] ), + .Q(\gpio_control_in_2[12].shift_register[5] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39068_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[5] ), + .Q(\gpio_control_in_2[12].shift_register[6] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39069_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[6] ), + .Q(\gpio_control_in_2[12].shift_register[7] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39070_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[7] ), + .Q(\gpio_control_in_2[12].shift_register[8] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39071_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[8] ), + .Q(\gpio_control_in_2[12].shift_register[9] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39072_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[9] ), + .Q(\gpio_control_in_2[12].shift_register[10] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39073_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[10] ), + .Q(\gpio_control_in_2[12].shift_register[11] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39074_ ( + .CLK(\gpio_control_in_2[12].serial_clock ), + .D(\gpio_control_in_2[12].shift_register[11] ), + .Q(\gpio_control_in_2[12].shift_register[12] ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39075_ ( + .CLK(_06633_), + .D(\gpio_control_in_2[12].shift_register[12] ), + .Q(\gpio_control_in_2[11].serial_data_in ), + .RESET_B(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _39076_ ( + .CLK_N(_06634_), + .D(\gpio_control_in_2[13].shift_register[7] ), + .Q(\gpio_control_in_2[13].gpio_ana_pol ), + .Q_N(_15976_), + .RESET_B(_06045_), + .SET_B(_06046_) + ); + sky130_fd_sc_hd__dfbbn_2 _39077_ ( + .CLK_N(_06635_), + .D(\gpio_control_in_2[13].shift_register[6] ), + .Q(\gpio_control_in_2[13].gpio_ana_sel ), + .Q_N(_15975_), + .RESET_B(_06047_), + .SET_B(_06048_) + ); + sky130_fd_sc_hd__dfbbn_2 _39078_ ( + .CLK_N(_06636_), + .D(\gpio_control_in_2[13].shift_register[5] ), + .Q(\gpio_control_in_2[13].gpio_ana_en ), + .Q_N(_15974_), + .RESET_B(_06049_), + .SET_B(_06050_) + ); + sky130_fd_sc_hd__dfbbn_2 _39079_ ( + .CLK_N(_06637_), + .D(\gpio_control_in_2[13].shift_register[10] ), + .Q(mprj_io_dm[96]), + .Q_N(_04763_), + .RESET_B(_06051_), + .SET_B(_06052_) + ); + sky130_fd_sc_hd__dfbbn_2 _39080_ ( + .CLK_N(_06638_), + .D(\gpio_control_in_2[13].shift_register[11] ), + .Q(mprj_io_dm[97]), + .Q_N(_15973_), + .RESET_B(_06053_), + .SET_B(_06054_) + ); + sky130_fd_sc_hd__dfbbn_2 _39081_ ( + .CLK_N(_06639_), + .D(\gpio_control_in_2[13].shift_register[12] ), + .Q(mprj_io_dm[98]), + .Q_N(_15972_), + .RESET_B(_06055_), + .SET_B(_06056_) + ); + sky130_fd_sc_hd__dfbbn_2 _39082_ ( + .CLK_N(_06640_), + .D(\gpio_control_in_2[13].shift_register[1] ), + .Q(\gpio_control_in_2[13].gpio_outenb ), + .Q_N(_15971_), + .RESET_B(_06057_), + .SET_B(_06058_) + ); + sky130_fd_sc_hd__dfbbn_2 _39083_ ( + .CLK_N(_06641_), + .D(\gpio_control_in_2[13].shift_register[4] ), + .Q(\gpio_control_in_2[13].gpio_ib_mode_sel ), + .Q_N(_15970_), + .RESET_B(_06059_), + .SET_B(_06060_) + ); + sky130_fd_sc_hd__dfbbn_2 _39084_ ( + .CLK_N(_06642_), + .D(\gpio_control_in_2[13].shift_register[3] ), + .Q(\gpio_control_in_2[13].gpio_inenb ), + .Q_N(_15969_), + .RESET_B(_06061_), + .SET_B(_06062_) + ); + sky130_fd_sc_hd__dfbbn_2 _39085_ ( + .CLK_N(_06643_), + .D(\gpio_control_in_2[13].shift_register[9] ), + .Q(\gpio_control_in_2[13].gpio_vtrip_sel ), + .Q_N(_15968_), + .RESET_B(_06063_), + .SET_B(_06064_) + ); + sky130_fd_sc_hd__dfbbn_2 _39086_ ( + .CLK_N(_06644_), + .D(\gpio_control_in_2[13].shift_register[8] ), + .Q(\gpio_control_in_2[13].gpio_slow_sel ), + .Q_N(_15967_), + .RESET_B(_06065_), + .SET_B(_06066_) + ); + sky130_fd_sc_hd__dfbbn_2 _39087_ ( + .CLK_N(_06645_), + .D(\gpio_control_in_2[13].shift_register[2] ), + .Q(\gpio_control_in_2[13].gpio_holdover ), + .Q_N(_15966_), + .RESET_B(_06067_), + .SET_B(_06068_) + ); + sky130_fd_sc_hd__dfbbn_2 _39088_ ( + .CLK_N(_06646_), + .D(\gpio_control_in_2[13].shift_register[0] ), + .Q(\gpio_control_in_2[13].mgmt_ena ), + .Q_N(_16397_), + .RESET_B(_06069_), + .SET_B(_06070_) + ); + sky130_fd_sc_hd__dfrtp_2 _39089_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].serial_data_in ), + .Q(\gpio_control_in_2[13].shift_register[0] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39090_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[0] ), + .Q(\gpio_control_in_2[13].shift_register[1] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39091_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[1] ), + .Q(\gpio_control_in_2[13].shift_register[2] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39092_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[2] ), + .Q(\gpio_control_in_2[13].shift_register[3] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39093_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[3] ), + .Q(\gpio_control_in_2[13].shift_register[4] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39094_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[4] ), + .Q(\gpio_control_in_2[13].shift_register[5] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39095_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[5] ), + .Q(\gpio_control_in_2[13].shift_register[6] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39096_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[6] ), + .Q(\gpio_control_in_2[13].shift_register[7] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39097_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[7] ), + .Q(\gpio_control_in_2[13].shift_register[8] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39098_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[8] ), + .Q(\gpio_control_in_2[13].shift_register[9] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39099_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[9] ), + .Q(\gpio_control_in_2[13].shift_register[10] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39100_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[10] ), + .Q(\gpio_control_in_2[13].shift_register[11] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39101_ ( + .CLK(\gpio_control_in_2[13].serial_clock ), + .D(\gpio_control_in_2[13].shift_register[11] ), + .Q(\gpio_control_in_2[13].shift_register[12] ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39102_ ( + .CLK(_06647_), + .D(\gpio_control_in_2[13].shift_register[12] ), + .Q(\gpio_control_in_2[12].serial_data_in ), + .RESET_B(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _39103_ ( + .CLK_N(_06648_), + .D(\gpio_control_in_2[14].shift_register[7] ), + .Q(\gpio_control_in_2[14].gpio_ana_pol ), + .Q_N(_15965_), + .RESET_B(_06071_), + .SET_B(_06072_) + ); + sky130_fd_sc_hd__dfbbn_2 _39104_ ( + .CLK_N(_06649_), + .D(\gpio_control_in_2[14].shift_register[6] ), + .Q(\gpio_control_in_2[14].gpio_ana_sel ), + .Q_N(_15964_), + .RESET_B(_06073_), + .SET_B(_06074_) + ); + sky130_fd_sc_hd__dfbbn_2 _39105_ ( + .CLK_N(_06650_), + .D(\gpio_control_in_2[14].shift_register[5] ), + .Q(\gpio_control_in_2[14].gpio_ana_en ), + .Q_N(_15963_), + .RESET_B(_06075_), + .SET_B(_06076_) + ); + sky130_fd_sc_hd__dfbbn_2 _39106_ ( + .CLK_N(_06651_), + .D(\gpio_control_in_2[14].shift_register[10] ), + .Q(mprj_io_dm[99]), + .Q_N(_04768_), + .RESET_B(_06077_), + .SET_B(_06078_) + ); + sky130_fd_sc_hd__dfbbn_2 _39107_ ( + .CLK_N(_06652_), + .D(\gpio_control_in_2[14].shift_register[11] ), + .Q(mprj_io_dm[100]), + .Q_N(_15962_), + .RESET_B(_06079_), + .SET_B(_06080_) + ); + sky130_fd_sc_hd__dfbbn_2 _39108_ ( + .CLK_N(_06653_), + .D(\gpio_control_in_2[14].shift_register[12] ), + .Q(mprj_io_dm[101]), + .Q_N(_15961_), + .RESET_B(_06081_), + .SET_B(_06082_) + ); + sky130_fd_sc_hd__dfbbn_2 _39109_ ( + .CLK_N(_06654_), + .D(\gpio_control_in_2[14].shift_register[1] ), + .Q(\gpio_control_in_2[14].gpio_outenb ), + .Q_N(_15960_), + .RESET_B(_06083_), + .SET_B(_06084_) + ); + sky130_fd_sc_hd__dfbbn_2 _39110_ ( + .CLK_N(_06655_), + .D(\gpio_control_in_2[14].shift_register[4] ), + .Q(\gpio_control_in_2[14].gpio_ib_mode_sel ), + .Q_N(_15959_), + .RESET_B(_06085_), + .SET_B(_06086_) + ); + sky130_fd_sc_hd__dfbbn_2 _39111_ ( + .CLK_N(_06656_), + .D(\gpio_control_in_2[14].shift_register[3] ), + .Q(\gpio_control_in_2[14].gpio_inenb ), + .Q_N(_15958_), + .RESET_B(_06087_), + .SET_B(_06088_) + ); + sky130_fd_sc_hd__dfbbn_2 _39112_ ( + .CLK_N(_06657_), + .D(\gpio_control_in_2[14].shift_register[9] ), + .Q(\gpio_control_in_2[14].gpio_vtrip_sel ), + .Q_N(_15957_), + .RESET_B(_06089_), + .SET_B(_06090_) + ); + sky130_fd_sc_hd__dfbbn_2 _39113_ ( + .CLK_N(_06658_), + .D(\gpio_control_in_2[14].shift_register[8] ), + .Q(\gpio_control_in_2[14].gpio_slow_sel ), + .Q_N(_15956_), + .RESET_B(_06091_), + .SET_B(_06092_) + ); + sky130_fd_sc_hd__dfbbn_2 _39114_ ( + .CLK_N(_06659_), + .D(\gpio_control_in_2[14].shift_register[2] ), + .Q(\gpio_control_in_2[14].gpio_holdover ), + .Q_N(_15955_), + .RESET_B(_06093_), + .SET_B(_06094_) + ); + sky130_fd_sc_hd__dfbbn_2 _39115_ ( + .CLK_N(_06660_), + .D(\gpio_control_in_2[14].shift_register[0] ), + .Q(\gpio_control_in_2[14].mgmt_ena ), + .Q_N(_16398_), + .RESET_B(_06095_), + .SET_B(_06096_) + ); + sky130_fd_sc_hd__dfrtp_2 _39116_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].serial_data_in ), + .Q(\gpio_control_in_2[14].shift_register[0] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39117_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[0] ), + .Q(\gpio_control_in_2[14].shift_register[1] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39118_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[1] ), + .Q(\gpio_control_in_2[14].shift_register[2] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39119_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[2] ), + .Q(\gpio_control_in_2[14].shift_register[3] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39120_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[3] ), + .Q(\gpio_control_in_2[14].shift_register[4] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39121_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[4] ), + .Q(\gpio_control_in_2[14].shift_register[5] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39122_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[5] ), + .Q(\gpio_control_in_2[14].shift_register[6] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39123_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[6] ), + .Q(\gpio_control_in_2[14].shift_register[7] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39124_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[7] ), + .Q(\gpio_control_in_2[14].shift_register[8] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39125_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[8] ), + .Q(\gpio_control_in_2[14].shift_register[9] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39126_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[9] ), + .Q(\gpio_control_in_2[14].shift_register[10] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39127_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[10] ), + .Q(\gpio_control_in_2[14].shift_register[11] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39128_ ( + .CLK(\gpio_control_in_2[14].serial_clock ), + .D(\gpio_control_in_2[14].shift_register[11] ), + .Q(\gpio_control_in_2[14].shift_register[12] ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfrtp_2 _39129_ ( + .CLK(_06661_), + .D(\gpio_control_in_2[14].shift_register[12] ), + .Q(\gpio_control_in_2[13].serial_data_in ), + .RESET_B(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__dfbbn_2 _39130_ ( + .CLK_N(_06662_), + .D(\gpio_control_in_2[15].shift_register[7] ), + .Q(\gpio_control_in_2[15].gpio_ana_pol ), + .Q_N(_15954_), + .RESET_B(_06097_), + .SET_B(_06098_) + ); + sky130_fd_sc_hd__dfbbn_2 _39131_ ( + .CLK_N(_06663_), + .D(\gpio_control_in_2[15].shift_register[6] ), + .Q(\gpio_control_in_2[15].gpio_ana_sel ), + .Q_N(_15953_), + .RESET_B(_06099_), + .SET_B(_06100_) + ); + sky130_fd_sc_hd__dfbbn_2 _39132_ ( + .CLK_N(_06664_), + .D(\gpio_control_in_2[15].shift_register[5] ), + .Q(\gpio_control_in_2[15].gpio_ana_en ), + .Q_N(_15952_), + .RESET_B(_06101_), + .SET_B(_06102_) + ); + sky130_fd_sc_hd__dfbbn_2 _39133_ ( + .CLK_N(_06665_), + .D(\gpio_control_in_2[15].shift_register[10] ), + .Q(mprj_io_dm[102]), + .Q_N(_04773_), + .RESET_B(_06103_), + .SET_B(_06104_) + ); + sky130_fd_sc_hd__dfbbn_2 _39134_ ( + .CLK_N(_06666_), + .D(\gpio_control_in_2[15].shift_register[11] ), + .Q(mprj_io_dm[103]), + .Q_N(_15951_), + .RESET_B(_06105_), + .SET_B(_06106_) + ); + sky130_fd_sc_hd__dfbbn_2 _39135_ ( + .CLK_N(_06667_), + .D(\gpio_control_in_2[15].shift_register[12] ), + .Q(mprj_io_dm[104]), + .Q_N(_15950_), + .RESET_B(_06107_), + .SET_B(_06108_) + ); + sky130_fd_sc_hd__dfbbn_2 _39136_ ( + .CLK_N(_06668_), + .D(\gpio_control_in_2[15].shift_register[1] ), + .Q(\gpio_control_in_2[15].gpio_outenb ), + .Q_N(_15949_), + .RESET_B(_06109_), + .SET_B(_06110_) + ); + sky130_fd_sc_hd__dfbbn_2 _39137_ ( + .CLK_N(_06669_), + .D(\gpio_control_in_2[15].shift_register[4] ), + .Q(\gpio_control_in_2[15].gpio_ib_mode_sel ), + .Q_N(_15948_), + .RESET_B(_06111_), + .SET_B(_06112_) + ); + sky130_fd_sc_hd__dfbbn_2 _39138_ ( + .CLK_N(_06670_), + .D(\gpio_control_in_2[15].shift_register[3] ), + .Q(\gpio_control_in_2[15].gpio_inenb ), + .Q_N(_15947_), + .RESET_B(_06113_), + .SET_B(_06114_) + ); + sky130_fd_sc_hd__dfbbn_2 _39139_ ( + .CLK_N(_06671_), + .D(\gpio_control_in_2[15].shift_register[9] ), + .Q(\gpio_control_in_2[15].gpio_vtrip_sel ), + .Q_N(_15946_), + .RESET_B(_06115_), + .SET_B(_06116_) + ); + sky130_fd_sc_hd__dfbbn_2 _39140_ ( + .CLK_N(_06672_), + .D(\gpio_control_in_2[15].shift_register[8] ), + .Q(\gpio_control_in_2[15].gpio_slow_sel ), + .Q_N(_15945_), + .RESET_B(_06117_), + .SET_B(_06118_) + ); + sky130_fd_sc_hd__dfbbn_2 _39141_ ( + .CLK_N(_06673_), + .D(\gpio_control_in_2[15].shift_register[2] ), + .Q(\gpio_control_in_2[15].gpio_holdover ), + .Q_N(_15944_), + .RESET_B(_06119_), + .SET_B(_06120_) + ); + sky130_fd_sc_hd__dfbbn_2 _39142_ ( + .CLK_N(_06674_), + .D(\gpio_control_in_2[15].shift_register[0] ), + .Q(\gpio_control_in_2[15].mgmt_ena ), + .Q_N(_16399_), + .RESET_B(_06121_), + .SET_B(_06122_) + ); + sky130_fd_sc_hd__dfrtp_2 _39143_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_bidir_2[0].serial_data_out ), + .Q(\gpio_control_in_2[15].shift_register[0] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39144_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[0] ), + .Q(\gpio_control_in_2[15].shift_register[1] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39145_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[1] ), + .Q(\gpio_control_in_2[15].shift_register[2] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39146_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[2] ), + .Q(\gpio_control_in_2[15].shift_register[3] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39147_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[3] ), + .Q(\gpio_control_in_2[15].shift_register[4] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39148_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[4] ), + .Q(\gpio_control_in_2[15].shift_register[5] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39149_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[5] ), + .Q(\gpio_control_in_2[15].shift_register[6] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39150_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[6] ), + .Q(\gpio_control_in_2[15].shift_register[7] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39151_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[7] ), + .Q(\gpio_control_in_2[15].shift_register[8] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39152_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[8] ), + .Q(\gpio_control_in_2[15].shift_register[9] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39153_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[9] ), + .Q(\gpio_control_in_2[15].shift_register[10] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39154_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[10] ), + .Q(\gpio_control_in_2[15].shift_register[11] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39155_ ( + .CLK(\gpio_control_bidir_2[0].serial_clock_out ), + .D(\gpio_control_in_2[15].shift_register[11] ), + .Q(\gpio_control_in_2[15].shift_register[12] ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__dfrtp_2 _39156_ ( + .CLK(_06675_), + .D(\gpio_control_in_2[15].shift_register[12] ), + .Q(\gpio_control_in_2[14].serial_data_in ), + .RESET_B(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__conb_1 _39157_ ( + .HI(_16400_) + ); + sky130_fd_sc_hd__conb_1 _39158_ ( + .HI(_16401_) + ); + sky130_fd_sc_hd__conb_1 _39159_ ( + .HI(_16402_) + ); + sky130_fd_sc_hd__conb_1 _39160_ ( + .LO(_16403_) + ); + sky130_fd_sc_hd__conb_1 _39161_ ( + .LO(_16404_) + ); + sky130_fd_sc_hd__conb_1 _39162_ ( + .LO(_16405_) + ); + sky130_fd_sc_hd__conb_1 _39163_ ( + .LO(_16406_) + ); + sky130_fd_sc_hd__conb_1 _39164_ ( + .LO(_16407_) + ); + sky130_fd_sc_hd__conb_1 _39165_ ( + .LO(_16408_) + ); + sky130_fd_sc_hd__conb_1 _39166_ ( + .LO(_16409_) + ); + sky130_fd_sc_hd__conb_1 _39167_ ( + .LO(_16410_) + ); + sky130_fd_sc_hd__conb_1 _39168_ ( + .LO(_16411_) + ); + sky130_fd_sc_hd__conb_1 _39169_ ( + .LO(_16412_) + ); + sky130_fd_sc_hd__conb_1 _39170_ ( + .LO(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[0] ) + ); + sky130_fd_sc_hd__conb_1 _39171_ ( + .LO(\soc.core.VexRiscv.IBusCachedPlugin_cache.lineLoader_address[1] ) + ); + sky130_fd_sc_hd__buf_2 _39172_ ( + .A(\gpio_control_bidir_1[0].gpio_ana_en ), + .X(mprj_io_analog_en[0]) + ); + sky130_fd_sc_hd__buf_2 _39173_ ( + .A(\gpio_control_bidir_1[1].gpio_ana_en ), + .X(mprj_io_analog_en[1]) + ); + sky130_fd_sc_hd__buf_2 _39174_ ( + .A(\gpio_control_in_1a[0].gpio_ana_en ), + .X(mprj_io_analog_en[2]) + ); + sky130_fd_sc_hd__buf_2 _39175_ ( + .A(\gpio_control_in_1a[1].gpio_ana_en ), + .X(mprj_io_analog_en[3]) + ); + sky130_fd_sc_hd__buf_2 _39176_ ( + .A(\gpio_control_in_1a[2].gpio_ana_en ), + .X(mprj_io_analog_en[4]) + ); + sky130_fd_sc_hd__buf_2 _39177_ ( + .A(\gpio_control_in_1a[3].gpio_ana_en ), + .X(mprj_io_analog_en[5]) + ); + sky130_fd_sc_hd__buf_2 _39178_ ( + .A(\gpio_control_in_1a[4].gpio_ana_en ), + .X(mprj_io_analog_en[6]) + ); + sky130_fd_sc_hd__buf_2 _39179_ ( + .A(\gpio_control_in_1a[5].gpio_ana_en ), + .X(mprj_io_analog_en[7]) + ); + sky130_fd_sc_hd__buf_2 _39180_ ( + .A(\gpio_control_in_1[0].gpio_ana_en ), + .X(mprj_io_analog_en[8]) + ); + sky130_fd_sc_hd__buf_2 _39181_ ( + .A(\gpio_control_in_1[1].gpio_ana_en ), + .X(mprj_io_analog_en[9]) + ); + sky130_fd_sc_hd__buf_2 _39182_ ( + .A(\gpio_control_in_1[2].gpio_ana_en ), + .X(mprj_io_analog_en[10]) + ); + sky130_fd_sc_hd__buf_2 _39183_ ( + .A(\gpio_control_in_1[3].gpio_ana_en ), + .X(mprj_io_analog_en[11]) + ); + sky130_fd_sc_hd__buf_2 _39184_ ( + .A(\gpio_control_in_1[4].gpio_ana_en ), + .X(mprj_io_analog_en[12]) + ); + sky130_fd_sc_hd__buf_2 _39185_ ( + .A(\gpio_control_in_1[5].gpio_ana_en ), + .X(mprj_io_analog_en[13]) + ); + sky130_fd_sc_hd__buf_2 _39186_ ( + .A(\gpio_control_in_1[6].gpio_ana_en ), + .X(mprj_io_analog_en[14]) + ); + sky130_fd_sc_hd__buf_2 _39187_ ( + .A(\gpio_control_in_1[7].gpio_ana_en ), + .X(mprj_io_analog_en[15]) + ); + sky130_fd_sc_hd__buf_2 _39188_ ( + .A(\gpio_control_in_1[8].gpio_ana_en ), + .X(mprj_io_analog_en[16]) + ); + sky130_fd_sc_hd__buf_2 _39189_ ( + .A(\gpio_control_in_1[9].gpio_ana_en ), + .X(mprj_io_analog_en[17]) + ); + sky130_fd_sc_hd__buf_2 _39190_ ( + .A(\gpio_control_in_1[10].gpio_ana_en ), + .X(mprj_io_analog_en[18]) + ); + sky130_fd_sc_hd__buf_2 _39191_ ( + .A(\gpio_control_in_2[0].gpio_ana_en ), + .X(mprj_io_analog_en[19]) + ); + sky130_fd_sc_hd__buf_2 _39192_ ( + .A(\gpio_control_in_2[1].gpio_ana_en ), + .X(mprj_io_analog_en[20]) + ); + sky130_fd_sc_hd__buf_2 _39193_ ( + .A(\gpio_control_in_2[2].gpio_ana_en ), + .X(mprj_io_analog_en[21]) + ); + sky130_fd_sc_hd__buf_2 _39194_ ( + .A(\gpio_control_in_2[3].gpio_ana_en ), + .X(mprj_io_analog_en[22]) + ); + sky130_fd_sc_hd__buf_2 _39195_ ( + .A(\gpio_control_in_2[4].gpio_ana_en ), + .X(mprj_io_analog_en[23]) + ); + sky130_fd_sc_hd__buf_2 _39196_ ( + .A(\gpio_control_in_2[5].gpio_ana_en ), + .X(mprj_io_analog_en[24]) + ); + sky130_fd_sc_hd__buf_2 _39197_ ( + .A(\gpio_control_in_2[6].gpio_ana_en ), + .X(mprj_io_analog_en[25]) + ); + sky130_fd_sc_hd__buf_2 _39198_ ( + .A(\gpio_control_in_2[7].gpio_ana_en ), + .X(mprj_io_analog_en[26]) + ); + sky130_fd_sc_hd__buf_2 _39199_ ( + .A(\gpio_control_in_2[8].gpio_ana_en ), + .X(mprj_io_analog_en[27]) + ); + sky130_fd_sc_hd__buf_2 _39200_ ( + .A(\gpio_control_in_2[9].gpio_ana_en ), + .X(mprj_io_analog_en[28]) + ); + sky130_fd_sc_hd__buf_2 _39201_ ( + .A(\gpio_control_in_2[10].gpio_ana_en ), + .X(mprj_io_analog_en[29]) + ); + sky130_fd_sc_hd__buf_2 _39202_ ( + .A(\gpio_control_in_2[11].gpio_ana_en ), + .X(mprj_io_analog_en[30]) + ); + sky130_fd_sc_hd__buf_2 _39203_ ( + .A(\gpio_control_in_2[12].gpio_ana_en ), + .X(mprj_io_analog_en[31]) + ); + sky130_fd_sc_hd__buf_2 _39204_ ( + .A(\gpio_control_in_2[13].gpio_ana_en ), + .X(mprj_io_analog_en[32]) + ); + sky130_fd_sc_hd__buf_2 _39205_ ( + .A(\gpio_control_in_2[14].gpio_ana_en ), + .X(mprj_io_analog_en[33]) + ); + sky130_fd_sc_hd__buf_2 _39206_ ( + .A(\gpio_control_in_2[15].gpio_ana_en ), + .X(mprj_io_analog_en[34]) + ); + sky130_fd_sc_hd__buf_2 _39207_ ( + .A(\gpio_control_bidir_2[0].gpio_ana_en ), + .X(mprj_io_analog_en[35]) + ); + sky130_fd_sc_hd__buf_2 _39208_ ( + .A(\gpio_control_bidir_2[1].gpio_ana_en ), + .X(mprj_io_analog_en[36]) + ); + sky130_fd_sc_hd__buf_2 _39209_ ( + .A(\gpio_control_bidir_2[2].gpio_ana_en ), + .X(mprj_io_analog_en[37]) + ); + sky130_fd_sc_hd__buf_2 _39210_ ( + .A(\gpio_control_bidir_1[0].gpio_ana_pol ), + .X(mprj_io_analog_pol[0]) + ); + sky130_fd_sc_hd__buf_2 _39211_ ( + .A(\gpio_control_bidir_1[1].gpio_ana_pol ), + .X(mprj_io_analog_pol[1]) + ); + sky130_fd_sc_hd__buf_2 _39212_ ( + .A(\gpio_control_in_1a[0].gpio_ana_pol ), + .X(mprj_io_analog_pol[2]) + ); + sky130_fd_sc_hd__buf_2 _39213_ ( + .A(\gpio_control_in_1a[1].gpio_ana_pol ), + .X(mprj_io_analog_pol[3]) + ); + sky130_fd_sc_hd__buf_2 _39214_ ( + .A(\gpio_control_in_1a[2].gpio_ana_pol ), + .X(mprj_io_analog_pol[4]) + ); + sky130_fd_sc_hd__buf_2 _39215_ ( + .A(\gpio_control_in_1a[3].gpio_ana_pol ), + .X(mprj_io_analog_pol[5]) + ); + sky130_fd_sc_hd__buf_2 _39216_ ( + .A(\gpio_control_in_1a[4].gpio_ana_pol ), + .X(mprj_io_analog_pol[6]) + ); + sky130_fd_sc_hd__buf_2 _39217_ ( + .A(\gpio_control_in_1a[5].gpio_ana_pol ), + .X(mprj_io_analog_pol[7]) + ); + sky130_fd_sc_hd__buf_2 _39218_ ( + .A(\gpio_control_in_1[0].gpio_ana_pol ), + .X(mprj_io_analog_pol[8]) + ); + sky130_fd_sc_hd__buf_2 _39219_ ( + .A(\gpio_control_in_1[1].gpio_ana_pol ), + .X(mprj_io_analog_pol[9]) + ); + sky130_fd_sc_hd__buf_2 _39220_ ( + .A(\gpio_control_in_1[2].gpio_ana_pol ), + .X(mprj_io_analog_pol[10]) + ); + sky130_fd_sc_hd__buf_2 _39221_ ( + .A(\gpio_control_in_1[3].gpio_ana_pol ), + .X(mprj_io_analog_pol[11]) + ); + sky130_fd_sc_hd__buf_2 _39222_ ( + .A(\gpio_control_in_1[4].gpio_ana_pol ), + .X(mprj_io_analog_pol[12]) + ); + sky130_fd_sc_hd__buf_2 _39223_ ( + .A(\gpio_control_in_1[5].gpio_ana_pol ), + .X(mprj_io_analog_pol[13]) + ); + sky130_fd_sc_hd__buf_2 _39224_ ( + .A(\gpio_control_in_1[6].gpio_ana_pol ), + .X(mprj_io_analog_pol[14]) + ); + sky130_fd_sc_hd__buf_2 _39225_ ( + .A(\gpio_control_in_1[7].gpio_ana_pol ), + .X(mprj_io_analog_pol[15]) + ); + sky130_fd_sc_hd__buf_2 _39226_ ( + .A(\gpio_control_in_1[8].gpio_ana_pol ), + .X(mprj_io_analog_pol[16]) + ); + sky130_fd_sc_hd__buf_2 _39227_ ( + .A(\gpio_control_in_1[9].gpio_ana_pol ), + .X(mprj_io_analog_pol[17]) + ); + sky130_fd_sc_hd__buf_2 _39228_ ( + .A(\gpio_control_in_1[10].gpio_ana_pol ), + .X(mprj_io_analog_pol[18]) + ); + sky130_fd_sc_hd__buf_2 _39229_ ( + .A(\gpio_control_in_2[0].gpio_ana_pol ), + .X(mprj_io_analog_pol[19]) + ); + sky130_fd_sc_hd__buf_2 _39230_ ( + .A(\gpio_control_in_2[1].gpio_ana_pol ), + .X(mprj_io_analog_pol[20]) + ); + sky130_fd_sc_hd__buf_2 _39231_ ( + .A(\gpio_control_in_2[2].gpio_ana_pol ), + .X(mprj_io_analog_pol[21]) + ); + sky130_fd_sc_hd__buf_2 _39232_ ( + .A(\gpio_control_in_2[3].gpio_ana_pol ), + .X(mprj_io_analog_pol[22]) + ); + sky130_fd_sc_hd__buf_2 _39233_ ( + .A(\gpio_control_in_2[4].gpio_ana_pol ), + .X(mprj_io_analog_pol[23]) + ); + sky130_fd_sc_hd__buf_2 _39234_ ( + .A(\gpio_control_in_2[5].gpio_ana_pol ), + .X(mprj_io_analog_pol[24]) + ); + sky130_fd_sc_hd__buf_2 _39235_ ( + .A(\gpio_control_in_2[6].gpio_ana_pol ), + .X(mprj_io_analog_pol[25]) + ); + sky130_fd_sc_hd__buf_2 _39236_ ( + .A(\gpio_control_in_2[7].gpio_ana_pol ), + .X(mprj_io_analog_pol[26]) + ); + sky130_fd_sc_hd__buf_2 _39237_ ( + .A(\gpio_control_in_2[8].gpio_ana_pol ), + .X(mprj_io_analog_pol[27]) + ); + sky130_fd_sc_hd__buf_2 _39238_ ( + .A(\gpio_control_in_2[9].gpio_ana_pol ), + .X(mprj_io_analog_pol[28]) + ); + sky130_fd_sc_hd__buf_2 _39239_ ( + .A(\gpio_control_in_2[10].gpio_ana_pol ), + .X(mprj_io_analog_pol[29]) + ); + sky130_fd_sc_hd__buf_2 _39240_ ( + .A(\gpio_control_in_2[11].gpio_ana_pol ), + .X(mprj_io_analog_pol[30]) + ); + sky130_fd_sc_hd__buf_2 _39241_ ( + .A(\gpio_control_in_2[12].gpio_ana_pol ), + .X(mprj_io_analog_pol[31]) + ); + sky130_fd_sc_hd__buf_2 _39242_ ( + .A(\gpio_control_in_2[13].gpio_ana_pol ), + .X(mprj_io_analog_pol[32]) + ); + sky130_fd_sc_hd__buf_2 _39243_ ( + .A(\gpio_control_in_2[14].gpio_ana_pol ), + .X(mprj_io_analog_pol[33]) + ); + sky130_fd_sc_hd__buf_2 _39244_ ( + .A(\gpio_control_in_2[15].gpio_ana_pol ), + .X(mprj_io_analog_pol[34]) + ); + sky130_fd_sc_hd__buf_2 _39245_ ( + .A(\gpio_control_bidir_2[0].gpio_ana_pol ), + .X(mprj_io_analog_pol[35]) + ); + sky130_fd_sc_hd__buf_2 _39246_ ( + .A(\gpio_control_bidir_2[1].gpio_ana_pol ), + .X(mprj_io_analog_pol[36]) + ); + sky130_fd_sc_hd__buf_2 _39247_ ( + .A(\gpio_control_bidir_2[2].gpio_ana_pol ), + .X(mprj_io_analog_pol[37]) + ); + sky130_fd_sc_hd__buf_2 _39248_ ( + .A(\gpio_control_bidir_1[0].gpio_ana_sel ), + .X(mprj_io_analog_sel[0]) + ); + sky130_fd_sc_hd__buf_2 _39249_ ( + .A(\gpio_control_bidir_1[1].gpio_ana_sel ), + .X(mprj_io_analog_sel[1]) + ); + sky130_fd_sc_hd__buf_2 _39250_ ( + .A(\gpio_control_in_1a[0].gpio_ana_sel ), + .X(mprj_io_analog_sel[2]) + ); + sky130_fd_sc_hd__buf_2 _39251_ ( + .A(\gpio_control_in_1a[1].gpio_ana_sel ), + .X(mprj_io_analog_sel[3]) + ); + sky130_fd_sc_hd__buf_2 _39252_ ( + .A(\gpio_control_in_1a[2].gpio_ana_sel ), + .X(mprj_io_analog_sel[4]) + ); + sky130_fd_sc_hd__buf_2 _39253_ ( + .A(\gpio_control_in_1a[3].gpio_ana_sel ), + .X(mprj_io_analog_sel[5]) + ); + sky130_fd_sc_hd__buf_2 _39254_ ( + .A(\gpio_control_in_1a[4].gpio_ana_sel ), + .X(mprj_io_analog_sel[6]) + ); + sky130_fd_sc_hd__buf_2 _39255_ ( + .A(\gpio_control_in_1a[5].gpio_ana_sel ), + .X(mprj_io_analog_sel[7]) + ); + sky130_fd_sc_hd__buf_2 _39256_ ( + .A(\gpio_control_in_1[0].gpio_ana_sel ), + .X(mprj_io_analog_sel[8]) + ); + sky130_fd_sc_hd__buf_2 _39257_ ( + .A(\gpio_control_in_1[1].gpio_ana_sel ), + .X(mprj_io_analog_sel[9]) + ); + sky130_fd_sc_hd__buf_2 _39258_ ( + .A(\gpio_control_in_1[2].gpio_ana_sel ), + .X(mprj_io_analog_sel[10]) + ); + sky130_fd_sc_hd__buf_2 _39259_ ( + .A(\gpio_control_in_1[3].gpio_ana_sel ), + .X(mprj_io_analog_sel[11]) + ); + sky130_fd_sc_hd__buf_2 _39260_ ( + .A(\gpio_control_in_1[4].gpio_ana_sel ), + .X(mprj_io_analog_sel[12]) + ); + sky130_fd_sc_hd__buf_2 _39261_ ( + .A(\gpio_control_in_1[5].gpio_ana_sel ), + .X(mprj_io_analog_sel[13]) + ); + sky130_fd_sc_hd__buf_2 _39262_ ( + .A(\gpio_control_in_1[6].gpio_ana_sel ), + .X(mprj_io_analog_sel[14]) + ); + sky130_fd_sc_hd__buf_2 _39263_ ( + .A(\gpio_control_in_1[7].gpio_ana_sel ), + .X(mprj_io_analog_sel[15]) + ); + sky130_fd_sc_hd__buf_2 _39264_ ( + .A(\gpio_control_in_1[8].gpio_ana_sel ), + .X(mprj_io_analog_sel[16]) + ); + sky130_fd_sc_hd__buf_2 _39265_ ( + .A(\gpio_control_in_1[9].gpio_ana_sel ), + .X(mprj_io_analog_sel[17]) + ); + sky130_fd_sc_hd__buf_2 _39266_ ( + .A(\gpio_control_in_1[10].gpio_ana_sel ), + .X(mprj_io_analog_sel[18]) + ); + sky130_fd_sc_hd__buf_2 _39267_ ( + .A(\gpio_control_in_2[0].gpio_ana_sel ), + .X(mprj_io_analog_sel[19]) + ); + sky130_fd_sc_hd__buf_2 _39268_ ( + .A(\gpio_control_in_2[1].gpio_ana_sel ), + .X(mprj_io_analog_sel[20]) + ); + sky130_fd_sc_hd__buf_2 _39269_ ( + .A(\gpio_control_in_2[2].gpio_ana_sel ), + .X(mprj_io_analog_sel[21]) + ); + sky130_fd_sc_hd__buf_2 _39270_ ( + .A(\gpio_control_in_2[3].gpio_ana_sel ), + .X(mprj_io_analog_sel[22]) + ); + sky130_fd_sc_hd__buf_2 _39271_ ( + .A(\gpio_control_in_2[4].gpio_ana_sel ), + .X(mprj_io_analog_sel[23]) + ); + sky130_fd_sc_hd__buf_2 _39272_ ( + .A(\gpio_control_in_2[5].gpio_ana_sel ), + .X(mprj_io_analog_sel[24]) + ); + sky130_fd_sc_hd__buf_2 _39273_ ( + .A(\gpio_control_in_2[6].gpio_ana_sel ), + .X(mprj_io_analog_sel[25]) + ); + sky130_fd_sc_hd__buf_2 _39274_ ( + .A(\gpio_control_in_2[7].gpio_ana_sel ), + .X(mprj_io_analog_sel[26]) + ); + sky130_fd_sc_hd__buf_2 _39275_ ( + .A(\gpio_control_in_2[8].gpio_ana_sel ), + .X(mprj_io_analog_sel[27]) + ); + sky130_fd_sc_hd__buf_2 _39276_ ( + .A(\gpio_control_in_2[9].gpio_ana_sel ), + .X(mprj_io_analog_sel[28]) + ); + sky130_fd_sc_hd__buf_2 _39277_ ( + .A(\gpio_control_in_2[10].gpio_ana_sel ), + .X(mprj_io_analog_sel[29]) + ); + sky130_fd_sc_hd__buf_2 _39278_ ( + .A(\gpio_control_in_2[11].gpio_ana_sel ), + .X(mprj_io_analog_sel[30]) + ); + sky130_fd_sc_hd__buf_2 _39279_ ( + .A(\gpio_control_in_2[12].gpio_ana_sel ), + .X(mprj_io_analog_sel[31]) + ); + sky130_fd_sc_hd__buf_2 _39280_ ( + .A(\gpio_control_in_2[13].gpio_ana_sel ), + .X(mprj_io_analog_sel[32]) + ); + sky130_fd_sc_hd__buf_2 _39281_ ( + .A(\gpio_control_in_2[14].gpio_ana_sel ), + .X(mprj_io_analog_sel[33]) + ); + sky130_fd_sc_hd__buf_2 _39282_ ( + .A(\gpio_control_in_2[15].gpio_ana_sel ), + .X(mprj_io_analog_sel[34]) + ); + sky130_fd_sc_hd__buf_2 _39283_ ( + .A(\gpio_control_bidir_2[0].gpio_ana_sel ), + .X(mprj_io_analog_sel[35]) + ); + sky130_fd_sc_hd__buf_2 _39284_ ( + .A(\gpio_control_bidir_2[1].gpio_ana_sel ), + .X(mprj_io_analog_sel[36]) + ); + sky130_fd_sc_hd__buf_2 _39285_ ( + .A(\gpio_control_bidir_2[2].gpio_ana_sel ), + .X(mprj_io_analog_sel[37]) + ); + sky130_fd_sc_hd__buf_2 _39286_ ( + .A(\gpio_control_bidir_1[0].gpio_holdover ), + .X(mprj_io_holdover[0]) + ); + sky130_fd_sc_hd__buf_2 _39287_ ( + .A(\gpio_control_bidir_1[1].gpio_holdover ), + .X(mprj_io_holdover[1]) + ); + sky130_fd_sc_hd__buf_2 _39288_ ( + .A(\gpio_control_in_1a[0].gpio_holdover ), + .X(mprj_io_holdover[2]) + ); + sky130_fd_sc_hd__buf_2 _39289_ ( + .A(\gpio_control_in_1a[1].gpio_holdover ), + .X(mprj_io_holdover[3]) + ); + sky130_fd_sc_hd__buf_2 _39290_ ( + .A(\gpio_control_in_1a[2].gpio_holdover ), + .X(mprj_io_holdover[4]) + ); + sky130_fd_sc_hd__buf_2 _39291_ ( + .A(\gpio_control_in_1a[3].gpio_holdover ), + .X(mprj_io_holdover[5]) + ); + sky130_fd_sc_hd__buf_2 _39292_ ( + .A(\gpio_control_in_1a[4].gpio_holdover ), + .X(mprj_io_holdover[6]) + ); + sky130_fd_sc_hd__buf_2 _39293_ ( + .A(\gpio_control_in_1a[5].gpio_holdover ), + .X(mprj_io_holdover[7]) + ); + sky130_fd_sc_hd__buf_2 _39294_ ( + .A(\gpio_control_in_1[0].gpio_holdover ), + .X(mprj_io_holdover[8]) + ); + sky130_fd_sc_hd__buf_2 _39295_ ( + .A(\gpio_control_in_1[1].gpio_holdover ), + .X(mprj_io_holdover[9]) + ); + sky130_fd_sc_hd__buf_2 _39296_ ( + .A(\gpio_control_in_1[2].gpio_holdover ), + .X(mprj_io_holdover[10]) + ); + sky130_fd_sc_hd__buf_2 _39297_ ( + .A(\gpio_control_in_1[3].gpio_holdover ), + .X(mprj_io_holdover[11]) + ); + sky130_fd_sc_hd__buf_2 _39298_ ( + .A(\gpio_control_in_1[4].gpio_holdover ), + .X(mprj_io_holdover[12]) + ); + sky130_fd_sc_hd__buf_2 _39299_ ( + .A(\gpio_control_in_1[5].gpio_holdover ), + .X(mprj_io_holdover[13]) + ); + sky130_fd_sc_hd__buf_2 _39300_ ( + .A(\gpio_control_in_1[6].gpio_holdover ), + .X(mprj_io_holdover[14]) + ); + sky130_fd_sc_hd__buf_2 _39301_ ( + .A(\gpio_control_in_1[7].gpio_holdover ), + .X(mprj_io_holdover[15]) + ); + sky130_fd_sc_hd__buf_2 _39302_ ( + .A(\gpio_control_in_1[8].gpio_holdover ), + .X(mprj_io_holdover[16]) + ); + sky130_fd_sc_hd__buf_2 _39303_ ( + .A(\gpio_control_in_1[9].gpio_holdover ), + .X(mprj_io_holdover[17]) + ); + sky130_fd_sc_hd__buf_2 _39304_ ( + .A(\gpio_control_in_1[10].gpio_holdover ), + .X(mprj_io_holdover[18]) + ); + sky130_fd_sc_hd__buf_2 _39305_ ( + .A(\gpio_control_in_2[0].gpio_holdover ), + .X(mprj_io_holdover[19]) + ); + sky130_fd_sc_hd__buf_2 _39306_ ( + .A(\gpio_control_in_2[1].gpio_holdover ), + .X(mprj_io_holdover[20]) + ); + sky130_fd_sc_hd__buf_2 _39307_ ( + .A(\gpio_control_in_2[2].gpio_holdover ), + .X(mprj_io_holdover[21]) + ); + sky130_fd_sc_hd__buf_2 _39308_ ( + .A(\gpio_control_in_2[3].gpio_holdover ), + .X(mprj_io_holdover[22]) + ); + sky130_fd_sc_hd__buf_2 _39309_ ( + .A(\gpio_control_in_2[4].gpio_holdover ), + .X(mprj_io_holdover[23]) + ); + sky130_fd_sc_hd__buf_2 _39310_ ( + .A(\gpio_control_in_2[5].gpio_holdover ), + .X(mprj_io_holdover[24]) + ); + sky130_fd_sc_hd__buf_2 _39311_ ( + .A(\gpio_control_in_2[6].gpio_holdover ), + .X(mprj_io_holdover[25]) + ); + sky130_fd_sc_hd__buf_2 _39312_ ( + .A(\gpio_control_in_2[7].gpio_holdover ), + .X(mprj_io_holdover[26]) + ); + sky130_fd_sc_hd__buf_2 _39313_ ( + .A(\gpio_control_in_2[8].gpio_holdover ), + .X(mprj_io_holdover[27]) + ); + sky130_fd_sc_hd__buf_2 _39314_ ( + .A(\gpio_control_in_2[9].gpio_holdover ), + .X(mprj_io_holdover[28]) + ); + sky130_fd_sc_hd__buf_2 _39315_ ( + .A(\gpio_control_in_2[10].gpio_holdover ), + .X(mprj_io_holdover[29]) + ); + sky130_fd_sc_hd__buf_2 _39316_ ( + .A(\gpio_control_in_2[11].gpio_holdover ), + .X(mprj_io_holdover[30]) + ); + sky130_fd_sc_hd__buf_2 _39317_ ( + .A(\gpio_control_in_2[12].gpio_holdover ), + .X(mprj_io_holdover[31]) + ); + sky130_fd_sc_hd__buf_2 _39318_ ( + .A(\gpio_control_in_2[13].gpio_holdover ), + .X(mprj_io_holdover[32]) + ); + sky130_fd_sc_hd__buf_2 _39319_ ( + .A(\gpio_control_in_2[14].gpio_holdover ), + .X(mprj_io_holdover[33]) + ); + sky130_fd_sc_hd__buf_2 _39320_ ( + .A(\gpio_control_in_2[15].gpio_holdover ), + .X(mprj_io_holdover[34]) + ); + sky130_fd_sc_hd__buf_2 _39321_ ( + .A(\gpio_control_bidir_2[0].gpio_holdover ), + .X(mprj_io_holdover[35]) + ); + sky130_fd_sc_hd__buf_2 _39322_ ( + .A(\gpio_control_bidir_2[1].gpio_holdover ), + .X(mprj_io_holdover[36]) + ); + sky130_fd_sc_hd__buf_2 _39323_ ( + .A(\gpio_control_bidir_2[2].gpio_holdover ), + .X(mprj_io_holdover[37]) + ); + sky130_fd_sc_hd__buf_2 _39324_ ( + .A(\gpio_control_bidir_1[0].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[0]) + ); + sky130_fd_sc_hd__buf_2 _39325_ ( + .A(\gpio_control_bidir_1[1].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[1]) + ); + sky130_fd_sc_hd__buf_2 _39326_ ( + .A(\gpio_control_in_1a[0].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[2]) + ); + sky130_fd_sc_hd__buf_2 _39327_ ( + .A(\gpio_control_in_1a[1].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[3]) + ); + sky130_fd_sc_hd__buf_2 _39328_ ( + .A(\gpio_control_in_1a[2].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[4]) + ); + sky130_fd_sc_hd__buf_2 _39329_ ( + .A(\gpio_control_in_1a[3].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[5]) + ); + sky130_fd_sc_hd__buf_2 _39330_ ( + .A(\gpio_control_in_1a[4].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[6]) + ); + sky130_fd_sc_hd__buf_2 _39331_ ( + .A(\gpio_control_in_1a[5].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[7]) + ); + sky130_fd_sc_hd__buf_2 _39332_ ( + .A(\gpio_control_in_1[0].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[8]) + ); + sky130_fd_sc_hd__buf_2 _39333_ ( + .A(\gpio_control_in_1[1].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[9]) + ); + sky130_fd_sc_hd__buf_2 _39334_ ( + .A(\gpio_control_in_1[2].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[10]) + ); + sky130_fd_sc_hd__buf_2 _39335_ ( + .A(\gpio_control_in_1[3].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[11]) + ); + sky130_fd_sc_hd__buf_2 _39336_ ( + .A(\gpio_control_in_1[4].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[12]) + ); + sky130_fd_sc_hd__buf_2 _39337_ ( + .A(\gpio_control_in_1[5].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[13]) + ); + sky130_fd_sc_hd__buf_2 _39338_ ( + .A(\gpio_control_in_1[6].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[14]) + ); + sky130_fd_sc_hd__buf_2 _39339_ ( + .A(\gpio_control_in_1[7].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[15]) + ); + sky130_fd_sc_hd__buf_2 _39340_ ( + .A(\gpio_control_in_1[8].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[16]) + ); + sky130_fd_sc_hd__buf_2 _39341_ ( + .A(\gpio_control_in_1[9].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[17]) + ); + sky130_fd_sc_hd__buf_2 _39342_ ( + .A(\gpio_control_in_1[10].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[18]) + ); + sky130_fd_sc_hd__buf_2 _39343_ ( + .A(\gpio_control_in_2[0].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[19]) + ); + sky130_fd_sc_hd__buf_2 _39344_ ( + .A(\gpio_control_in_2[1].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[20]) + ); + sky130_fd_sc_hd__buf_2 _39345_ ( + .A(\gpio_control_in_2[2].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[21]) + ); + sky130_fd_sc_hd__buf_2 _39346_ ( + .A(\gpio_control_in_2[3].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[22]) + ); + sky130_fd_sc_hd__buf_2 _39347_ ( + .A(\gpio_control_in_2[4].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[23]) + ); + sky130_fd_sc_hd__buf_2 _39348_ ( + .A(\gpio_control_in_2[5].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[24]) + ); + sky130_fd_sc_hd__buf_2 _39349_ ( + .A(\gpio_control_in_2[6].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[25]) + ); + sky130_fd_sc_hd__buf_2 _39350_ ( + .A(\gpio_control_in_2[7].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[26]) + ); + sky130_fd_sc_hd__buf_2 _39351_ ( + .A(\gpio_control_in_2[8].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[27]) + ); + sky130_fd_sc_hd__buf_2 _39352_ ( + .A(\gpio_control_in_2[9].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[28]) + ); + sky130_fd_sc_hd__buf_2 _39353_ ( + .A(\gpio_control_in_2[10].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[29]) + ); + sky130_fd_sc_hd__buf_2 _39354_ ( + .A(\gpio_control_in_2[11].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[30]) + ); + sky130_fd_sc_hd__buf_2 _39355_ ( + .A(\gpio_control_in_2[12].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[31]) + ); + sky130_fd_sc_hd__buf_2 _39356_ ( + .A(\gpio_control_in_2[13].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[32]) + ); + sky130_fd_sc_hd__buf_2 _39357_ ( + .A(\gpio_control_in_2[14].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[33]) + ); + sky130_fd_sc_hd__buf_2 _39358_ ( + .A(\gpio_control_in_2[15].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[34]) + ); + sky130_fd_sc_hd__buf_2 _39359_ ( + .A(\gpio_control_bidir_2[0].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[35]) + ); + sky130_fd_sc_hd__buf_2 _39360_ ( + .A(\gpio_control_bidir_2[1].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[36]) + ); + sky130_fd_sc_hd__buf_2 _39361_ ( + .A(\gpio_control_bidir_2[2].gpio_ib_mode_sel ), + .X(mprj_io_ib_mode_sel[37]) + ); + sky130_fd_sc_hd__buf_2 _39362_ ( + .A(\gpio_control_bidir_1[0].gpio_inenb ), + .X(mprj_io_inp_dis[0]) + ); + sky130_fd_sc_hd__buf_2 _39363_ ( + .A(\gpio_control_bidir_1[1].gpio_inenb ), + .X(mprj_io_inp_dis[1]) + ); + sky130_fd_sc_hd__buf_2 _39364_ ( + .A(\gpio_control_in_1a[0].gpio_inenb ), + .X(mprj_io_inp_dis[2]) + ); + sky130_fd_sc_hd__buf_2 _39365_ ( + .A(\gpio_control_in_1a[1].gpio_inenb ), + .X(mprj_io_inp_dis[3]) + ); + sky130_fd_sc_hd__buf_2 _39366_ ( + .A(\gpio_control_in_1a[2].gpio_inenb ), + .X(mprj_io_inp_dis[4]) + ); + sky130_fd_sc_hd__buf_2 _39367_ ( + .A(\gpio_control_in_1a[3].gpio_inenb ), + .X(mprj_io_inp_dis[5]) + ); + sky130_fd_sc_hd__buf_2 _39368_ ( + .A(\gpio_control_in_1a[4].gpio_inenb ), + .X(mprj_io_inp_dis[6]) + ); + sky130_fd_sc_hd__buf_2 _39369_ ( + .A(\gpio_control_in_1a[5].gpio_inenb ), + .X(mprj_io_inp_dis[7]) + ); + sky130_fd_sc_hd__buf_2 _39370_ ( + .A(\gpio_control_in_1[0].gpio_inenb ), + .X(mprj_io_inp_dis[8]) + ); + sky130_fd_sc_hd__buf_2 _39371_ ( + .A(\gpio_control_in_1[1].gpio_inenb ), + .X(mprj_io_inp_dis[9]) + ); + sky130_fd_sc_hd__buf_2 _39372_ ( + .A(\gpio_control_in_1[2].gpio_inenb ), + .X(mprj_io_inp_dis[10]) + ); + sky130_fd_sc_hd__buf_2 _39373_ ( + .A(\gpio_control_in_1[3].gpio_inenb ), + .X(mprj_io_inp_dis[11]) + ); + sky130_fd_sc_hd__buf_2 _39374_ ( + .A(\gpio_control_in_1[4].gpio_inenb ), + .X(mprj_io_inp_dis[12]) + ); + sky130_fd_sc_hd__buf_2 _39375_ ( + .A(\gpio_control_in_1[5].gpio_inenb ), + .X(mprj_io_inp_dis[13]) + ); + sky130_fd_sc_hd__buf_2 _39376_ ( + .A(\gpio_control_in_1[6].gpio_inenb ), + .X(mprj_io_inp_dis[14]) + ); + sky130_fd_sc_hd__buf_2 _39377_ ( + .A(\gpio_control_in_1[7].gpio_inenb ), + .X(mprj_io_inp_dis[15]) + ); + sky130_fd_sc_hd__buf_2 _39378_ ( + .A(\gpio_control_in_1[8].gpio_inenb ), + .X(mprj_io_inp_dis[16]) + ); + sky130_fd_sc_hd__buf_2 _39379_ ( + .A(\gpio_control_in_1[9].gpio_inenb ), + .X(mprj_io_inp_dis[17]) + ); + sky130_fd_sc_hd__buf_2 _39380_ ( + .A(\gpio_control_in_1[10].gpio_inenb ), + .X(mprj_io_inp_dis[18]) + ); + sky130_fd_sc_hd__buf_2 _39381_ ( + .A(\gpio_control_in_2[0].gpio_inenb ), + .X(mprj_io_inp_dis[19]) + ); + sky130_fd_sc_hd__buf_2 _39382_ ( + .A(\gpio_control_in_2[1].gpio_inenb ), + .X(mprj_io_inp_dis[20]) + ); + sky130_fd_sc_hd__buf_2 _39383_ ( + .A(\gpio_control_in_2[2].gpio_inenb ), + .X(mprj_io_inp_dis[21]) + ); + sky130_fd_sc_hd__buf_2 _39384_ ( + .A(\gpio_control_in_2[3].gpio_inenb ), + .X(mprj_io_inp_dis[22]) + ); + sky130_fd_sc_hd__buf_2 _39385_ ( + .A(\gpio_control_in_2[4].gpio_inenb ), + .X(mprj_io_inp_dis[23]) + ); + sky130_fd_sc_hd__buf_2 _39386_ ( + .A(\gpio_control_in_2[5].gpio_inenb ), + .X(mprj_io_inp_dis[24]) + ); + sky130_fd_sc_hd__buf_2 _39387_ ( + .A(\gpio_control_in_2[6].gpio_inenb ), + .X(mprj_io_inp_dis[25]) + ); + sky130_fd_sc_hd__buf_2 _39388_ ( + .A(\gpio_control_in_2[7].gpio_inenb ), + .X(mprj_io_inp_dis[26]) + ); + sky130_fd_sc_hd__buf_2 _39389_ ( + .A(\gpio_control_in_2[8].gpio_inenb ), + .X(mprj_io_inp_dis[27]) + ); + sky130_fd_sc_hd__buf_2 _39390_ ( + .A(\gpio_control_in_2[9].gpio_inenb ), + .X(mprj_io_inp_dis[28]) + ); + sky130_fd_sc_hd__buf_2 _39391_ ( + .A(\gpio_control_in_2[10].gpio_inenb ), + .X(mprj_io_inp_dis[29]) + ); + sky130_fd_sc_hd__buf_2 _39392_ ( + .A(\gpio_control_in_2[11].gpio_inenb ), + .X(mprj_io_inp_dis[30]) + ); + sky130_fd_sc_hd__buf_2 _39393_ ( + .A(\gpio_control_in_2[12].gpio_inenb ), + .X(mprj_io_inp_dis[31]) + ); + sky130_fd_sc_hd__buf_2 _39394_ ( + .A(\gpio_control_in_2[13].gpio_inenb ), + .X(mprj_io_inp_dis[32]) + ); + sky130_fd_sc_hd__buf_2 _39395_ ( + .A(\gpio_control_in_2[14].gpio_inenb ), + .X(mprj_io_inp_dis[33]) + ); + sky130_fd_sc_hd__buf_2 _39396_ ( + .A(\gpio_control_in_2[15].gpio_inenb ), + .X(mprj_io_inp_dis[34]) + ); + sky130_fd_sc_hd__buf_2 _39397_ ( + .A(\gpio_control_bidir_2[0].gpio_inenb ), + .X(mprj_io_inp_dis[35]) + ); + sky130_fd_sc_hd__buf_2 _39398_ ( + .A(\gpio_control_bidir_2[1].gpio_inenb ), + .X(mprj_io_inp_dis[36]) + ); + sky130_fd_sc_hd__buf_2 _39399_ ( + .A(\gpio_control_bidir_2[2].gpio_inenb ), + .X(mprj_io_inp_dis[37]) + ); + sky130_fd_sc_hd__buf_2 _39400_ ( + .A(\gpio_control_bidir_1[0].pad_gpio_outenb ), + .X(mprj_io_oeb[0]) + ); + sky130_fd_sc_hd__buf_2 _39401_ ( + .A(\gpio_control_bidir_1[1].pad_gpio_outenb ), + .X(mprj_io_oeb[1]) + ); + sky130_fd_sc_hd__buf_2 _39402_ ( + .A(\gpio_control_in_1a[0].pad_gpio_outenb ), + .X(mprj_io_oeb[2]) + ); + sky130_fd_sc_hd__buf_2 _39403_ ( + .A(\gpio_control_in_1a[1].pad_gpio_outenb ), + .X(mprj_io_oeb[3]) + ); + sky130_fd_sc_hd__buf_2 _39404_ ( + .A(\gpio_control_in_1a[2].pad_gpio_outenb ), + .X(mprj_io_oeb[4]) + ); + sky130_fd_sc_hd__buf_2 _39405_ ( + .A(\gpio_control_in_1a[3].pad_gpio_outenb ), + .X(mprj_io_oeb[5]) + ); + sky130_fd_sc_hd__buf_2 _39406_ ( + .A(\gpio_control_in_1a[4].pad_gpio_outenb ), + .X(mprj_io_oeb[6]) + ); + sky130_fd_sc_hd__buf_2 _39407_ ( + .A(\gpio_control_in_1a[5].pad_gpio_outenb ), + .X(mprj_io_oeb[7]) + ); + sky130_fd_sc_hd__buf_2 _39408_ ( + .A(\gpio_control_in_1[0].pad_gpio_outenb ), + .X(mprj_io_oeb[8]) + ); + sky130_fd_sc_hd__buf_2 _39409_ ( + .A(\gpio_control_in_1[1].pad_gpio_outenb ), + .X(mprj_io_oeb[9]) + ); + sky130_fd_sc_hd__buf_2 _39410_ ( + .A(\gpio_control_in_1[2].pad_gpio_outenb ), + .X(mprj_io_oeb[10]) + ); + sky130_fd_sc_hd__buf_2 _39411_ ( + .A(\gpio_control_in_1[3].pad_gpio_outenb ), + .X(mprj_io_oeb[11]) + ); + sky130_fd_sc_hd__buf_2 _39412_ ( + .A(\gpio_control_in_1[4].pad_gpio_outenb ), + .X(mprj_io_oeb[12]) + ); + sky130_fd_sc_hd__buf_2 _39413_ ( + .A(\gpio_control_in_1[5].pad_gpio_outenb ), + .X(mprj_io_oeb[13]) + ); + sky130_fd_sc_hd__buf_2 _39414_ ( + .A(\gpio_control_in_1[6].pad_gpio_outenb ), + .X(mprj_io_oeb[14]) + ); + sky130_fd_sc_hd__buf_2 _39415_ ( + .A(\gpio_control_in_1[7].pad_gpio_outenb ), + .X(mprj_io_oeb[15]) + ); + sky130_fd_sc_hd__buf_2 _39416_ ( + .A(\gpio_control_in_1[8].pad_gpio_outenb ), + .X(mprj_io_oeb[16]) + ); + sky130_fd_sc_hd__buf_2 _39417_ ( + .A(\gpio_control_in_1[9].pad_gpio_outenb ), + .X(mprj_io_oeb[17]) + ); + sky130_fd_sc_hd__buf_2 _39418_ ( + .A(\gpio_control_in_1[10].pad_gpio_outenb ), + .X(mprj_io_oeb[18]) + ); + sky130_fd_sc_hd__buf_2 _39419_ ( + .A(\gpio_control_in_2[0].pad_gpio_outenb ), + .X(mprj_io_oeb[19]) + ); + sky130_fd_sc_hd__buf_2 _39420_ ( + .A(\gpio_control_in_2[1].pad_gpio_outenb ), + .X(mprj_io_oeb[20]) + ); + sky130_fd_sc_hd__buf_2 _39421_ ( + .A(\gpio_control_in_2[2].pad_gpio_outenb ), + .X(mprj_io_oeb[21]) + ); + sky130_fd_sc_hd__buf_2 _39422_ ( + .A(\gpio_control_in_2[3].pad_gpio_outenb ), + .X(mprj_io_oeb[22]) + ); + sky130_fd_sc_hd__buf_2 _39423_ ( + .A(\gpio_control_in_2[4].pad_gpio_outenb ), + .X(mprj_io_oeb[23]) + ); + sky130_fd_sc_hd__buf_2 _39424_ ( + .A(\gpio_control_in_2[5].pad_gpio_outenb ), + .X(mprj_io_oeb[24]) + ); + sky130_fd_sc_hd__buf_2 _39425_ ( + .A(\gpio_control_in_2[6].pad_gpio_outenb ), + .X(mprj_io_oeb[25]) + ); + sky130_fd_sc_hd__buf_2 _39426_ ( + .A(\gpio_control_in_2[7].pad_gpio_outenb ), + .X(mprj_io_oeb[26]) + ); + sky130_fd_sc_hd__buf_2 _39427_ ( + .A(\gpio_control_in_2[8].pad_gpio_outenb ), + .X(mprj_io_oeb[27]) + ); + sky130_fd_sc_hd__buf_2 _39428_ ( + .A(\gpio_control_in_2[9].pad_gpio_outenb ), + .X(mprj_io_oeb[28]) + ); + sky130_fd_sc_hd__buf_2 _39429_ ( + .A(\gpio_control_in_2[10].pad_gpio_outenb ), + .X(mprj_io_oeb[29]) + ); + sky130_fd_sc_hd__buf_2 _39430_ ( + .A(\gpio_control_in_2[11].pad_gpio_outenb ), + .X(mprj_io_oeb[30]) + ); + sky130_fd_sc_hd__buf_2 _39431_ ( + .A(\gpio_control_in_2[12].pad_gpio_outenb ), + .X(mprj_io_oeb[31]) + ); + sky130_fd_sc_hd__buf_2 _39432_ ( + .A(\gpio_control_in_2[13].pad_gpio_outenb ), + .X(mprj_io_oeb[32]) + ); + sky130_fd_sc_hd__buf_2 _39433_ ( + .A(\gpio_control_in_2[14].pad_gpio_outenb ), + .X(mprj_io_oeb[33]) + ); + sky130_fd_sc_hd__buf_2 _39434_ ( + .A(\gpio_control_in_2[15].pad_gpio_outenb ), + .X(mprj_io_oeb[34]) + ); + sky130_fd_sc_hd__buf_2 _39435_ ( + .A(\gpio_control_bidir_2[0].pad_gpio_outenb ), + .X(mprj_io_oeb[35]) + ); + sky130_fd_sc_hd__buf_2 _39436_ ( + .A(\gpio_control_bidir_2[1].pad_gpio_outenb ), + .X(mprj_io_oeb[36]) + ); + sky130_fd_sc_hd__buf_2 _39437_ ( + .A(\gpio_control_bidir_2[2].pad_gpio_outenb ), + .X(mprj_io_oeb[37]) + ); + sky130_fd_sc_hd__buf_2 _39438_ ( + .A(\gpio_control_bidir_1[0].one ), + .X(mprj_io_one[0]) + ); + sky130_fd_sc_hd__buf_2 _39439_ ( + .A(\gpio_control_bidir_1[1].one ), + .X(mprj_io_one[1]) + ); + sky130_fd_sc_hd__buf_2 _39440_ ( + .A(\gpio_control_in_1a[0].mgmt_gpio_oeb ), + .X(mprj_io_one[2]) + ); + sky130_fd_sc_hd__buf_2 _39441_ ( + .A(\gpio_control_in_1a[1].mgmt_gpio_oeb ), + .X(mprj_io_one[3]) + ); + sky130_fd_sc_hd__buf_2 _39442_ ( + .A(\gpio_control_in_1a[2].mgmt_gpio_oeb ), + .X(mprj_io_one[4]) + ); + sky130_fd_sc_hd__buf_2 _39443_ ( + .A(\gpio_control_in_1a[3].mgmt_gpio_oeb ), + .X(mprj_io_one[5]) + ); + sky130_fd_sc_hd__buf_2 _39444_ ( + .A(\gpio_control_in_1a[4].mgmt_gpio_oeb ), + .X(mprj_io_one[6]) + ); + sky130_fd_sc_hd__buf_2 _39445_ ( + .A(\gpio_control_in_1a[5].mgmt_gpio_oeb ), + .X(mprj_io_one[7]) + ); + sky130_fd_sc_hd__buf_2 _39446_ ( + .A(\gpio_control_in_1[0].mgmt_gpio_oeb ), + .X(mprj_io_one[8]) + ); + sky130_fd_sc_hd__buf_2 _39447_ ( + .A(\gpio_control_in_1[1].mgmt_gpio_oeb ), + .X(mprj_io_one[9]) + ); + sky130_fd_sc_hd__buf_2 _39448_ ( + .A(\gpio_control_in_1[2].mgmt_gpio_oeb ), + .X(mprj_io_one[10]) + ); + sky130_fd_sc_hd__buf_2 _39449_ ( + .A(\gpio_control_in_1[3].mgmt_gpio_oeb ), + .X(mprj_io_one[11]) + ); + sky130_fd_sc_hd__buf_2 _39450_ ( + .A(\gpio_control_in_1[4].mgmt_gpio_oeb ), + .X(mprj_io_one[12]) + ); + sky130_fd_sc_hd__buf_2 _39451_ ( + .A(\gpio_control_in_1[5].mgmt_gpio_oeb ), + .X(mprj_io_one[13]) + ); + sky130_fd_sc_hd__buf_2 _39452_ ( + .A(\gpio_control_in_1[6].mgmt_gpio_oeb ), + .X(mprj_io_one[14]) + ); + sky130_fd_sc_hd__buf_2 _39453_ ( + .A(\gpio_control_in_1[7].mgmt_gpio_oeb ), + .X(mprj_io_one[15]) + ); + sky130_fd_sc_hd__buf_2 _39454_ ( + .A(\gpio_control_in_1[8].mgmt_gpio_oeb ), + .X(mprj_io_one[16]) + ); + sky130_fd_sc_hd__buf_2 _39455_ ( + .A(\gpio_control_in_1[9].mgmt_gpio_oeb ), + .X(mprj_io_one[17]) + ); + sky130_fd_sc_hd__buf_2 _39456_ ( + .A(\gpio_control_in_1[10].mgmt_gpio_oeb ), + .X(mprj_io_one[18]) + ); + sky130_fd_sc_hd__buf_2 _39457_ ( + .A(\gpio_control_in_2[0].mgmt_gpio_oeb ), + .X(mprj_io_one[19]) + ); + sky130_fd_sc_hd__buf_2 _39458_ ( + .A(\gpio_control_in_2[1].mgmt_gpio_oeb ), + .X(mprj_io_one[20]) + ); + sky130_fd_sc_hd__buf_2 _39459_ ( + .A(\gpio_control_in_2[2].mgmt_gpio_oeb ), + .X(mprj_io_one[21]) + ); + sky130_fd_sc_hd__buf_2 _39460_ ( + .A(\gpio_control_in_2[3].mgmt_gpio_oeb ), + .X(mprj_io_one[22]) + ); + sky130_fd_sc_hd__buf_2 _39461_ ( + .A(\gpio_control_in_2[4].mgmt_gpio_oeb ), + .X(mprj_io_one[23]) + ); + sky130_fd_sc_hd__buf_2 _39462_ ( + .A(\gpio_control_in_2[5].mgmt_gpio_oeb ), + .X(mprj_io_one[24]) + ); + sky130_fd_sc_hd__buf_2 _39463_ ( + .A(\gpio_control_in_2[6].mgmt_gpio_oeb ), + .X(mprj_io_one[25]) + ); + sky130_fd_sc_hd__buf_2 _39464_ ( + .A(\gpio_control_in_2[7].mgmt_gpio_oeb ), + .X(mprj_io_one[26]) + ); + sky130_fd_sc_hd__buf_2 _39465_ ( + .A(\gpio_control_in_2[8].mgmt_gpio_oeb ), + .X(mprj_io_one[27]) + ); + sky130_fd_sc_hd__buf_2 _39466_ ( + .A(\gpio_control_in_2[9].mgmt_gpio_oeb ), + .X(mprj_io_one[28]) + ); + sky130_fd_sc_hd__buf_2 _39467_ ( + .A(\gpio_control_in_2[10].mgmt_gpio_oeb ), + .X(mprj_io_one[29]) + ); + sky130_fd_sc_hd__buf_2 _39468_ ( + .A(\gpio_control_in_2[11].mgmt_gpio_oeb ), + .X(mprj_io_one[30]) + ); + sky130_fd_sc_hd__buf_2 _39469_ ( + .A(\gpio_control_in_2[12].mgmt_gpio_oeb ), + .X(mprj_io_one[31]) + ); + sky130_fd_sc_hd__buf_2 _39470_ ( + .A(\gpio_control_in_2[13].mgmt_gpio_oeb ), + .X(mprj_io_one[32]) + ); + sky130_fd_sc_hd__buf_2 _39471_ ( + .A(\gpio_control_in_2[14].mgmt_gpio_oeb ), + .X(mprj_io_one[33]) + ); + sky130_fd_sc_hd__buf_2 _39472_ ( + .A(\gpio_control_in_2[15].mgmt_gpio_oeb ), + .X(mprj_io_one[34]) + ); + sky130_fd_sc_hd__buf_2 _39473_ ( + .A(\gpio_control_bidir_2[0].one ), + .X(mprj_io_one[35]) + ); + sky130_fd_sc_hd__buf_2 _39474_ ( + .A(\gpio_control_bidir_2[1].one ), + .X(mprj_io_one[36]) + ); + sky130_fd_sc_hd__buf_2 _39475_ ( + .A(\gpio_control_bidir_2[2].one ), + .X(mprj_io_one[37]) + ); + sky130_fd_sc_hd__buf_2 _39476_ ( + .A(\gpio_control_bidir_1[0].pad_gpio_out ), + .X(mprj_io_out[0]) + ); + sky130_fd_sc_hd__buf_2 _39477_ ( + .A(\gpio_control_bidir_1[1].pad_gpio_out ), + .X(mprj_io_out[1]) + ); + sky130_fd_sc_hd__buf_2 _39478_ ( + .A(\gpio_control_in_1a[0].pad_gpio_out ), + .X(mprj_io_out[2]) + ); + sky130_fd_sc_hd__buf_2 _39479_ ( + .A(\gpio_control_in_1a[1].pad_gpio_out ), + .X(mprj_io_out[3]) + ); + sky130_fd_sc_hd__buf_2 _39480_ ( + .A(\gpio_control_in_1a[2].pad_gpio_out ), + .X(mprj_io_out[4]) + ); + sky130_fd_sc_hd__buf_2 _39481_ ( + .A(\gpio_control_in_1a[3].pad_gpio_out ), + .X(mprj_io_out[5]) + ); + sky130_fd_sc_hd__buf_2 _39482_ ( + .A(\gpio_control_in_1a[4].pad_gpio_out ), + .X(mprj_io_out[6]) + ); + sky130_fd_sc_hd__buf_2 _39483_ ( + .A(\gpio_control_in_1a[5].pad_gpio_out ), + .X(mprj_io_out[7]) + ); + sky130_fd_sc_hd__buf_2 _39484_ ( + .A(\gpio_control_in_1[0].pad_gpio_out ), + .X(mprj_io_out[8]) + ); + sky130_fd_sc_hd__buf_2 _39485_ ( + .A(\gpio_control_in_1[1].pad_gpio_out ), + .X(mprj_io_out[9]) + ); + sky130_fd_sc_hd__buf_2 _39486_ ( + .A(\gpio_control_in_1[2].pad_gpio_out ), + .X(mprj_io_out[10]) + ); + sky130_fd_sc_hd__buf_2 _39487_ ( + .A(\gpio_control_in_1[3].pad_gpio_out ), + .X(mprj_io_out[11]) + ); + sky130_fd_sc_hd__buf_2 _39488_ ( + .A(\gpio_control_in_1[4].pad_gpio_out ), + .X(mprj_io_out[12]) + ); + sky130_fd_sc_hd__buf_2 _39489_ ( + .A(\gpio_control_in_1[5].pad_gpio_out ), + .X(mprj_io_out[13]) + ); + sky130_fd_sc_hd__buf_2 _39490_ ( + .A(\gpio_control_in_1[6].pad_gpio_out ), + .X(mprj_io_out[14]) + ); + sky130_fd_sc_hd__buf_2 _39491_ ( + .A(\gpio_control_in_1[7].pad_gpio_out ), + .X(mprj_io_out[15]) + ); + sky130_fd_sc_hd__buf_2 _39492_ ( + .A(\gpio_control_in_1[8].pad_gpio_out ), + .X(mprj_io_out[16]) + ); + sky130_fd_sc_hd__buf_2 _39493_ ( + .A(\gpio_control_in_1[9].pad_gpio_out ), + .X(mprj_io_out[17]) + ); + sky130_fd_sc_hd__buf_2 _39494_ ( + .A(\gpio_control_in_1[10].pad_gpio_out ), + .X(mprj_io_out[18]) + ); + sky130_fd_sc_hd__buf_2 _39495_ ( + .A(\gpio_control_in_2[0].pad_gpio_out ), + .X(mprj_io_out[19]) + ); + sky130_fd_sc_hd__buf_2 _39496_ ( + .A(\gpio_control_in_2[1].pad_gpio_out ), + .X(mprj_io_out[20]) + ); + sky130_fd_sc_hd__buf_2 _39497_ ( + .A(\gpio_control_in_2[2].pad_gpio_out ), + .X(mprj_io_out[21]) + ); + sky130_fd_sc_hd__buf_2 _39498_ ( + .A(\gpio_control_in_2[3].pad_gpio_out ), + .X(mprj_io_out[22]) + ); + sky130_fd_sc_hd__buf_2 _39499_ ( + .A(\gpio_control_in_2[4].pad_gpio_out ), + .X(mprj_io_out[23]) + ); + sky130_fd_sc_hd__buf_2 _39500_ ( + .A(\gpio_control_in_2[5].pad_gpio_out ), + .X(mprj_io_out[24]) + ); + sky130_fd_sc_hd__buf_2 _39501_ ( + .A(\gpio_control_in_2[6].pad_gpio_out ), + .X(mprj_io_out[25]) + ); + sky130_fd_sc_hd__buf_2 _39502_ ( + .A(\gpio_control_in_2[7].pad_gpio_out ), + .X(mprj_io_out[26]) + ); + sky130_fd_sc_hd__buf_2 _39503_ ( + .A(\gpio_control_in_2[8].pad_gpio_out ), + .X(mprj_io_out[27]) + ); + sky130_fd_sc_hd__buf_2 _39504_ ( + .A(\gpio_control_in_2[9].pad_gpio_out ), + .X(mprj_io_out[28]) + ); + sky130_fd_sc_hd__buf_2 _39505_ ( + .A(\gpio_control_in_2[10].pad_gpio_out ), + .X(mprj_io_out[29]) + ); + sky130_fd_sc_hd__buf_2 _39506_ ( + .A(\gpio_control_in_2[11].pad_gpio_out ), + .X(mprj_io_out[30]) + ); + sky130_fd_sc_hd__buf_2 _39507_ ( + .A(\gpio_control_in_2[12].pad_gpio_out ), + .X(mprj_io_out[31]) + ); + sky130_fd_sc_hd__buf_2 _39508_ ( + .A(\gpio_control_in_2[13].pad_gpio_out ), + .X(mprj_io_out[32]) + ); + sky130_fd_sc_hd__buf_2 _39509_ ( + .A(\gpio_control_in_2[14].pad_gpio_out ), + .X(mprj_io_out[33]) + ); + sky130_fd_sc_hd__buf_2 _39510_ ( + .A(\gpio_control_in_2[15].pad_gpio_out ), + .X(mprj_io_out[34]) + ); + sky130_fd_sc_hd__buf_2 _39511_ ( + .A(\gpio_control_bidir_2[0].pad_gpio_out ), + .X(mprj_io_out[35]) + ); + sky130_fd_sc_hd__buf_2 _39512_ ( + .A(\gpio_control_bidir_2[1].pad_gpio_out ), + .X(mprj_io_out[36]) + ); + sky130_fd_sc_hd__buf_2 _39513_ ( + .A(\gpio_control_bidir_2[2].pad_gpio_out ), + .X(mprj_io_out[37]) + ); + sky130_fd_sc_hd__buf_2 _39514_ ( + .A(\gpio_control_bidir_1[0].gpio_slow_sel ), + .X(mprj_io_slow_sel[0]) + ); + sky130_fd_sc_hd__buf_2 _39515_ ( + .A(\gpio_control_bidir_1[1].gpio_slow_sel ), + .X(mprj_io_slow_sel[1]) + ); + sky130_fd_sc_hd__buf_2 _39516_ ( + .A(\gpio_control_in_1a[0].gpio_slow_sel ), + .X(mprj_io_slow_sel[2]) + ); + sky130_fd_sc_hd__buf_2 _39517_ ( + .A(\gpio_control_in_1a[1].gpio_slow_sel ), + .X(mprj_io_slow_sel[3]) + ); + sky130_fd_sc_hd__buf_2 _39518_ ( + .A(\gpio_control_in_1a[2].gpio_slow_sel ), + .X(mprj_io_slow_sel[4]) + ); + sky130_fd_sc_hd__buf_2 _39519_ ( + .A(\gpio_control_in_1a[3].gpio_slow_sel ), + .X(mprj_io_slow_sel[5]) + ); + sky130_fd_sc_hd__buf_2 _39520_ ( + .A(\gpio_control_in_1a[4].gpio_slow_sel ), + .X(mprj_io_slow_sel[6]) + ); + sky130_fd_sc_hd__buf_2 _39521_ ( + .A(\gpio_control_in_1a[5].gpio_slow_sel ), + .X(mprj_io_slow_sel[7]) + ); + sky130_fd_sc_hd__buf_2 _39522_ ( + .A(\gpio_control_in_1[0].gpio_slow_sel ), + .X(mprj_io_slow_sel[8]) + ); + sky130_fd_sc_hd__buf_2 _39523_ ( + .A(\gpio_control_in_1[1].gpio_slow_sel ), + .X(mprj_io_slow_sel[9]) + ); + sky130_fd_sc_hd__buf_2 _39524_ ( + .A(\gpio_control_in_1[2].gpio_slow_sel ), + .X(mprj_io_slow_sel[10]) + ); + sky130_fd_sc_hd__buf_2 _39525_ ( + .A(\gpio_control_in_1[3].gpio_slow_sel ), + .X(mprj_io_slow_sel[11]) + ); + sky130_fd_sc_hd__buf_2 _39526_ ( + .A(\gpio_control_in_1[4].gpio_slow_sel ), + .X(mprj_io_slow_sel[12]) + ); + sky130_fd_sc_hd__buf_2 _39527_ ( + .A(\gpio_control_in_1[5].gpio_slow_sel ), + .X(mprj_io_slow_sel[13]) + ); + sky130_fd_sc_hd__buf_2 _39528_ ( + .A(\gpio_control_in_1[6].gpio_slow_sel ), + .X(mprj_io_slow_sel[14]) + ); + sky130_fd_sc_hd__buf_2 _39529_ ( + .A(\gpio_control_in_1[7].gpio_slow_sel ), + .X(mprj_io_slow_sel[15]) + ); + sky130_fd_sc_hd__buf_2 _39530_ ( + .A(\gpio_control_in_1[8].gpio_slow_sel ), + .X(mprj_io_slow_sel[16]) + ); + sky130_fd_sc_hd__buf_2 _39531_ ( + .A(\gpio_control_in_1[9].gpio_slow_sel ), + .X(mprj_io_slow_sel[17]) + ); + sky130_fd_sc_hd__buf_2 _39532_ ( + .A(\gpio_control_in_1[10].gpio_slow_sel ), + .X(mprj_io_slow_sel[18]) + ); + sky130_fd_sc_hd__buf_2 _39533_ ( + .A(\gpio_control_in_2[0].gpio_slow_sel ), + .X(mprj_io_slow_sel[19]) + ); + sky130_fd_sc_hd__buf_2 _39534_ ( + .A(\gpio_control_in_2[1].gpio_slow_sel ), + .X(mprj_io_slow_sel[20]) + ); + sky130_fd_sc_hd__buf_2 _39535_ ( + .A(\gpio_control_in_2[2].gpio_slow_sel ), + .X(mprj_io_slow_sel[21]) + ); + sky130_fd_sc_hd__buf_2 _39536_ ( + .A(\gpio_control_in_2[3].gpio_slow_sel ), + .X(mprj_io_slow_sel[22]) + ); + sky130_fd_sc_hd__buf_2 _39537_ ( + .A(\gpio_control_in_2[4].gpio_slow_sel ), + .X(mprj_io_slow_sel[23]) + ); + sky130_fd_sc_hd__buf_2 _39538_ ( + .A(\gpio_control_in_2[5].gpio_slow_sel ), + .X(mprj_io_slow_sel[24]) + ); + sky130_fd_sc_hd__buf_2 _39539_ ( + .A(\gpio_control_in_2[6].gpio_slow_sel ), + .X(mprj_io_slow_sel[25]) + ); + sky130_fd_sc_hd__buf_2 _39540_ ( + .A(\gpio_control_in_2[7].gpio_slow_sel ), + .X(mprj_io_slow_sel[26]) + ); + sky130_fd_sc_hd__buf_2 _39541_ ( + .A(\gpio_control_in_2[8].gpio_slow_sel ), + .X(mprj_io_slow_sel[27]) + ); + sky130_fd_sc_hd__buf_2 _39542_ ( + .A(\gpio_control_in_2[9].gpio_slow_sel ), + .X(mprj_io_slow_sel[28]) + ); + sky130_fd_sc_hd__buf_2 _39543_ ( + .A(\gpio_control_in_2[10].gpio_slow_sel ), + .X(mprj_io_slow_sel[29]) + ); + sky130_fd_sc_hd__buf_2 _39544_ ( + .A(\gpio_control_in_2[11].gpio_slow_sel ), + .X(mprj_io_slow_sel[30]) + ); + sky130_fd_sc_hd__buf_2 _39545_ ( + .A(\gpio_control_in_2[12].gpio_slow_sel ), + .X(mprj_io_slow_sel[31]) + ); + sky130_fd_sc_hd__buf_2 _39546_ ( + .A(\gpio_control_in_2[13].gpio_slow_sel ), + .X(mprj_io_slow_sel[32]) + ); + sky130_fd_sc_hd__buf_2 _39547_ ( + .A(\gpio_control_in_2[14].gpio_slow_sel ), + .X(mprj_io_slow_sel[33]) + ); + sky130_fd_sc_hd__buf_2 _39548_ ( + .A(\gpio_control_in_2[15].gpio_slow_sel ), + .X(mprj_io_slow_sel[34]) + ); + sky130_fd_sc_hd__buf_2 _39549_ ( + .A(\gpio_control_bidir_2[0].gpio_slow_sel ), + .X(mprj_io_slow_sel[35]) + ); + sky130_fd_sc_hd__buf_2 _39550_ ( + .A(\gpio_control_bidir_2[1].gpio_slow_sel ), + .X(mprj_io_slow_sel[36]) + ); + sky130_fd_sc_hd__buf_2 _39551_ ( + .A(\gpio_control_bidir_2[2].gpio_slow_sel ), + .X(mprj_io_slow_sel[37]) + ); + sky130_fd_sc_hd__buf_2 _39552_ ( + .A(\gpio_control_bidir_1[0].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[0]) + ); + sky130_fd_sc_hd__buf_2 _39553_ ( + .A(\gpio_control_bidir_1[1].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[1]) + ); + sky130_fd_sc_hd__buf_2 _39554_ ( + .A(\gpio_control_in_1a[0].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[2]) + ); + sky130_fd_sc_hd__buf_2 _39555_ ( + .A(\gpio_control_in_1a[1].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[3]) + ); + sky130_fd_sc_hd__buf_2 _39556_ ( + .A(\gpio_control_in_1a[2].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[4]) + ); + sky130_fd_sc_hd__buf_2 _39557_ ( + .A(\gpio_control_in_1a[3].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[5]) + ); + sky130_fd_sc_hd__buf_2 _39558_ ( + .A(\gpio_control_in_1a[4].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[6]) + ); + sky130_fd_sc_hd__buf_2 _39559_ ( + .A(\gpio_control_in_1a[5].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[7]) + ); + sky130_fd_sc_hd__buf_2 _39560_ ( + .A(\gpio_control_in_1[0].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[8]) + ); + sky130_fd_sc_hd__buf_2 _39561_ ( + .A(\gpio_control_in_1[1].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[9]) + ); + sky130_fd_sc_hd__buf_2 _39562_ ( + .A(\gpio_control_in_1[2].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[10]) + ); + sky130_fd_sc_hd__buf_2 _39563_ ( + .A(\gpio_control_in_1[3].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[11]) + ); + sky130_fd_sc_hd__buf_2 _39564_ ( + .A(\gpio_control_in_1[4].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[12]) + ); + sky130_fd_sc_hd__buf_2 _39565_ ( + .A(\gpio_control_in_1[5].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[13]) + ); + sky130_fd_sc_hd__buf_2 _39566_ ( + .A(\gpio_control_in_1[6].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[14]) + ); + sky130_fd_sc_hd__buf_2 _39567_ ( + .A(\gpio_control_in_1[7].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[15]) + ); + sky130_fd_sc_hd__buf_2 _39568_ ( + .A(\gpio_control_in_1[8].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[16]) + ); + sky130_fd_sc_hd__buf_2 _39569_ ( + .A(\gpio_control_in_1[9].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[17]) + ); + sky130_fd_sc_hd__buf_2 _39570_ ( + .A(\gpio_control_in_1[10].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[18]) + ); + sky130_fd_sc_hd__buf_2 _39571_ ( + .A(\gpio_control_in_2[0].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[19]) + ); + sky130_fd_sc_hd__buf_2 _39572_ ( + .A(\gpio_control_in_2[1].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[20]) + ); + sky130_fd_sc_hd__buf_2 _39573_ ( + .A(\gpio_control_in_2[2].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[21]) + ); + sky130_fd_sc_hd__buf_2 _39574_ ( + .A(\gpio_control_in_2[3].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[22]) + ); + sky130_fd_sc_hd__buf_2 _39575_ ( + .A(\gpio_control_in_2[4].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[23]) + ); + sky130_fd_sc_hd__buf_2 _39576_ ( + .A(\gpio_control_in_2[5].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[24]) + ); + sky130_fd_sc_hd__buf_2 _39577_ ( + .A(\gpio_control_in_2[6].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[25]) + ); + sky130_fd_sc_hd__buf_2 _39578_ ( + .A(\gpio_control_in_2[7].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[26]) + ); + sky130_fd_sc_hd__buf_2 _39579_ ( + .A(\gpio_control_in_2[8].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[27]) + ); + sky130_fd_sc_hd__buf_2 _39580_ ( + .A(\gpio_control_in_2[9].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[28]) + ); + sky130_fd_sc_hd__buf_2 _39581_ ( + .A(\gpio_control_in_2[10].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[29]) + ); + sky130_fd_sc_hd__buf_2 _39582_ ( + .A(\gpio_control_in_2[11].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[30]) + ); + sky130_fd_sc_hd__buf_2 _39583_ ( + .A(\gpio_control_in_2[12].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[31]) + ); + sky130_fd_sc_hd__buf_2 _39584_ ( + .A(\gpio_control_in_2[13].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[32]) + ); + sky130_fd_sc_hd__buf_2 _39585_ ( + .A(\gpio_control_in_2[14].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[33]) + ); + sky130_fd_sc_hd__buf_2 _39586_ ( + .A(\gpio_control_in_2[15].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[34]) + ); + sky130_fd_sc_hd__buf_2 _39587_ ( + .A(\gpio_control_bidir_2[0].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[35]) + ); + sky130_fd_sc_hd__buf_2 _39588_ ( + .A(\gpio_control_bidir_2[1].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[36]) + ); + sky130_fd_sc_hd__buf_2 _39589_ ( + .A(\gpio_control_bidir_2[2].gpio_vtrip_sel ), + .X(mprj_io_vtrip_sel[37]) + ); + caravel_clocking clock_ctrl ( + .core_clk(\mgmt_buffers.caravel_clk ), + .ext_clk(clock_core), + .ext_clk_sel(ext_clk_sel), + .ext_reset(ext_reset), + .pll_clk(pll_clk), + .pll_clk90(pll_clk90), + .porb(porb_l), + .resetb(\pll.resetb ), + .resetb_sync(\mgmt_buffers.caravel_rstn ), + .sel({ \spi_pll_sel[2] , \spi_pll_sel[1] , \spi_pll_sel[0] }), + .sel2({ \spi_pll90_sel[2] , \spi_pll90_sel[1] , \spi_pll90_sel[0] }), + .user_clk(\mgmt_buffers.caravel_clk2 ) + ); + empty_macro empty_macro_0 ( + ); + empty_macro empty_macro_1 ( + ); + mprj_io_buffer gpio_buf ( + .mgmt_gpio_in(mprj_io_in[37:19]), + .mgmt_gpio_in_buf({ \mgmt_gpio_in_buf[18] , \mgmt_gpio_in_buf[17] , \mgmt_gpio_in_buf[16] , \mgmt_gpio_in_buf[15] , \mgmt_gpio_in_buf[14] , \mgmt_gpio_in_buf[13] , \mgmt_gpio_in_buf[12] , \mgmt_gpio_in_buf[11] , \mgmt_gpio_in_buf[10] , \mgmt_gpio_in_buf[9] , \mgmt_gpio_in_buf[8] , \mgmt_gpio_in_buf[7] , \mgmt_gpio_in_buf[6] , \mgmt_gpio_in_buf[5] , \mgmt_gpio_in_buf[4] , \mgmt_gpio_in_buf[3] , \mgmt_gpio_in_buf[2] , \mgmt_gpio_in_buf[1] , \mgmt_gpio_in_buf[0] }), + .mgmt_gpio_oeb({ \mgmt_io_oeb_hk[37] , \mgmt_io_oeb_hk[36] , \mgmt_io_oeb_hk[35] }), + .mgmt_gpio_oeb_buf({ \mgmt_gpio_oeb_buf[2] , \mgmt_gpio_oeb_buf[1] , \mgmt_gpio_oeb_buf[0] }), + .mgmt_gpio_out({ \mgmt_io_out_hk[37] , \mgmt_io_out_hk[36] , \mgmt_io_out_hk[35] , \mgmt_io_out_hk[34] , \mgmt_io_out_hk[33] , \mgmt_io_out_hk[32] , \mgmt_io_out_hk[31] , \mgmt_io_out_hk[30] , \mgmt_io_out_hk[29] , \mgmt_io_out_hk[28] , \mgmt_io_out_hk[27] , \mgmt_io_out_hk[26] , \mgmt_io_out_hk[25] , \mgmt_io_out_hk[24] , \mgmt_io_out_hk[23] , \mgmt_io_out_hk[22] , \mgmt_io_out_hk[21] , \mgmt_io_out_hk[20] , \mgmt_io_out_hk[19] }), + .mgmt_gpio_out_buf({ \mgmt_gpio_out_buf[18] , \mgmt_gpio_out_buf[17] , \mgmt_gpio_out_buf[16] , \mgmt_gpio_out_buf[15] , \mgmt_gpio_out_buf[14] , \mgmt_gpio_out_buf[13] , \mgmt_gpio_out_buf[12] , \mgmt_gpio_out_buf[11] , \mgmt_gpio_out_buf[10] , \mgmt_gpio_out_buf[9] , \mgmt_gpio_out_buf[8] , \mgmt_gpio_out_buf[7] , \mgmt_gpio_out_buf[6] , \mgmt_gpio_out_buf[5] , \mgmt_gpio_out_buf[4] , \mgmt_gpio_out_buf[3] , \mgmt_gpio_out_buf[2] , \mgmt_gpio_out_buf[1] , \mgmt_gpio_out_buf[0] }) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_1[0].BUF[0] ( + .A(\gpio_control_bidir_1[0].serial_load ), + .X(\gpio_control_bidir_1[0].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_1[0].BUF[1] ( + .A(\gpio_control_bidir_1[0].resetn ), + .X(\gpio_control_bidir_1[0].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_1[0].BUF[2] ( + .A(\gpio_control_bidir_1[0].serial_clock ), + .X(\gpio_control_bidir_1[0].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_bidir_1[0].const_source ( + .HI(\gpio_control_bidir_1[0].one ), + .LO(\gpio_control_bidir_1[0].zero ) + ); + gpio_logic_high \gpio_control_bidir_1[0].gpio_logic_high ( + .gpio_logic1(\gpio_control_bidir_1[0].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_bidir_1[0].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_1[1].BUF[0] ( + .A(\gpio_control_bidir_1[0].serial_load_out ), + .X(\gpio_control_bidir_1[1].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_1[1].BUF[1] ( + .A(\gpio_control_bidir_1[0].resetn_out ), + .X(\gpio_control_bidir_1[1].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_1[1].BUF[2] ( + .A(\gpio_control_bidir_1[0].serial_clock_out ), + .X(\gpio_control_bidir_1[1].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_bidir_1[1].const_source ( + .HI(\gpio_control_bidir_1[1].one ), + .LO(\gpio_control_bidir_1[1].zero ) + ); + gpio_logic_high \gpio_control_bidir_1[1].gpio_logic_high ( + .gpio_logic1(\gpio_control_bidir_1[1].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_bidir_1[1].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[0].BUF[0] ( + .A(\gpio_control_bidir_2[0].serial_load ), + .X(\gpio_control_bidir_2[0].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[0].BUF[1] ( + .A(\gpio_control_bidir_2[0].resetn ), + .X(\gpio_control_bidir_2[0].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[0].BUF[2] ( + .A(\gpio_control_bidir_2[0].serial_clock ), + .X(\gpio_control_bidir_2[0].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_bidir_2[0].const_source ( + .HI(\gpio_control_bidir_2[0].one ), + .LO(\gpio_control_bidir_2[0].zero ) + ); + gpio_logic_high \gpio_control_bidir_2[0].gpio_logic_high ( + .gpio_logic1(\gpio_control_bidir_2[0].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_bidir_2[0].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[1].BUF[0] ( + .A(\gpio_control_bidir_2[1].serial_load ), + .X(\gpio_control_bidir_2[0].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[1].BUF[1] ( + .A(\gpio_control_bidir_2[1].resetn ), + .X(\gpio_control_bidir_2[0].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[1].BUF[2] ( + .A(\gpio_control_bidir_2[1].serial_clock ), + .X(\gpio_control_bidir_2[0].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_bidir_2[1].const_source ( + .HI(\gpio_control_bidir_2[1].one ), + .LO(\gpio_control_bidir_2[1].zero ) + ); + gpio_logic_high \gpio_control_bidir_2[1].gpio_logic_high ( + .gpio_logic1(\gpio_control_bidir_2[1].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_bidir_2[1].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[2].BUF[0] ( + .A(\gpio_control_bidir_1[0].serial_load ), + .X(\gpio_control_bidir_2[1].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[2].BUF[1] ( + .A(\gpio_control_bidir_1[0].resetn ), + .X(\gpio_control_bidir_2[1].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_bidir_2[2].BUF[2] ( + .A(\gpio_control_bidir_1[0].serial_clock ), + .X(\gpio_control_bidir_2[1].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_bidir_2[2].const_source ( + .HI(\gpio_control_bidir_2[2].one ), + .LO(\gpio_control_bidir_2[2].zero ) + ); + gpio_logic_high \gpio_control_bidir_2[2].gpio_logic_high ( + .gpio_logic1(\gpio_control_bidir_2[2].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_bidir_2[2].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[0].BUF[0] ( + .A(\gpio_control_in_1[0].serial_load ), + .X(\gpio_control_in_1[0].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[0].BUF[1] ( + .A(\gpio_control_in_1[0].resetn ), + .X(\gpio_control_in_1[0].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[0].BUF[2] ( + .A(\gpio_control_in_1[0].serial_clock ), + .X(\gpio_control_in_1[0].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[0].const_source ( + .HI(\gpio_control_in_1[0].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[0].zero ) + ); + gpio_logic_high \gpio_control_in_1[0].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[0].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[0].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[10].BUF[0] ( + .A(\gpio_control_in_1[10].serial_load ), + .X(\gpio_control_in_1[10].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[10].BUF[1] ( + .A(\gpio_control_in_1[10].resetn ), + .X(\gpio_control_in_1[10].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[10].BUF[2] ( + .A(\gpio_control_in_1[10].serial_clock ), + .X(\gpio_control_in_1[10].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[10].const_source ( + .HI(\gpio_control_in_1[10].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[10].zero ) + ); + gpio_logic_high \gpio_control_in_1[10].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[10].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[10].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[1].BUF[0] ( + .A(\gpio_control_in_1[0].serial_load_out ), + .X(\gpio_control_in_1[1].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[1].BUF[1] ( + .A(\gpio_control_in_1[0].resetn_out ), + .X(\gpio_control_in_1[1].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[1].BUF[2] ( + .A(\gpio_control_in_1[0].serial_clock_out ), + .X(\gpio_control_in_1[1].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[1].const_source ( + .HI(\gpio_control_in_1[1].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[1].zero ) + ); + gpio_logic_high \gpio_control_in_1[1].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[1].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[1].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[2].BUF[0] ( + .A(\gpio_control_in_1[1].serial_load_out ), + .X(\gpio_control_in_1[2].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[2].BUF[1] ( + .A(\gpio_control_in_1[1].resetn_out ), + .X(\gpio_control_in_1[2].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[2].BUF[2] ( + .A(\gpio_control_in_1[1].serial_clock_out ), + .X(\gpio_control_in_1[2].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[2].const_source ( + .HI(\gpio_control_in_1[2].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[2].zero ) + ); + gpio_logic_high \gpio_control_in_1[2].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[2].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[2].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[3].BUF[0] ( + .A(\gpio_control_in_1[2].serial_load_out ), + .X(\gpio_control_in_1[3].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[3].BUF[1] ( + .A(\gpio_control_in_1[2].resetn_out ), + .X(\gpio_control_in_1[3].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[3].BUF[2] ( + .A(\gpio_control_in_1[2].serial_clock_out ), + .X(\gpio_control_in_1[3].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[3].const_source ( + .HI(\gpio_control_in_1[3].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[3].zero ) + ); + gpio_logic_high \gpio_control_in_1[3].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[3].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[3].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[4].BUF[0] ( + .A(\gpio_control_in_1[3].serial_load_out ), + .X(\gpio_control_in_1[4].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[4].BUF[1] ( + .A(\gpio_control_in_1[3].resetn_out ), + .X(\gpio_control_in_1[4].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[4].BUF[2] ( + .A(\gpio_control_in_1[3].serial_clock_out ), + .X(\gpio_control_in_1[4].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[4].const_source ( + .HI(\gpio_control_in_1[4].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[4].zero ) + ); + gpio_logic_high \gpio_control_in_1[4].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[4].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[4].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[5].BUF[0] ( + .A(\gpio_control_in_1[4].serial_load_out ), + .X(\gpio_control_in_1[5].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[5].BUF[1] ( + .A(\gpio_control_in_1[4].resetn_out ), + .X(\gpio_control_in_1[5].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[5].BUF[2] ( + .A(\gpio_control_in_1[4].serial_clock_out ), + .X(\gpio_control_in_1[5].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[5].const_source ( + .HI(\gpio_control_in_1[5].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[5].zero ) + ); + gpio_logic_high \gpio_control_in_1[5].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[5].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[5].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[6].BUF[0] ( + .A(\gpio_control_in_1[5].serial_load_out ), + .X(\gpio_control_in_1[6].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[6].BUF[1] ( + .A(\gpio_control_in_1[5].resetn_out ), + .X(\gpio_control_in_1[6].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[6].BUF[2] ( + .A(\gpio_control_in_1[5].serial_clock_out ), + .X(\gpio_control_in_1[6].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[6].const_source ( + .HI(\gpio_control_in_1[6].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[6].zero ) + ); + gpio_logic_high \gpio_control_in_1[6].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[6].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[6].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[7].BUF[0] ( + .A(\gpio_control_in_1[6].serial_load_out ), + .X(\gpio_control_in_1[7].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[7].BUF[1] ( + .A(\gpio_control_in_1[6].resetn_out ), + .X(\gpio_control_in_1[7].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[7].BUF[2] ( + .A(\gpio_control_in_1[6].serial_clock_out ), + .X(\gpio_control_in_1[7].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[7].const_source ( + .HI(\gpio_control_in_1[7].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[7].zero ) + ); + gpio_logic_high \gpio_control_in_1[7].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[7].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[7].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[8].BUF[0] ( + .A(\gpio_control_in_1[7].serial_load_out ), + .X(\gpio_control_in_1[8].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[8].BUF[1] ( + .A(\gpio_control_in_1[7].resetn_out ), + .X(\gpio_control_in_1[8].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[8].BUF[2] ( + .A(\gpio_control_in_1[7].serial_clock_out ), + .X(\gpio_control_in_1[8].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[8].const_source ( + .HI(\gpio_control_in_1[8].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[8].zero ) + ); + gpio_logic_high \gpio_control_in_1[8].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[8].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[8].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[9].BUF[0] ( + .A(\gpio_control_in_1[8].serial_load_out ), + .X(\gpio_control_in_1[10].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[9].BUF[1] ( + .A(\gpio_control_in_1[8].resetn_out ), + .X(\gpio_control_in_1[10].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1[9].BUF[2] ( + .A(\gpio_control_in_1[8].serial_clock_out ), + .X(\gpio_control_in_1[10].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1[9].const_source ( + .HI(\gpio_control_in_1[9].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1[9].zero ) + ); + gpio_logic_high \gpio_control_in_1[9].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1[9].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1[9].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[0].BUF[0] ( + .A(\gpio_control_bidir_1[1].serial_load_out ), + .X(\gpio_control_in_1a[0].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[0].BUF[1] ( + .A(\gpio_control_bidir_1[1].resetn_out ), + .X(\gpio_control_in_1a[0].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[0].BUF[2] ( + .A(\gpio_control_bidir_1[1].serial_clock_out ), + .X(\gpio_control_in_1a[0].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1a[0].const_source ( + .HI(\gpio_control_in_1a[0].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1a[0].zero ) + ); + gpio_logic_high \gpio_control_in_1a[0].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1a[0].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1a[0].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[1].BUF[0] ( + .A(\gpio_control_in_1a[0].serial_load_out ), + .X(\gpio_control_in_1a[1].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[1].BUF[1] ( + .A(\gpio_control_in_1a[0].resetn_out ), + .X(\gpio_control_in_1a[1].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[1].BUF[2] ( + .A(\gpio_control_in_1a[0].serial_clock_out ), + .X(\gpio_control_in_1a[1].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1a[1].const_source ( + .HI(\gpio_control_in_1a[1].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1a[1].zero ) + ); + gpio_logic_high \gpio_control_in_1a[1].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1a[1].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1a[1].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[2].BUF[0] ( + .A(\gpio_control_in_1a[1].serial_load_out ), + .X(\gpio_control_in_1a[2].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[2].BUF[1] ( + .A(\gpio_control_in_1a[1].resetn_out ), + .X(\gpio_control_in_1a[2].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[2].BUF[2] ( + .A(\gpio_control_in_1a[1].serial_clock_out ), + .X(\gpio_control_in_1a[2].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1a[2].const_source ( + .HI(\gpio_control_in_1a[2].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1a[2].zero ) + ); + gpio_logic_high \gpio_control_in_1a[2].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1a[2].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1a[2].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[3].BUF[0] ( + .A(\gpio_control_in_1a[2].serial_load_out ), + .X(\gpio_control_in_1a[3].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[3].BUF[1] ( + .A(\gpio_control_in_1a[2].resetn_out ), + .X(\gpio_control_in_1a[3].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[3].BUF[2] ( + .A(\gpio_control_in_1a[2].serial_clock_out ), + .X(\gpio_control_in_1a[3].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1a[3].const_source ( + .HI(\gpio_control_in_1a[3].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1a[3].zero ) + ); + gpio_logic_high \gpio_control_in_1a[3].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1a[3].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1a[3].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[4].BUF[0] ( + .A(\gpio_control_in_1a[3].serial_load_out ), + .X(\gpio_control_in_1a[4].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[4].BUF[1] ( + .A(\gpio_control_in_1a[3].resetn_out ), + .X(\gpio_control_in_1a[4].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[4].BUF[2] ( + .A(\gpio_control_in_1a[3].serial_clock_out ), + .X(\gpio_control_in_1a[4].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1a[4].const_source ( + .HI(\gpio_control_in_1a[4].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1a[4].zero ) + ); + gpio_logic_high \gpio_control_in_1a[4].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1a[4].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1a[4].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[5].BUF[0] ( + .A(\gpio_control_in_1a[4].serial_load_out ), + .X(\gpio_control_in_1[0].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[5].BUF[1] ( + .A(\gpio_control_in_1a[4].resetn_out ), + .X(\gpio_control_in_1[0].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_1a[5].BUF[2] ( + .A(\gpio_control_in_1a[4].serial_clock_out ), + .X(\gpio_control_in_1[0].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_1a[5].const_source ( + .HI(\gpio_control_in_1a[5].mgmt_gpio_oeb ), + .LO(\gpio_control_in_1a[5].zero ) + ); + gpio_logic_high \gpio_control_in_1a[5].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_1a[5].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_1a[5].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[0].BUF[0] ( + .A(\gpio_control_in_2[0].serial_load ), + .X(\gpio_control_in_2[0].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[0].BUF[1] ( + .A(\gpio_control_in_2[0].resetn ), + .X(\gpio_control_in_2[0].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[0].BUF[2] ( + .A(\gpio_control_in_2[0].serial_clock ), + .X(\gpio_control_in_2[0].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[0].const_source ( + .HI(\gpio_control_in_2[0].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[0].zero ) + ); + gpio_logic_high \gpio_control_in_2[0].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[0].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[0].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[10].BUF[0] ( + .A(\gpio_control_in_2[10].serial_load ), + .X(\gpio_control_in_2[10].serial_load_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[10].BUF[1] ( + .A(\gpio_control_in_2[10].resetn ), + .X(\gpio_control_in_2[10].resetn_out ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[10].BUF[2] ( + .A(\gpio_control_in_2[10].serial_clock ), + .X(\gpio_control_in_2[10].serial_clock_out ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[10].const_source ( + .HI(\gpio_control_in_2[10].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[10].zero ) + ); + gpio_logic_high \gpio_control_in_2[10].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[10].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[10].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[11].BUF[0] ( + .A(\gpio_control_in_2[11].serial_load ), + .X(\gpio_control_in_2[10].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[11].BUF[1] ( + .A(\gpio_control_in_2[11].resetn ), + .X(\gpio_control_in_2[10].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[11].BUF[2] ( + .A(\gpio_control_in_2[11].serial_clock ), + .X(\gpio_control_in_2[10].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[11].const_source ( + .HI(\gpio_control_in_2[11].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[11].zero ) + ); + gpio_logic_high \gpio_control_in_2[11].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[11].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[11].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[12].BUF[0] ( + .A(\gpio_control_in_2[12].serial_load ), + .X(\gpio_control_in_2[11].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[12].BUF[1] ( + .A(\gpio_control_in_2[12].resetn ), + .X(\gpio_control_in_2[11].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[12].BUF[2] ( + .A(\gpio_control_in_2[12].serial_clock ), + .X(\gpio_control_in_2[11].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[12].const_source ( + .HI(\gpio_control_in_2[12].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[12].zero ) + ); + gpio_logic_high \gpio_control_in_2[12].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[12].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[12].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[13].BUF[0] ( + .A(\gpio_control_in_2[13].serial_load ), + .X(\gpio_control_in_2[12].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[13].BUF[1] ( + .A(\gpio_control_in_2[13].resetn ), + .X(\gpio_control_in_2[12].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[13].BUF[2] ( + .A(\gpio_control_in_2[13].serial_clock ), + .X(\gpio_control_in_2[12].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[13].const_source ( + .HI(\gpio_control_in_2[13].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[13].zero ) + ); + gpio_logic_high \gpio_control_in_2[13].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[13].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[13].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[14].BUF[0] ( + .A(\gpio_control_in_2[14].serial_load ), + .X(\gpio_control_in_2[13].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[14].BUF[1] ( + .A(\gpio_control_in_2[14].resetn ), + .X(\gpio_control_in_2[13].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[14].BUF[2] ( + .A(\gpio_control_in_2[14].serial_clock ), + .X(\gpio_control_in_2[13].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[14].const_source ( + .HI(\gpio_control_in_2[14].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[14].zero ) + ); + gpio_logic_high \gpio_control_in_2[14].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[14].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[14].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[15].BUF[0] ( + .A(\gpio_control_bidir_2[0].serial_load_out ), + .X(\gpio_control_in_2[14].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[15].BUF[1] ( + .A(\gpio_control_bidir_2[0].resetn_out ), + .X(\gpio_control_in_2[14].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[15].BUF[2] ( + .A(\gpio_control_bidir_2[0].serial_clock_out ), + .X(\gpio_control_in_2[14].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[15].const_source ( + .HI(\gpio_control_in_2[15].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[15].zero ) + ); + gpio_logic_high \gpio_control_in_2[15].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[15].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[15].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[1].BUF[0] ( + .A(\gpio_control_in_2[1].serial_load ), + .X(\gpio_control_in_2[0].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[1].BUF[1] ( + .A(\gpio_control_in_2[1].resetn ), + .X(\gpio_control_in_2[0].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[1].BUF[2] ( + .A(\gpio_control_in_2[1].serial_clock ), + .X(\gpio_control_in_2[0].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[1].const_source ( + .HI(\gpio_control_in_2[1].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[1].zero ) + ); + gpio_logic_high \gpio_control_in_2[1].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[1].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[1].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[2].BUF[0] ( + .A(\gpio_control_in_2[2].serial_load ), + .X(\gpio_control_in_2[1].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[2].BUF[1] ( + .A(\gpio_control_in_2[2].resetn ), + .X(\gpio_control_in_2[1].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[2].BUF[2] ( + .A(\gpio_control_in_2[2].serial_clock ), + .X(\gpio_control_in_2[1].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[2].const_source ( + .HI(\gpio_control_in_2[2].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[2].zero ) + ); + gpio_logic_high \gpio_control_in_2[2].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[2].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[2].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[3].BUF[0] ( + .A(\gpio_control_in_2[3].serial_load ), + .X(\gpio_control_in_2[2].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[3].BUF[1] ( + .A(\gpio_control_in_2[3].resetn ), + .X(\gpio_control_in_2[2].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[3].BUF[2] ( + .A(\gpio_control_in_2[3].serial_clock ), + .X(\gpio_control_in_2[2].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[3].const_source ( + .HI(\gpio_control_in_2[3].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[3].zero ) + ); + gpio_logic_high \gpio_control_in_2[3].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[3].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[3].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[4].BUF[0] ( + .A(\gpio_control_in_2[4].serial_load ), + .X(\gpio_control_in_2[3].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[4].BUF[1] ( + .A(\gpio_control_in_2[4].resetn ), + .X(\gpio_control_in_2[3].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[4].BUF[2] ( + .A(\gpio_control_in_2[4].serial_clock ), + .X(\gpio_control_in_2[3].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[4].const_source ( + .HI(\gpio_control_in_2[4].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[4].zero ) + ); + gpio_logic_high \gpio_control_in_2[4].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[4].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[4].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[5].BUF[0] ( + .A(\gpio_control_in_2[5].serial_load ), + .X(\gpio_control_in_2[4].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[5].BUF[1] ( + .A(\gpio_control_in_2[5].resetn ), + .X(\gpio_control_in_2[4].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[5].BUF[2] ( + .A(\gpio_control_in_2[5].serial_clock ), + .X(\gpio_control_in_2[4].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[5].const_source ( + .HI(\gpio_control_in_2[5].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[5].zero ) + ); + gpio_logic_high \gpio_control_in_2[5].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[5].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[5].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[6].BUF[0] ( + .A(\gpio_control_in_2[6].serial_load ), + .X(\gpio_control_in_2[5].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[6].BUF[1] ( + .A(\gpio_control_in_2[6].resetn ), + .X(\gpio_control_in_2[5].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[6].BUF[2] ( + .A(\gpio_control_in_2[6].serial_clock ), + .X(\gpio_control_in_2[5].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[6].const_source ( + .HI(\gpio_control_in_2[6].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[6].zero ) + ); + gpio_logic_high \gpio_control_in_2[6].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[6].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[6].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[7].BUF[0] ( + .A(\gpio_control_in_2[7].serial_load ), + .X(\gpio_control_in_2[6].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[7].BUF[1] ( + .A(\gpio_control_in_2[7].resetn ), + .X(\gpio_control_in_2[6].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[7].BUF[2] ( + .A(\gpio_control_in_2[7].serial_clock ), + .X(\gpio_control_in_2[6].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[7].const_source ( + .HI(\gpio_control_in_2[7].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[7].zero ) + ); + gpio_logic_high \gpio_control_in_2[7].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[7].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[7].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[8].BUF[0] ( + .A(\gpio_control_in_2[8].serial_load ), + .X(\gpio_control_in_2[7].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[8].BUF[1] ( + .A(\gpio_control_in_2[8].resetn ), + .X(\gpio_control_in_2[7].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[8].BUF[2] ( + .A(\gpio_control_in_2[8].serial_clock ), + .X(\gpio_control_in_2[7].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[8].const_source ( + .HI(\gpio_control_in_2[8].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[8].zero ) + ); + gpio_logic_high \gpio_control_in_2[8].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[8].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[8].spare_cell ( + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[9].BUF[0] ( + .A(\gpio_control_in_2[10].serial_load_out ), + .X(\gpio_control_in_2[8].serial_load ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[9].BUF[1] ( + .A(\gpio_control_in_2[10].resetn_out ), + .X(\gpio_control_in_2[8].resetn ) + ); + sky130_fd_sc_hd__clkbuf_8 \gpio_control_in_2[9].BUF[2] ( + .A(\gpio_control_in_2[10].serial_clock_out ), + .X(\gpio_control_in_2[8].serial_clock ) + ); + sky130_fd_sc_hd__conb_1 \gpio_control_in_2[9].const_source ( + .HI(\gpio_control_in_2[9].mgmt_gpio_oeb ), + .LO(\gpio_control_in_2[9].zero ) + ); + gpio_logic_high \gpio_control_in_2[9].gpio_logic_high ( + .gpio_logic1(\gpio_control_in_2[9].gpio_logic1 ) + ); + sky130_fd_sc_hd__macro_sparecell \gpio_control_in_2[9].spare_cell ( + ); + gpio_defaults_block gpio_defaults_block_0 ( + .gpio_defaults({ \gpio_control_bidir_1[0].gpio_defaults[12] , \gpio_control_bidir_1[0].gpio_defaults[11] , \gpio_control_bidir_1[0].gpio_defaults[10] , \gpio_control_bidir_1[0].gpio_defaults[9] , \gpio_control_bidir_1[0].gpio_defaults[8] , \gpio_control_bidir_1[0].gpio_defaults[7] , \gpio_control_bidir_1[0].gpio_defaults[6] , \gpio_control_bidir_1[0].gpio_defaults[5] , \gpio_control_bidir_1[0].gpio_defaults[4] , \gpio_control_bidir_1[0].gpio_defaults[3] , \gpio_control_bidir_1[0].gpio_defaults[2] , \gpio_control_bidir_1[0].gpio_defaults[1] , \gpio_control_bidir_1[0].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_1 ( + .gpio_defaults({ \gpio_control_bidir_1[1].gpio_defaults[12] , \gpio_control_bidir_1[1].gpio_defaults[11] , \gpio_control_bidir_1[1].gpio_defaults[10] , \gpio_control_bidir_1[1].gpio_defaults[9] , \gpio_control_bidir_1[1].gpio_defaults[8] , \gpio_control_bidir_1[1].gpio_defaults[7] , \gpio_control_bidir_1[1].gpio_defaults[6] , \gpio_control_bidir_1[1].gpio_defaults[5] , \gpio_control_bidir_1[1].gpio_defaults[4] , \gpio_control_bidir_1[1].gpio_defaults[3] , \gpio_control_bidir_1[1].gpio_defaults[2] , \gpio_control_bidir_1[1].gpio_defaults[1] , \gpio_control_bidir_1[1].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_10 ( + .gpio_defaults({ \gpio_control_in_1[2].gpio_defaults[12] , \gpio_control_in_1[2].gpio_defaults[11] , \gpio_control_in_1[2].gpio_defaults[10] , \gpio_control_in_1[2].gpio_defaults[9] , \gpio_control_in_1[2].gpio_defaults[8] , \gpio_control_in_1[2].gpio_defaults[7] , \gpio_control_in_1[2].gpio_defaults[6] , \gpio_control_in_1[2].gpio_defaults[5] , \gpio_control_in_1[2].gpio_defaults[4] , \gpio_control_in_1[2].gpio_defaults[3] , \gpio_control_in_1[2].gpio_defaults[2] , \gpio_control_in_1[2].gpio_defaults[1] , \gpio_control_in_1[2].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_11 ( + .gpio_defaults({ \gpio_control_in_1[3].gpio_defaults[12] , \gpio_control_in_1[3].gpio_defaults[11] , \gpio_control_in_1[3].gpio_defaults[10] , \gpio_control_in_1[3].gpio_defaults[9] , \gpio_control_in_1[3].gpio_defaults[8] , \gpio_control_in_1[3].gpio_defaults[7] , \gpio_control_in_1[3].gpio_defaults[6] , \gpio_control_in_1[3].gpio_defaults[5] , \gpio_control_in_1[3].gpio_defaults[4] , \gpio_control_in_1[3].gpio_defaults[3] , \gpio_control_in_1[3].gpio_defaults[2] , \gpio_control_in_1[3].gpio_defaults[1] , \gpio_control_in_1[3].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_12 ( + .gpio_defaults({ \gpio_control_in_1[4].gpio_defaults[12] , \gpio_control_in_1[4].gpio_defaults[11] , \gpio_control_in_1[4].gpio_defaults[10] , \gpio_control_in_1[4].gpio_defaults[9] , \gpio_control_in_1[4].gpio_defaults[8] , \gpio_control_in_1[4].gpio_defaults[7] , \gpio_control_in_1[4].gpio_defaults[6] , \gpio_control_in_1[4].gpio_defaults[5] , \gpio_control_in_1[4].gpio_defaults[4] , \gpio_control_in_1[4].gpio_defaults[3] , \gpio_control_in_1[4].gpio_defaults[2] , \gpio_control_in_1[4].gpio_defaults[1] , \gpio_control_in_1[4].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_13 ( + .gpio_defaults({ \gpio_control_in_1[5].gpio_defaults[12] , \gpio_control_in_1[5].gpio_defaults[11] , \gpio_control_in_1[5].gpio_defaults[10] , \gpio_control_in_1[5].gpio_defaults[9] , \gpio_control_in_1[5].gpio_defaults[8] , \gpio_control_in_1[5].gpio_defaults[7] , \gpio_control_in_1[5].gpio_defaults[6] , \gpio_control_in_1[5].gpio_defaults[5] , \gpio_control_in_1[5].gpio_defaults[4] , \gpio_control_in_1[5].gpio_defaults[3] , \gpio_control_in_1[5].gpio_defaults[2] , \gpio_control_in_1[5].gpio_defaults[1] , \gpio_control_in_1[5].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_14 ( + .gpio_defaults({ \gpio_control_in_1[6].gpio_defaults[12] , \gpio_control_in_1[6].gpio_defaults[11] , \gpio_control_in_1[6].gpio_defaults[10] , \gpio_control_in_1[6].gpio_defaults[9] , \gpio_control_in_1[6].gpio_defaults[8] , \gpio_control_in_1[6].gpio_defaults[7] , \gpio_control_in_1[6].gpio_defaults[6] , \gpio_control_in_1[6].gpio_defaults[5] , \gpio_control_in_1[6].gpio_defaults[4] , \gpio_control_in_1[6].gpio_defaults[3] , \gpio_control_in_1[6].gpio_defaults[2] , \gpio_control_in_1[6].gpio_defaults[1] , \gpio_control_in_1[6].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_15 ( + .gpio_defaults({ \gpio_control_in_1[7].gpio_defaults[12] , \gpio_control_in_1[7].gpio_defaults[11] , \gpio_control_in_1[7].gpio_defaults[10] , \gpio_control_in_1[7].gpio_defaults[9] , \gpio_control_in_1[7].gpio_defaults[8] , \gpio_control_in_1[7].gpio_defaults[7] , \gpio_control_in_1[7].gpio_defaults[6] , \gpio_control_in_1[7].gpio_defaults[5] , \gpio_control_in_1[7].gpio_defaults[4] , \gpio_control_in_1[7].gpio_defaults[3] , \gpio_control_in_1[7].gpio_defaults[2] , \gpio_control_in_1[7].gpio_defaults[1] , \gpio_control_in_1[7].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_16 ( + .gpio_defaults({ \gpio_control_in_1[8].gpio_defaults[12] , \gpio_control_in_1[8].gpio_defaults[11] , \gpio_control_in_1[8].gpio_defaults[10] , \gpio_control_in_1[8].gpio_defaults[9] , \gpio_control_in_1[8].gpio_defaults[8] , \gpio_control_in_1[8].gpio_defaults[7] , \gpio_control_in_1[8].gpio_defaults[6] , \gpio_control_in_1[8].gpio_defaults[5] , \gpio_control_in_1[8].gpio_defaults[4] , \gpio_control_in_1[8].gpio_defaults[3] , \gpio_control_in_1[8].gpio_defaults[2] , \gpio_control_in_1[8].gpio_defaults[1] , \gpio_control_in_1[8].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_17 ( + .gpio_defaults({ \gpio_control_in_1[9].gpio_defaults[12] , \gpio_control_in_1[9].gpio_defaults[11] , \gpio_control_in_1[9].gpio_defaults[10] , \gpio_control_in_1[9].gpio_defaults[9] , \gpio_control_in_1[9].gpio_defaults[8] , \gpio_control_in_1[9].gpio_defaults[7] , \gpio_control_in_1[9].gpio_defaults[6] , \gpio_control_in_1[9].gpio_defaults[5] , \gpio_control_in_1[9].gpio_defaults[4] , \gpio_control_in_1[9].gpio_defaults[3] , \gpio_control_in_1[9].gpio_defaults[2] , \gpio_control_in_1[9].gpio_defaults[1] , \gpio_control_in_1[9].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_18 ( + .gpio_defaults({ \gpio_control_in_1[10].gpio_defaults[12] , \gpio_control_in_1[10].gpio_defaults[11] , \gpio_control_in_1[10].gpio_defaults[10] , \gpio_control_in_1[10].gpio_defaults[9] , \gpio_control_in_1[10].gpio_defaults[8] , \gpio_control_in_1[10].gpio_defaults[7] , \gpio_control_in_1[10].gpio_defaults[6] , \gpio_control_in_1[10].gpio_defaults[5] , \gpio_control_in_1[10].gpio_defaults[4] , \gpio_control_in_1[10].gpio_defaults[3] , \gpio_control_in_1[10].gpio_defaults[2] , \gpio_control_in_1[10].gpio_defaults[1] , \gpio_control_in_1[10].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_19 ( + .gpio_defaults({ \gpio_control_in_2[0].gpio_defaults[12] , \gpio_control_in_2[0].gpio_defaults[11] , \gpio_control_in_2[0].gpio_defaults[10] , \gpio_control_in_2[0].gpio_defaults[9] , \gpio_control_in_2[0].gpio_defaults[8] , \gpio_control_in_2[0].gpio_defaults[7] , \gpio_control_in_2[0].gpio_defaults[6] , \gpio_control_in_2[0].gpio_defaults[5] , \gpio_control_in_2[0].gpio_defaults[4] , \gpio_control_in_2[0].gpio_defaults[3] , \gpio_control_in_2[0].gpio_defaults[2] , \gpio_control_in_2[0].gpio_defaults[1] , \gpio_control_in_2[0].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_2 ( + .gpio_defaults({ \gpio_control_in_1a[0].gpio_defaults[12] , \gpio_control_in_1a[0].gpio_defaults[11] , \gpio_control_in_1a[0].gpio_defaults[10] , \gpio_control_in_1a[0].gpio_defaults[9] , \gpio_control_in_1a[0].gpio_defaults[8] , \gpio_control_in_1a[0].gpio_defaults[7] , \gpio_control_in_1a[0].gpio_defaults[6] , \gpio_control_in_1a[0].gpio_defaults[5] , \gpio_control_in_1a[0].gpio_defaults[4] , \gpio_control_in_1a[0].gpio_defaults[3] , \gpio_control_in_1a[0].gpio_defaults[2] , \gpio_control_in_1a[0].gpio_defaults[1] , \gpio_control_in_1a[0].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_20 ( + .gpio_defaults({ \gpio_control_in_2[1].gpio_defaults[12] , \gpio_control_in_2[1].gpio_defaults[11] , \gpio_control_in_2[1].gpio_defaults[10] , \gpio_control_in_2[1].gpio_defaults[9] , \gpio_control_in_2[1].gpio_defaults[8] , \gpio_control_in_2[1].gpio_defaults[7] , \gpio_control_in_2[1].gpio_defaults[6] , \gpio_control_in_2[1].gpio_defaults[5] , \gpio_control_in_2[1].gpio_defaults[4] , \gpio_control_in_2[1].gpio_defaults[3] , \gpio_control_in_2[1].gpio_defaults[2] , \gpio_control_in_2[1].gpio_defaults[1] , \gpio_control_in_2[1].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_21 ( + .gpio_defaults({ \gpio_control_in_2[2].gpio_defaults[12] , \gpio_control_in_2[2].gpio_defaults[11] , \gpio_control_in_2[2].gpio_defaults[10] , \gpio_control_in_2[2].gpio_defaults[9] , \gpio_control_in_2[2].gpio_defaults[8] , \gpio_control_in_2[2].gpio_defaults[7] , \gpio_control_in_2[2].gpio_defaults[6] , \gpio_control_in_2[2].gpio_defaults[5] , \gpio_control_in_2[2].gpio_defaults[4] , \gpio_control_in_2[2].gpio_defaults[3] , \gpio_control_in_2[2].gpio_defaults[2] , \gpio_control_in_2[2].gpio_defaults[1] , \gpio_control_in_2[2].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_22 ( + .gpio_defaults({ \gpio_control_in_2[3].gpio_defaults[12] , \gpio_control_in_2[3].gpio_defaults[11] , \gpio_control_in_2[3].gpio_defaults[10] , \gpio_control_in_2[3].gpio_defaults[9] , \gpio_control_in_2[3].gpio_defaults[8] , \gpio_control_in_2[3].gpio_defaults[7] , \gpio_control_in_2[3].gpio_defaults[6] , \gpio_control_in_2[3].gpio_defaults[5] , \gpio_control_in_2[3].gpio_defaults[4] , \gpio_control_in_2[3].gpio_defaults[3] , \gpio_control_in_2[3].gpio_defaults[2] , \gpio_control_in_2[3].gpio_defaults[1] , \gpio_control_in_2[3].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_23 ( + .gpio_defaults({ \gpio_control_in_2[4].gpio_defaults[12] , \gpio_control_in_2[4].gpio_defaults[11] , \gpio_control_in_2[4].gpio_defaults[10] , \gpio_control_in_2[4].gpio_defaults[9] , \gpio_control_in_2[4].gpio_defaults[8] , \gpio_control_in_2[4].gpio_defaults[7] , \gpio_control_in_2[4].gpio_defaults[6] , \gpio_control_in_2[4].gpio_defaults[5] , \gpio_control_in_2[4].gpio_defaults[4] , \gpio_control_in_2[4].gpio_defaults[3] , \gpio_control_in_2[4].gpio_defaults[2] , \gpio_control_in_2[4].gpio_defaults[1] , \gpio_control_in_2[4].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_24 ( + .gpio_defaults({ \gpio_control_in_2[5].gpio_defaults[12] , \gpio_control_in_2[5].gpio_defaults[11] , \gpio_control_in_2[5].gpio_defaults[10] , \gpio_control_in_2[5].gpio_defaults[9] , \gpio_control_in_2[5].gpio_defaults[8] , \gpio_control_in_2[5].gpio_defaults[7] , \gpio_control_in_2[5].gpio_defaults[6] , \gpio_control_in_2[5].gpio_defaults[5] , \gpio_control_in_2[5].gpio_defaults[4] , \gpio_control_in_2[5].gpio_defaults[3] , \gpio_control_in_2[5].gpio_defaults[2] , \gpio_control_in_2[5].gpio_defaults[1] , \gpio_control_in_2[5].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_25 ( + .gpio_defaults({ \gpio_control_in_2[6].gpio_defaults[12] , \gpio_control_in_2[6].gpio_defaults[11] , \gpio_control_in_2[6].gpio_defaults[10] , \gpio_control_in_2[6].gpio_defaults[9] , \gpio_control_in_2[6].gpio_defaults[8] , \gpio_control_in_2[6].gpio_defaults[7] , \gpio_control_in_2[6].gpio_defaults[6] , \gpio_control_in_2[6].gpio_defaults[5] , \gpio_control_in_2[6].gpio_defaults[4] , \gpio_control_in_2[6].gpio_defaults[3] , \gpio_control_in_2[6].gpio_defaults[2] , \gpio_control_in_2[6].gpio_defaults[1] , \gpio_control_in_2[6].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_26 ( + .gpio_defaults({ \gpio_control_in_2[7].gpio_defaults[12] , \gpio_control_in_2[7].gpio_defaults[11] , \gpio_control_in_2[7].gpio_defaults[10] , \gpio_control_in_2[7].gpio_defaults[9] , \gpio_control_in_2[7].gpio_defaults[8] , \gpio_control_in_2[7].gpio_defaults[7] , \gpio_control_in_2[7].gpio_defaults[6] , \gpio_control_in_2[7].gpio_defaults[5] , \gpio_control_in_2[7].gpio_defaults[4] , \gpio_control_in_2[7].gpio_defaults[3] , \gpio_control_in_2[7].gpio_defaults[2] , \gpio_control_in_2[7].gpio_defaults[1] , \gpio_control_in_2[7].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_27 ( + .gpio_defaults({ \gpio_control_in_2[8].gpio_defaults[12] , \gpio_control_in_2[8].gpio_defaults[11] , \gpio_control_in_2[8].gpio_defaults[10] , \gpio_control_in_2[8].gpio_defaults[9] , \gpio_control_in_2[8].gpio_defaults[8] , \gpio_control_in_2[8].gpio_defaults[7] , \gpio_control_in_2[8].gpio_defaults[6] , \gpio_control_in_2[8].gpio_defaults[5] , \gpio_control_in_2[8].gpio_defaults[4] , \gpio_control_in_2[8].gpio_defaults[3] , \gpio_control_in_2[8].gpio_defaults[2] , \gpio_control_in_2[8].gpio_defaults[1] , \gpio_control_in_2[8].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_28 ( + .gpio_defaults({ \gpio_control_in_2[9].gpio_defaults[12] , \gpio_control_in_2[9].gpio_defaults[11] , \gpio_control_in_2[9].gpio_defaults[10] , \gpio_control_in_2[9].gpio_defaults[9] , \gpio_control_in_2[9].gpio_defaults[8] , \gpio_control_in_2[9].gpio_defaults[7] , \gpio_control_in_2[9].gpio_defaults[6] , \gpio_control_in_2[9].gpio_defaults[5] , \gpio_control_in_2[9].gpio_defaults[4] , \gpio_control_in_2[9].gpio_defaults[3] , \gpio_control_in_2[9].gpio_defaults[2] , \gpio_control_in_2[9].gpio_defaults[1] , \gpio_control_in_2[9].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_29 ( + .gpio_defaults({ \gpio_control_in_2[10].gpio_defaults[12] , \gpio_control_in_2[10].gpio_defaults[11] , \gpio_control_in_2[10].gpio_defaults[10] , \gpio_control_in_2[10].gpio_defaults[9] , \gpio_control_in_2[10].gpio_defaults[8] , \gpio_control_in_2[10].gpio_defaults[7] , \gpio_control_in_2[10].gpio_defaults[6] , \gpio_control_in_2[10].gpio_defaults[5] , \gpio_control_in_2[10].gpio_defaults[4] , \gpio_control_in_2[10].gpio_defaults[3] , \gpio_control_in_2[10].gpio_defaults[2] , \gpio_control_in_2[10].gpio_defaults[1] , \gpio_control_in_2[10].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_3 ( + .gpio_defaults({ \gpio_control_in_1a[1].gpio_defaults[12] , \gpio_control_in_1a[1].gpio_defaults[11] , \gpio_control_in_1a[1].gpio_defaults[10] , \gpio_control_in_1a[1].gpio_defaults[9] , \gpio_control_in_1a[1].gpio_defaults[8] , \gpio_control_in_1a[1].gpio_defaults[7] , \gpio_control_in_1a[1].gpio_defaults[6] , \gpio_control_in_1a[1].gpio_defaults[5] , \gpio_control_in_1a[1].gpio_defaults[4] , \gpio_control_in_1a[1].gpio_defaults[3] , \gpio_control_in_1a[1].gpio_defaults[2] , \gpio_control_in_1a[1].gpio_defaults[1] , \gpio_control_in_1a[1].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_30 ( + .gpio_defaults({ \gpio_control_in_2[11].gpio_defaults[12] , \gpio_control_in_2[11].gpio_defaults[11] , \gpio_control_in_2[11].gpio_defaults[10] , \gpio_control_in_2[11].gpio_defaults[9] , \gpio_control_in_2[11].gpio_defaults[8] , \gpio_control_in_2[11].gpio_defaults[7] , \gpio_control_in_2[11].gpio_defaults[6] , \gpio_control_in_2[11].gpio_defaults[5] , \gpio_control_in_2[11].gpio_defaults[4] , \gpio_control_in_2[11].gpio_defaults[3] , \gpio_control_in_2[11].gpio_defaults[2] , \gpio_control_in_2[11].gpio_defaults[1] , \gpio_control_in_2[11].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_31 ( + .gpio_defaults({ \gpio_control_in_2[12].gpio_defaults[12] , \gpio_control_in_2[12].gpio_defaults[11] , \gpio_control_in_2[12].gpio_defaults[10] , \gpio_control_in_2[12].gpio_defaults[9] , \gpio_control_in_2[12].gpio_defaults[8] , \gpio_control_in_2[12].gpio_defaults[7] , \gpio_control_in_2[12].gpio_defaults[6] , \gpio_control_in_2[12].gpio_defaults[5] , \gpio_control_in_2[12].gpio_defaults[4] , \gpio_control_in_2[12].gpio_defaults[3] , \gpio_control_in_2[12].gpio_defaults[2] , \gpio_control_in_2[12].gpio_defaults[1] , \gpio_control_in_2[12].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_32 ( + .gpio_defaults({ \gpio_control_in_2[13].gpio_defaults[12] , \gpio_control_in_2[13].gpio_defaults[11] , \gpio_control_in_2[13].gpio_defaults[10] , \gpio_control_in_2[13].gpio_defaults[9] , \gpio_control_in_2[13].gpio_defaults[8] , \gpio_control_in_2[13].gpio_defaults[7] , \gpio_control_in_2[13].gpio_defaults[6] , \gpio_control_in_2[13].gpio_defaults[5] , \gpio_control_in_2[13].gpio_defaults[4] , \gpio_control_in_2[13].gpio_defaults[3] , \gpio_control_in_2[13].gpio_defaults[2] , \gpio_control_in_2[13].gpio_defaults[1] , \gpio_control_in_2[13].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_33 ( + .gpio_defaults({ \gpio_control_in_2[14].gpio_defaults[12] , \gpio_control_in_2[14].gpio_defaults[11] , \gpio_control_in_2[14].gpio_defaults[10] , \gpio_control_in_2[14].gpio_defaults[9] , \gpio_control_in_2[14].gpio_defaults[8] , \gpio_control_in_2[14].gpio_defaults[7] , \gpio_control_in_2[14].gpio_defaults[6] , \gpio_control_in_2[14].gpio_defaults[5] , \gpio_control_in_2[14].gpio_defaults[4] , \gpio_control_in_2[14].gpio_defaults[3] , \gpio_control_in_2[14].gpio_defaults[2] , \gpio_control_in_2[14].gpio_defaults[1] , \gpio_control_in_2[14].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_34 ( + .gpio_defaults({ \gpio_control_in_2[15].gpio_defaults[12] , \gpio_control_in_2[15].gpio_defaults[11] , \gpio_control_in_2[15].gpio_defaults[10] , \gpio_control_in_2[15].gpio_defaults[9] , \gpio_control_in_2[15].gpio_defaults[8] , \gpio_control_in_2[15].gpio_defaults[7] , \gpio_control_in_2[15].gpio_defaults[6] , \gpio_control_in_2[15].gpio_defaults[5] , \gpio_control_in_2[15].gpio_defaults[4] , \gpio_control_in_2[15].gpio_defaults[3] , \gpio_control_in_2[15].gpio_defaults[2] , \gpio_control_in_2[15].gpio_defaults[1] , \gpio_control_in_2[15].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_35 ( + .gpio_defaults({ \gpio_control_bidir_2[0].gpio_defaults[12] , \gpio_control_bidir_2[0].gpio_defaults[11] , \gpio_control_bidir_2[0].gpio_defaults[10] , \gpio_control_bidir_2[0].gpio_defaults[9] , \gpio_control_bidir_2[0].gpio_defaults[8] , \gpio_control_bidir_2[0].gpio_defaults[7] , \gpio_control_bidir_2[0].gpio_defaults[6] , \gpio_control_bidir_2[0].gpio_defaults[5] , \gpio_control_bidir_2[0].gpio_defaults[4] , \gpio_control_bidir_2[0].gpio_defaults[3] , \gpio_control_bidir_2[0].gpio_defaults[2] , \gpio_control_bidir_2[0].gpio_defaults[1] , \gpio_control_bidir_2[0].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_36 ( + .gpio_defaults({ \gpio_control_bidir_2[1].gpio_defaults[12] , \gpio_control_bidir_2[1].gpio_defaults[11] , \gpio_control_bidir_2[1].gpio_defaults[10] , \gpio_control_bidir_2[1].gpio_defaults[9] , \gpio_control_bidir_2[1].gpio_defaults[8] , \gpio_control_bidir_2[1].gpio_defaults[7] , \gpio_control_bidir_2[1].gpio_defaults[6] , \gpio_control_bidir_2[1].gpio_defaults[5] , \gpio_control_bidir_2[1].gpio_defaults[4] , \gpio_control_bidir_2[1].gpio_defaults[3] , \gpio_control_bidir_2[1].gpio_defaults[2] , \gpio_control_bidir_2[1].gpio_defaults[1] , \gpio_control_bidir_2[1].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_37 ( + .gpio_defaults({ \gpio_control_bidir_2[2].gpio_defaults[12] , \gpio_control_bidir_2[2].gpio_defaults[11] , \gpio_control_bidir_2[2].gpio_defaults[10] , \gpio_control_bidir_2[2].gpio_defaults[9] , \gpio_control_bidir_2[2].gpio_defaults[8] , \gpio_control_bidir_2[2].gpio_defaults[7] , \gpio_control_bidir_2[2].gpio_defaults[6] , \gpio_control_bidir_2[2].gpio_defaults[5] , \gpio_control_bidir_2[2].gpio_defaults[4] , \gpio_control_bidir_2[2].gpio_defaults[3] , \gpio_control_bidir_2[2].gpio_defaults[2] , \gpio_control_bidir_2[2].gpio_defaults[1] , \gpio_control_bidir_2[2].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_4 ( + .gpio_defaults({ \gpio_control_in_1a[2].gpio_defaults[12] , \gpio_control_in_1a[2].gpio_defaults[11] , \gpio_control_in_1a[2].gpio_defaults[10] , \gpio_control_in_1a[2].gpio_defaults[9] , \gpio_control_in_1a[2].gpio_defaults[8] , \gpio_control_in_1a[2].gpio_defaults[7] , \gpio_control_in_1a[2].gpio_defaults[6] , \gpio_control_in_1a[2].gpio_defaults[5] , \gpio_control_in_1a[2].gpio_defaults[4] , \gpio_control_in_1a[2].gpio_defaults[3] , \gpio_control_in_1a[2].gpio_defaults[2] , \gpio_control_in_1a[2].gpio_defaults[1] , \gpio_control_in_1a[2].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_5 ( + .gpio_defaults({ \gpio_control_in_1a[3].gpio_defaults[12] , \gpio_control_in_1a[3].gpio_defaults[11] , \gpio_control_in_1a[3].gpio_defaults[10] , \gpio_control_in_1a[3].gpio_defaults[9] , \gpio_control_in_1a[3].gpio_defaults[8] , \gpio_control_in_1a[3].gpio_defaults[7] , \gpio_control_in_1a[3].gpio_defaults[6] , \gpio_control_in_1a[3].gpio_defaults[5] , \gpio_control_in_1a[3].gpio_defaults[4] , \gpio_control_in_1a[3].gpio_defaults[3] , \gpio_control_in_1a[3].gpio_defaults[2] , \gpio_control_in_1a[3].gpio_defaults[1] , \gpio_control_in_1a[3].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_6 ( + .gpio_defaults({ \gpio_control_in_1a[4].gpio_defaults[12] , \gpio_control_in_1a[4].gpio_defaults[11] , \gpio_control_in_1a[4].gpio_defaults[10] , \gpio_control_in_1a[4].gpio_defaults[9] , \gpio_control_in_1a[4].gpio_defaults[8] , \gpio_control_in_1a[4].gpio_defaults[7] , \gpio_control_in_1a[4].gpio_defaults[6] , \gpio_control_in_1a[4].gpio_defaults[5] , \gpio_control_in_1a[4].gpio_defaults[4] , \gpio_control_in_1a[4].gpio_defaults[3] , \gpio_control_in_1a[4].gpio_defaults[2] , \gpio_control_in_1a[4].gpio_defaults[1] , \gpio_control_in_1a[4].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_7 ( + .gpio_defaults({ \gpio_control_in_1a[5].gpio_defaults[12] , \gpio_control_in_1a[5].gpio_defaults[11] , \gpio_control_in_1a[5].gpio_defaults[10] , \gpio_control_in_1a[5].gpio_defaults[9] , \gpio_control_in_1a[5].gpio_defaults[8] , \gpio_control_in_1a[5].gpio_defaults[7] , \gpio_control_in_1a[5].gpio_defaults[6] , \gpio_control_in_1a[5].gpio_defaults[5] , \gpio_control_in_1a[5].gpio_defaults[4] , \gpio_control_in_1a[5].gpio_defaults[3] , \gpio_control_in_1a[5].gpio_defaults[2] , \gpio_control_in_1a[5].gpio_defaults[1] , \gpio_control_in_1a[5].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_8 ( + .gpio_defaults({ \gpio_control_in_1[0].gpio_defaults[12] , \gpio_control_in_1[0].gpio_defaults[11] , \gpio_control_in_1[0].gpio_defaults[10] , \gpio_control_in_1[0].gpio_defaults[9] , \gpio_control_in_1[0].gpio_defaults[8] , \gpio_control_in_1[0].gpio_defaults[7] , \gpio_control_in_1[0].gpio_defaults[6] , \gpio_control_in_1[0].gpio_defaults[5] , \gpio_control_in_1[0].gpio_defaults[4] , \gpio_control_in_1[0].gpio_defaults[3] , \gpio_control_in_1[0].gpio_defaults[2] , \gpio_control_in_1[0].gpio_defaults[1] , \gpio_control_in_1[0].gpio_defaults[0] }) + ); + gpio_defaults_block gpio_defaults_block_9 ( + .gpio_defaults({ \gpio_control_in_1[1].gpio_defaults[12] , \gpio_control_in_1[1].gpio_defaults[11] , \gpio_control_in_1[1].gpio_defaults[10] , \gpio_control_in_1[1].gpio_defaults[9] , \gpio_control_in_1[1].gpio_defaults[8] , \gpio_control_in_1[1].gpio_defaults[7] , \gpio_control_in_1[1].gpio_defaults[6] , \gpio_control_in_1[1].gpio_defaults[5] , \gpio_control_in_1[1].gpio_defaults[4] , \gpio_control_in_1[1].gpio_defaults[3] , \gpio_control_in_1[1].gpio_defaults[2] , \gpio_control_in_1[1].gpio_defaults[1] , \gpio_control_in_1[1].gpio_defaults[0] }) + ); + housekeeping housekeeping ( + .debug_in(\soc.core.debug_in ), + .debug_mode(\soc.core.debug_mode_storage ), + .debug_oeb(\soc.core.debug_oeb_storage ), + .debug_out(_16403_), + .irq({ \irq_spi[2] , \irq_spi[1] , \irq_spi[0] }), + .mask_rev_in({ \user_id_value.mask_rev[31] , \user_id_value.mask_rev[30] , \user_id_value.mask_rev[29] , \user_id_value.mask_rev[28] , \user_id_value.mask_rev[27] , \user_id_value.mask_rev[26] , \user_id_value.mask_rev[25] , \user_id_value.mask_rev[24] , \user_id_value.mask_rev[23] , \user_id_value.mask_rev[22] , \user_id_value.mask_rev[21] , \user_id_value.mask_rev[20] , \user_id_value.mask_rev[19] , \user_id_value.mask_rev[18] , \user_id_value.mask_rev[17] , \user_id_value.mask_rev[16] , \user_id_value.mask_rev[15] , \user_id_value.mask_rev[14] , \user_id_value.mask_rev[13] , \user_id_value.mask_rev[12] , \user_id_value.mask_rev[11] , \user_id_value.mask_rev[10] , \user_id_value.mask_rev[9] , \user_id_value.mask_rev[8] , \user_id_value.mask_rev[7] , \user_id_value.mask_rev[6] , \user_id_value.mask_rev[5] , \user_id_value.mask_rev[4] , \user_id_value.mask_rev[3] , \user_id_value.mask_rev[2] , \user_id_value.mask_rev[1] , \user_id_value.mask_rev[0] }), + .mgmt_gpio_in({ \mgmt_gpio_in_buf[18] , \mgmt_gpio_in_buf[17] , \mgmt_gpio_in_buf[16] , \mgmt_gpio_in_buf[15] , \mgmt_gpio_in_buf[14] , \mgmt_gpio_in_buf[13] , \mgmt_gpio_in_buf[12] , \mgmt_gpio_in_buf[11] , \mgmt_gpio_in_buf[10] , \mgmt_gpio_in_buf[9] , \mgmt_gpio_in_buf[8] , \mgmt_gpio_in_buf[7] , \mgmt_gpio_in_buf[6] , \mgmt_gpio_in_buf[5] , \mgmt_gpio_in_buf[4] , \mgmt_gpio_in_buf[3] , \mgmt_gpio_in_buf[2] , \mgmt_gpio_in_buf[1] , \mgmt_gpio_in_buf[0] , mprj_io_in[18:0] }), + .mgmt_gpio_oeb({ \mgmt_io_oeb_hk[37] , \mgmt_io_oeb_hk[36] , \mgmt_io_oeb_hk[35] , \mgmt_io_oeb_hk[34] , \mgmt_io_oeb_hk[33] , \mgmt_io_oeb_hk[32] , \mgmt_io_oeb_hk[31] , \mgmt_io_oeb_hk[30] , \mgmt_io_oeb_hk[29] , \mgmt_io_oeb_hk[28] , \mgmt_io_oeb_hk[27] , \mgmt_io_oeb_hk[26] , \mgmt_io_oeb_hk[25] , \mgmt_io_oeb_hk[24] , \mgmt_io_oeb_hk[23] , \mgmt_io_oeb_hk[22] , \mgmt_io_oeb_hk[21] , \mgmt_io_oeb_hk[20] , \mgmt_io_oeb_hk[19] , \mgmt_io_oeb_hk[18] , \mgmt_io_oeb_hk[17] , \mgmt_io_oeb_hk[16] , \mgmt_io_oeb_hk[15] , \mgmt_io_oeb_hk[14] , \mgmt_io_oeb_hk[13] , \mgmt_io_oeb_hk[12] , \mgmt_io_oeb_hk[11] , \mgmt_io_oeb_hk[10] , \mgmt_io_oeb_hk[9] , \mgmt_io_oeb_hk[8] , \mgmt_io_oeb_hk[7] , \mgmt_io_oeb_hk[6] , \mgmt_io_oeb_hk[5] , \mgmt_io_oeb_hk[4] , \mgmt_io_oeb_hk[3] , \mgmt_io_oeb_hk[2] , \mgmt_io_oeb_hk[1] , \mgmt_io_oeb_hk[0] }), + .mgmt_gpio_out({ \mgmt_io_out_hk[37] , \mgmt_io_out_hk[36] , \mgmt_io_out_hk[35] , \mgmt_io_out_hk[34] , \mgmt_io_out_hk[33] , \mgmt_io_out_hk[32] , \mgmt_io_out_hk[31] , \mgmt_io_out_hk[30] , \mgmt_io_out_hk[29] , \mgmt_io_out_hk[28] , \mgmt_io_out_hk[27] , \mgmt_io_out_hk[26] , \mgmt_io_out_hk[25] , \mgmt_io_out_hk[24] , \mgmt_io_out_hk[23] , \mgmt_io_out_hk[22] , \mgmt_io_out_hk[21] , \mgmt_io_out_hk[20] , \mgmt_io_out_hk[19] , \mgmt_io_out_hk[18] , \mgmt_io_out_hk[17] , \mgmt_io_out_hk[16] , \mgmt_io_out_hk[15] , \mgmt_io_out_hk[14] , \mgmt_io_out_hk[13] , \mgmt_io_out_hk[12] , \mgmt_io_out_hk[11] , \mgmt_io_out_hk[10] , \mgmt_io_out_hk[9] , \mgmt_io_out_hk[8] , \mgmt_io_out_hk[7] , \mgmt_io_out_hk[6] , \mgmt_io_out_hk[5] , \mgmt_io_out_hk[4] , \mgmt_io_out_hk[3] , \mgmt_io_out_hk[2] , \mgmt_io_out_hk[1] , \mgmt_io_out_hk[0] }), + .pad_flash_clk(flash_clk_frame), + .pad_flash_clk_oeb(flash_clk_oeb), + .pad_flash_csb(flash_csb_frame), + .pad_flash_csb_oeb(flash_csb_oeb), + .pad_flash_io0_di(flash_io0_di), + .pad_flash_io0_do(flash_io0_do), + .pad_flash_io0_ieb(flash_io0_ieb), + .pad_flash_io0_oeb(flash_io0_oeb), + .pad_flash_io1_di(flash_io1_di), + .pad_flash_io1_do(flash_io1_do), + .pad_flash_io1_ieb(flash_io1_ieb), + .pad_flash_io1_oeb(flash_io1_oeb), + .pll90_sel({ \spi_pll90_sel[2] , \spi_pll90_sel[1] , \spi_pll90_sel[0] }), + .pll_bypass(ext_clk_sel), + .pll_dco_ena(\pll.dco ), + .pll_div({ \pll.div[4] , \pll.div[3] , \pll.div[2] , \pll.div[1] , \pll.div[0] }), + .pll_ena(\pll.enable ), + .pll_sel({ \spi_pll_sel[2] , \spi_pll_sel[1] , \spi_pll_sel[0] }), + .pll_trim({ \pll.ext_trim[25] , \pll.ext_trim[24] , \pll.ext_trim[23] , \pll.ext_trim[22] , \pll.ext_trim[21] , \pll.ext_trim[20] , \pll.ext_trim[19] , \pll.ext_trim[18] , \pll.ext_trim[17] , \pll.ext_trim[16] , \pll.ext_trim[15] , \pll.ext_trim[14] , \pll.ext_trim[13] , \pll.ext_trim[12] , \pll.ext_trim[11] , \pll.ext_trim[10] , \pll.ext_trim[9] , \pll.ext_trim[8] , \pll.ext_trim[7] , \pll.ext_trim[6] , \pll.ext_trim[5] , \pll.ext_trim[4] , \pll.ext_trim[3] , \pll.ext_trim[2] , \pll.ext_trim[1] , \pll.ext_trim[0] }), + .porb(porb_l), + .pwr_ctrl_out({ \pwr_ctrl_nc[3] , \pwr_ctrl_nc[2] , \pwr_ctrl_nc[1] , \pwr_ctrl_nc[0] }), + .qspi_enabled(_16404_), + .reset(ext_reset), + .ser_rx(\soc.core.serial_rx ), + .ser_tx(\soc.core.serial_tx ), + .serial_clock(\gpio_control_bidir_1[0].serial_clock ), + .serial_data_1(\gpio_control_bidir_1[0].serial_data_in ), + .serial_data_2(\gpio_control_bidir_2[2].serial_data_in ), + .serial_load(\gpio_control_bidir_1[0].serial_load ), + .serial_resetn(\gpio_control_bidir_1[0].resetn ), + .spi_csb(\soc.core.spi_cs_n ), + .spi_enabled(\soc.core.spi_enabled_storage ), + .spi_sck(\soc.core.spi_clk ), + .spi_sdi(\soc.core.spi_miso ), + .spi_sdo(\soc.core.spi_mosi ), + .spi_sdoenb(\soc.core.spi_sdoenb ), + .spimemio_flash_clk(\soc.core.flash_clk ), + .spimemio_flash_csb(\soc.core.flash_cs_n ), + .spimemio_flash_io0_di(\soc.core.flash_io0_di ), + .spimemio_flash_io0_do(\soc.core.flash_io0_do ), + .spimemio_flash_io0_oeb(\soc.core.flash_io0_oeb ), + .spimemio_flash_io1_di(\soc.core.flash_io1_di ), + .spimemio_flash_io1_do(_16405_), + .spimemio_flash_io1_oeb(_16400_), + .spimemio_flash_io2_di(\soc.core.flash_io2_di ), + .spimemio_flash_io2_do(_16406_), + .spimemio_flash_io2_oeb(_16401_), + .spimemio_flash_io3_di(\soc.core.flash_io3_di ), + .spimemio_flash_io3_do(_16407_), + .spimemio_flash_io3_oeb(_16402_), + .trap(_16408_), + .uart_enabled(\soc.core.uart_enabled ), + .user_clock(\mgmt_buffers.caravel_clk2 ), + .usr1_vcc_pwrgood(\mgmt_buffers.mprj_logic1[461] ), + .usr1_vdd_pwrgood(\mgmt_buffers.mprj_vdd_logic1 ), + .usr2_vcc_pwrgood(\mgmt_buffers.mprj2_logic1 ), + .usr2_vdd_pwrgood(\mgmt_buffers.mprj2_vdd_logic1 ), + .wb_ack_o(\soc.core.hk_ack ), + .wb_adr_i({ \mgmt_buffers.mprj_adr_o_core[31] , \mgmt_buffers.mprj_adr_o_core[30] , \mgmt_buffers.mprj_adr_o_core[29] , \mgmt_buffers.mprj_adr_o_core[28] , \mgmt_buffers.mprj_adr_o_core[27] , \mgmt_buffers.mprj_adr_o_core[26] , \mgmt_buffers.mprj_adr_o_core[25] , \mgmt_buffers.mprj_adr_o_core[24] , \mgmt_buffers.mprj_adr_o_core[23] , \mgmt_buffers.mprj_adr_o_core[22] , \mgmt_buffers.mprj_adr_o_core[21] , \mgmt_buffers.mprj_adr_o_core[20] , \mgmt_buffers.mprj_adr_o_core[19] , \mgmt_buffers.mprj_adr_o_core[18] , \mgmt_buffers.mprj_adr_o_core[17] , \mgmt_buffers.mprj_adr_o_core[16] , \mgmt_buffers.mprj_adr_o_core[15] , \mgmt_buffers.mprj_adr_o_core[14] , \mgmt_buffers.mprj_adr_o_core[13] , \mgmt_buffers.mprj_adr_o_core[12] , \mgmt_buffers.mprj_adr_o_core[11] , \mgmt_buffers.mprj_adr_o_core[10] , \mgmt_buffers.mprj_adr_o_core[9] , \mgmt_buffers.mprj_adr_o_core[8] , \mgmt_buffers.mprj_adr_o_core[7] , \mgmt_buffers.mprj_adr_o_core[6] , \mgmt_buffers.mprj_adr_o_core[5] , \mgmt_buffers.mprj_adr_o_core[4] , \mgmt_buffers.mprj_adr_o_core[3] , \mgmt_buffers.mprj_adr_o_core[2] , _16410_, _16409_ }), + .wb_clk_i(\mgmt_buffers.caravel_clk ), + .wb_cyc_i(\soc.core.hk_cyc ), + .wb_dat_i({ \mgmt_buffers.mprj_dat_o_core[31] , \mgmt_buffers.mprj_dat_o_core[30] , \mgmt_buffers.mprj_dat_o_core[29] , \mgmt_buffers.mprj_dat_o_core[28] , \mgmt_buffers.mprj_dat_o_core[27] , \mgmt_buffers.mprj_dat_o_core[26] , \mgmt_buffers.mprj_dat_o_core[25] , \mgmt_buffers.mprj_dat_o_core[24] , \mgmt_buffers.mprj_dat_o_core[23] , \mgmt_buffers.mprj_dat_o_core[22] , \mgmt_buffers.mprj_dat_o_core[21] , \mgmt_buffers.mprj_dat_o_core[20] , \mgmt_buffers.mprj_dat_o_core[19] , \mgmt_buffers.mprj_dat_o_core[18] , \mgmt_buffers.mprj_dat_o_core[17] , \mgmt_buffers.mprj_dat_o_core[16] , \mgmt_buffers.mprj_dat_o_core[15] , \mgmt_buffers.mprj_dat_o_core[14] , \mgmt_buffers.mprj_dat_o_core[13] , \mgmt_buffers.mprj_dat_o_core[12] , \mgmt_buffers.mprj_dat_o_core[11] , \mgmt_buffers.mprj_dat_o_core[10] , \mgmt_buffers.mprj_dat_o_core[9] , \mgmt_buffers.mprj_dat_o_core[8] , \mgmt_buffers.mprj_dat_o_core[7] , \mgmt_buffers.mprj_dat_o_core[6] , \mgmt_buffers.mprj_dat_o_core[5] , \mgmt_buffers.mprj_dat_o_core[4] , \mgmt_buffers.mprj_dat_o_core[3] , \mgmt_buffers.mprj_dat_o_core[2] , \mgmt_buffers.mprj_dat_o_core[1] , \mgmt_buffers.mprj_dat_o_core[0] }), + .wb_dat_o({ \soc.core.hk_dat_i[31] , \soc.core.hk_dat_i[30] , \soc.core.hk_dat_i[29] , \soc.core.hk_dat_i[28] , \soc.core.hk_dat_i[27] , \soc.core.hk_dat_i[26] , \soc.core.hk_dat_i[25] , \soc.core.hk_dat_i[24] , \soc.core.hk_dat_i[23] , \soc.core.hk_dat_i[22] , \soc.core.hk_dat_i[21] , \soc.core.hk_dat_i[20] , \soc.core.hk_dat_i[19] , \soc.core.hk_dat_i[18] , \soc.core.hk_dat_i[17] , \soc.core.hk_dat_i[16] , \soc.core.hk_dat_i[15] , \soc.core.hk_dat_i[14] , \soc.core.hk_dat_i[13] , \soc.core.hk_dat_i[12] , \soc.core.hk_dat_i[11] , \soc.core.hk_dat_i[10] , \soc.core.hk_dat_i[9] , \soc.core.hk_dat_i[8] , \soc.core.hk_dat_i[7] , \soc.core.hk_dat_i[6] , \soc.core.hk_dat_i[5] , \soc.core.hk_dat_i[4] , \soc.core.hk_dat_i[3] , \soc.core.hk_dat_i[2] , \soc.core.hk_dat_i[1] , \soc.core.hk_dat_i[0] }), + .wb_rstn_i(\mgmt_buffers.caravel_rstn ), + .wb_sel_i({ \mgmt_buffers.mprj_sel_o_core[3] , \mgmt_buffers.mprj_sel_o_core[2] , \mgmt_buffers.mprj_sel_o_core[1] , \mgmt_buffers.mprj_sel_o_core[0] }), + .wb_stb_i(\mgmt_buffers.mprj_stb_o_core ), + .wb_we_i(\mgmt_buffers.mprj_we_o_core ) + ); + manual_power_connections manual_power_connections ( + ); + mprj2_logic_high \mgmt_buffers.mprj2_logic_high_inst ( + .HI(\mgmt_buffers.mprj2_logic1 ) + ); + mprj_logic_high \mgmt_buffers.mprj_logic_high_inst ( + .HI({ \mgmt_buffers.mprj_logic1[462] , \mgmt_buffers.mprj_logic1[461] , \mgmt_buffers.mprj_logic1[460] , \mgmt_buffers.mprj_logic1[459] , \mgmt_buffers.mprj_logic1[458] , \mgmt_buffers.mprj_logic1[457] , \mgmt_buffers.mprj_logic1[456] , \mgmt_buffers.mprj_logic1[455] , \mgmt_buffers.mprj_logic1[454] , \mgmt_buffers.mprj_logic1[453] , \mgmt_buffers.mprj_logic1[452] , \mgmt_buffers.mprj_logic1[451] , \mgmt_buffers.mprj_logic1[450] , \mgmt_buffers.mprj_logic1[449] , \mgmt_buffers.mprj_logic1[448] , \mgmt_buffers.mprj_logic1[447] , \mgmt_buffers.mprj_logic1[446] , \mgmt_buffers.mprj_logic1[445] , \mgmt_buffers.mprj_logic1[444] , \mgmt_buffers.mprj_logic1[443] , \mgmt_buffers.mprj_logic1[442] , \mgmt_buffers.mprj_logic1[441] , \mgmt_buffers.mprj_logic1[440] , \mgmt_buffers.mprj_logic1[439] , \mgmt_buffers.mprj_logic1[438] , \mgmt_buffers.mprj_logic1[437] , \mgmt_buffers.mprj_logic1[436] , \mgmt_buffers.mprj_logic1[435] , \mgmt_buffers.mprj_logic1[434] , \mgmt_buffers.mprj_logic1[433] , \mgmt_buffers.mprj_logic1[432] , \mgmt_buffers.mprj_logic1[431] , \mgmt_buffers.mprj_logic1[430] , \mgmt_buffers.mprj_logic1[429] , \mgmt_buffers.mprj_logic1[428] , \mgmt_buffers.mprj_logic1[427] , \mgmt_buffers.mprj_logic1[426] , \mgmt_buffers.mprj_logic1[425] , \mgmt_buffers.mprj_logic1[424] , \mgmt_buffers.mprj_logic1[423] , \mgmt_buffers.mprj_logic1[422] , \mgmt_buffers.mprj_logic1[421] , \mgmt_buffers.mprj_logic1[420] , \mgmt_buffers.mprj_logic1[419] , \mgmt_buffers.mprj_logic1[418] , \mgmt_buffers.mprj_logic1[417] , \mgmt_buffers.mprj_logic1[416] , \mgmt_buffers.mprj_logic1[415] , \mgmt_buffers.mprj_logic1[414] , \mgmt_buffers.mprj_logic1[413] , \mgmt_buffers.mprj_logic1[412] , \mgmt_buffers.mprj_logic1[411] , \mgmt_buffers.mprj_logic1[410] , \mgmt_buffers.mprj_logic1[409] , \mgmt_buffers.mprj_logic1[408] , \mgmt_buffers.mprj_logic1[407] , \mgmt_buffers.mprj_logic1[406] , \mgmt_buffers.mprj_logic1[405] , \mgmt_buffers.mprj_logic1[404] , \mgmt_buffers.mprj_logic1[403] , \mgmt_buffers.mprj_logic1[402] , \mgmt_buffers.mprj_logic1[401] , \mgmt_buffers.mprj_logic1[400] , \mgmt_buffers.mprj_logic1[399] , \mgmt_buffers.mprj_logic1[398] , \mgmt_buffers.mprj_logic1[397] , \mgmt_buffers.mprj_logic1[396] , \mgmt_buffers.mprj_logic1[395] , \mgmt_buffers.mprj_logic1[394] , \mgmt_buffers.mprj_logic1[393] , \mgmt_buffers.mprj_logic1[392] , \mgmt_buffers.mprj_logic1[391] , \mgmt_buffers.mprj_logic1[390] , \mgmt_buffers.mprj_logic1[389] , \mgmt_buffers.mprj_logic1[388] , \mgmt_buffers.mprj_logic1[387] , \mgmt_buffers.mprj_logic1[386] , \mgmt_buffers.mprj_logic1[385] , \mgmt_buffers.mprj_logic1[384] , \mgmt_buffers.mprj_logic1[383] , \mgmt_buffers.mprj_logic1[382] , \mgmt_buffers.mprj_logic1[381] , \mgmt_buffers.mprj_logic1[380] , \mgmt_buffers.mprj_logic1[379] , \mgmt_buffers.mprj_logic1[378] , \mgmt_buffers.mprj_logic1[377] , \mgmt_buffers.mprj_logic1[376] , \mgmt_buffers.mprj_logic1[375] , \mgmt_buffers.mprj_logic1[374] , \mgmt_buffers.mprj_logic1[373] , \mgmt_buffers.mprj_logic1[372] , \mgmt_buffers.mprj_logic1[371] , \mgmt_buffers.mprj_logic1[370] , \mgmt_buffers.mprj_logic1[369] , \mgmt_buffers.mprj_logic1[368] , \mgmt_buffers.mprj_logic1[367] , \mgmt_buffers.mprj_logic1[366] , \mgmt_buffers.mprj_logic1[365] , \mgmt_buffers.mprj_logic1[364] , \mgmt_buffers.mprj_logic1[363] , \mgmt_buffers.mprj_logic1[362] , \mgmt_buffers.mprj_logic1[361] , \mgmt_buffers.mprj_logic1[360] , \mgmt_buffers.mprj_logic1[359] , \mgmt_buffers.mprj_logic1[358] , \mgmt_buffers.mprj_logic1[357] , \mgmt_buffers.mprj_logic1[356] , \mgmt_buffers.mprj_logic1[355] , \mgmt_buffers.mprj_logic1[354] , \mgmt_buffers.mprj_logic1[353] , \mgmt_buffers.mprj_logic1[352] , \mgmt_buffers.mprj_logic1[351] , \mgmt_buffers.mprj_logic1[350] , \mgmt_buffers.mprj_logic1[349] , \mgmt_buffers.mprj_logic1[348] , \mgmt_buffers.mprj_logic1[347] , \mgmt_buffers.mprj_logic1[346] , \mgmt_buffers.mprj_logic1[345] , \mgmt_buffers.mprj_logic1[344] , \mgmt_buffers.mprj_logic1[343] , \mgmt_buffers.mprj_logic1[342] , \mgmt_buffers.mprj_logic1[341] , \mgmt_buffers.mprj_logic1[340] , \mgmt_buffers.mprj_logic1[339] , \mgmt_buffers.mprj_logic1[338] , \mgmt_buffers.mprj_logic1[337] , \mgmt_buffers.mprj_logic1[336] , \mgmt_buffers.mprj_logic1[335] , \mgmt_buffers.mprj_logic1[334] , \mgmt_buffers.mprj_logic1[333] , \mgmt_buffers.mprj_logic1[332] , \mgmt_buffers.mprj_logic1[331] , \mgmt_buffers.mprj_logic1[330] , \mgmt_buffers.mprj_logic1[329] , \mgmt_buffers.mprj_logic1[328] , \mgmt_buffers.mprj_logic1[327] , \mgmt_buffers.mprj_logic1[326] , \mgmt_buffers.mprj_logic1[325] , \mgmt_buffers.mprj_logic1[324] , \mgmt_buffers.mprj_logic1[323] , \mgmt_buffers.mprj_logic1[322] , \mgmt_buffers.mprj_logic1[321] , \mgmt_buffers.mprj_logic1[320] , \mgmt_buffers.mprj_logic1[319] , \mgmt_buffers.mprj_logic1[318] , \mgmt_buffers.mprj_logic1[317] , \mgmt_buffers.mprj_logic1[316] , \mgmt_buffers.mprj_logic1[315] , \mgmt_buffers.mprj_logic1[314] , \mgmt_buffers.mprj_logic1[313] , \mgmt_buffers.mprj_logic1[312] , \mgmt_buffers.mprj_logic1[311] , \mgmt_buffers.mprj_logic1[310] , \mgmt_buffers.mprj_logic1[309] , \mgmt_buffers.mprj_logic1[308] , \mgmt_buffers.mprj_logic1[307] , \mgmt_buffers.mprj_logic1[306] , \mgmt_buffers.mprj_logic1[305] , \mgmt_buffers.mprj_logic1[304] , \mgmt_buffers.mprj_logic1[303] , \mgmt_buffers.mprj_logic1[302] , \mgmt_buffers.mprj_logic1[301] , \mgmt_buffers.mprj_logic1[300] , \mgmt_buffers.mprj_logic1[299] , \mgmt_buffers.mprj_logic1[298] , \mgmt_buffers.mprj_logic1[297] , \mgmt_buffers.mprj_logic1[296] , \mgmt_buffers.mprj_logic1[295] , \mgmt_buffers.mprj_logic1[294] , \mgmt_buffers.mprj_logic1[293] , \mgmt_buffers.mprj_logic1[292] , \mgmt_buffers.mprj_logic1[291] , \mgmt_buffers.mprj_logic1[290] , \mgmt_buffers.mprj_logic1[289] , \mgmt_buffers.mprj_logic1[288] , \mgmt_buffers.mprj_logic1[287] , \mgmt_buffers.mprj_logic1[286] , \mgmt_buffers.mprj_logic1[285] , \mgmt_buffers.mprj_logic1[284] , \mgmt_buffers.mprj_logic1[283] , \mgmt_buffers.mprj_logic1[282] , \mgmt_buffers.mprj_logic1[281] , \mgmt_buffers.mprj_logic1[280] , \mgmt_buffers.mprj_logic1[279] , \mgmt_buffers.mprj_logic1[278] , \mgmt_buffers.mprj_logic1[277] , \mgmt_buffers.mprj_logic1[276] , \mgmt_buffers.mprj_logic1[275] , \mgmt_buffers.mprj_logic1[274] , \mgmt_buffers.mprj_logic1[273] , \mgmt_buffers.mprj_logic1[272] , \mgmt_buffers.mprj_logic1[271] , \mgmt_buffers.mprj_logic1[270] , \mgmt_buffers.mprj_logic1[269] , \mgmt_buffers.mprj_logic1[268] , \mgmt_buffers.mprj_logic1[267] , \mgmt_buffers.mprj_logic1[266] , \mgmt_buffers.mprj_logic1[265] , \mgmt_buffers.mprj_logic1[264] , \mgmt_buffers.mprj_logic1[263] , \mgmt_buffers.mprj_logic1[262] , \mgmt_buffers.mprj_logic1[261] , \mgmt_buffers.mprj_logic1[260] , \mgmt_buffers.mprj_logic1[259] , \mgmt_buffers.mprj_logic1[258] , \mgmt_buffers.mprj_logic1[257] , \mgmt_buffers.mprj_logic1[256] , \mgmt_buffers.mprj_logic1[255] , \mgmt_buffers.mprj_logic1[254] , \mgmt_buffers.mprj_logic1[253] , \mgmt_buffers.mprj_logic1[252] , \mgmt_buffers.mprj_logic1[251] , \mgmt_buffers.mprj_logic1[250] , \mgmt_buffers.mprj_logic1[249] , \mgmt_buffers.mprj_logic1[248] , \mgmt_buffers.mprj_logic1[247] , \mgmt_buffers.mprj_logic1[246] , \mgmt_buffers.mprj_logic1[245] , \mgmt_buffers.mprj_logic1[244] , \mgmt_buffers.mprj_logic1[243] , \mgmt_buffers.mprj_logic1[242] , \mgmt_buffers.mprj_logic1[241] , \mgmt_buffers.mprj_logic1[240] , \mgmt_buffers.mprj_logic1[239] , \mgmt_buffers.mprj_logic1[238] , \mgmt_buffers.mprj_logic1[237] , \mgmt_buffers.mprj_logic1[236] , \mgmt_buffers.mprj_logic1[235] , \mgmt_buffers.mprj_logic1[234] , \mgmt_buffers.mprj_logic1[233] , \mgmt_buffers.mprj_logic1[232] , \mgmt_buffers.mprj_logic1[231] , \mgmt_buffers.mprj_logic1[230] , \mgmt_buffers.mprj_logic1[229] , \mgmt_buffers.mprj_logic1[228] , \mgmt_buffers.mprj_logic1[227] , \mgmt_buffers.mprj_logic1[226] , \mgmt_buffers.mprj_logic1[225] , \mgmt_buffers.mprj_logic1[224] , \mgmt_buffers.mprj_logic1[223] , \mgmt_buffers.mprj_logic1[222] , \mgmt_buffers.mprj_logic1[221] , \mgmt_buffers.mprj_logic1[220] , \mgmt_buffers.mprj_logic1[219] , \mgmt_buffers.mprj_logic1[218] , \mgmt_buffers.mprj_logic1[217] , \mgmt_buffers.mprj_logic1[216] , \mgmt_buffers.mprj_logic1[215] , \mgmt_buffers.mprj_logic1[214] , \mgmt_buffers.mprj_logic1[213] , \mgmt_buffers.mprj_logic1[212] , \mgmt_buffers.mprj_logic1[211] , \mgmt_buffers.mprj_logic1[210] , \mgmt_buffers.mprj_logic1[209] , \mgmt_buffers.mprj_logic1[208] , \mgmt_buffers.mprj_logic1[207] , \mgmt_buffers.mprj_logic1[206] , \mgmt_buffers.mprj_logic1[205] , \mgmt_buffers.mprj_logic1[204] , \mgmt_buffers.mprj_logic1[203] , \mgmt_buffers.mprj_logic1[202] , \mgmt_buffers.mprj_logic1[201] , \mgmt_buffers.mprj_logic1[200] , \mgmt_buffers.mprj_logic1[199] , \mgmt_buffers.mprj_logic1[198] , \mgmt_buffers.mprj_logic1[197] , \mgmt_buffers.mprj_logic1[196] , \mgmt_buffers.mprj_logic1[195] , \mgmt_buffers.mprj_logic1[194] , \mgmt_buffers.mprj_logic1[193] , \mgmt_buffers.mprj_logic1[192] , \mgmt_buffers.mprj_logic1[191] , \mgmt_buffers.mprj_logic1[190] , \mgmt_buffers.mprj_logic1[189] , \mgmt_buffers.mprj_logic1[188] , \mgmt_buffers.mprj_logic1[187] , \mgmt_buffers.mprj_logic1[186] , \mgmt_buffers.mprj_logic1[185] , \mgmt_buffers.mprj_logic1[184] , \mgmt_buffers.mprj_logic1[183] , \mgmt_buffers.mprj_logic1[182] , \mgmt_buffers.mprj_logic1[181] , \mgmt_buffers.mprj_logic1[180] , \mgmt_buffers.mprj_logic1[179] , \mgmt_buffers.mprj_logic1[178] , \mgmt_buffers.mprj_logic1[177] , \mgmt_buffers.mprj_logic1[176] , \mgmt_buffers.mprj_logic1[175] , \mgmt_buffers.mprj_logic1[174] , \mgmt_buffers.mprj_logic1[173] , \mgmt_buffers.mprj_logic1[172] , \mgmt_buffers.mprj_logic1[171] , \mgmt_buffers.mprj_logic1[170] , \mgmt_buffers.mprj_logic1[169] , \mgmt_buffers.mprj_logic1[168] , \mgmt_buffers.mprj_logic1[167] , \mgmt_buffers.mprj_logic1[166] , \mgmt_buffers.mprj_logic1[165] , \mgmt_buffers.mprj_logic1[164] , \mgmt_buffers.mprj_logic1[163] , \mgmt_buffers.mprj_logic1[162] , \mgmt_buffers.mprj_logic1[161] , \mgmt_buffers.mprj_logic1[160] , \mgmt_buffers.mprj_logic1[159] , \mgmt_buffers.mprj_logic1[158] , \mgmt_buffers.mprj_logic1[157] , \mgmt_buffers.mprj_logic1[156] , \mgmt_buffers.mprj_logic1[155] , \mgmt_buffers.mprj_logic1[154] , \mgmt_buffers.mprj_logic1[153] , \mgmt_buffers.mprj_logic1[152] , \mgmt_buffers.mprj_logic1[151] , \mgmt_buffers.mprj_logic1[150] , \mgmt_buffers.mprj_logic1[149] , \mgmt_buffers.mprj_logic1[148] , \mgmt_buffers.mprj_logic1[147] , \mgmt_buffers.mprj_logic1[146] , \mgmt_buffers.mprj_logic1[145] , \mgmt_buffers.mprj_logic1[144] , \mgmt_buffers.mprj_logic1[143] , \mgmt_buffers.mprj_logic1[142] , \mgmt_buffers.mprj_logic1[141] , \mgmt_buffers.mprj_logic1[140] , \mgmt_buffers.mprj_logic1[139] , \mgmt_buffers.mprj_logic1[138] , \mgmt_buffers.mprj_logic1[137] , \mgmt_buffers.mprj_logic1[136] , \mgmt_buffers.mprj_logic1[135] , \mgmt_buffers.mprj_logic1[134] , \mgmt_buffers.mprj_logic1[133] , \mgmt_buffers.mprj_logic1[132] , \mgmt_buffers.mprj_logic1[131] , \mgmt_buffers.mprj_logic1[130] , \mgmt_buffers.mprj_logic1[129] , \mgmt_buffers.mprj_logic1[128] , \mgmt_buffers.mprj_logic1[127] , \mgmt_buffers.mprj_logic1[126] , \mgmt_buffers.mprj_logic1[125] , \mgmt_buffers.mprj_logic1[124] , \mgmt_buffers.mprj_logic1[123] , \mgmt_buffers.mprj_logic1[122] , \mgmt_buffers.mprj_logic1[121] , \mgmt_buffers.mprj_logic1[120] , \mgmt_buffers.mprj_logic1[119] , \mgmt_buffers.mprj_logic1[118] , \mgmt_buffers.mprj_logic1[117] , \mgmt_buffers.mprj_logic1[116] , \mgmt_buffers.mprj_logic1[115] , \mgmt_buffers.mprj_logic1[114] , \mgmt_buffers.mprj_logic1[113] , \mgmt_buffers.mprj_logic1[112] , \mgmt_buffers.mprj_logic1[111] , \mgmt_buffers.mprj_logic1[110] , \mgmt_buffers.mprj_logic1[109] , \mgmt_buffers.mprj_logic1[108] , \mgmt_buffers.mprj_logic1[107] , \mgmt_buffers.mprj_logic1[106] , \mgmt_buffers.mprj_logic1[105] , \mgmt_buffers.mprj_logic1[104] , \mgmt_buffers.mprj_logic1[103] , \mgmt_buffers.mprj_logic1[102] , \mgmt_buffers.mprj_logic1[101] , \mgmt_buffers.mprj_logic1[100] , \mgmt_buffers.mprj_logic1[99] , \mgmt_buffers.mprj_logic1[98] , \mgmt_buffers.mprj_logic1[97] , \mgmt_buffers.mprj_logic1[96] , \mgmt_buffers.mprj_logic1[95] , \mgmt_buffers.mprj_logic1[94] , \mgmt_buffers.mprj_logic1[93] , \mgmt_buffers.mprj_logic1[92] , \mgmt_buffers.mprj_logic1[91] , \mgmt_buffers.mprj_logic1[90] , \mgmt_buffers.mprj_logic1[89] , \mgmt_buffers.mprj_logic1[88] , \mgmt_buffers.mprj_logic1[87] , \mgmt_buffers.mprj_logic1[86] , \mgmt_buffers.mprj_logic1[85] , \mgmt_buffers.mprj_logic1[84] , \mgmt_buffers.mprj_logic1[83] , \mgmt_buffers.mprj_logic1[82] , \mgmt_buffers.mprj_logic1[81] , \mgmt_buffers.mprj_logic1[80] , \mgmt_buffers.mprj_logic1[79] , \mgmt_buffers.mprj_logic1[78] , \mgmt_buffers.mprj_logic1[77] , \mgmt_buffers.mprj_logic1[76] , \mgmt_buffers.mprj_logic1[75] , \mgmt_buffers.mprj_logic1[74] , \mgmt_buffers.mprj_logic1[73] , \mgmt_buffers.mprj_logic1[72] , \mgmt_buffers.mprj_logic1[71] , \mgmt_buffers.mprj_logic1[70] , \mgmt_buffers.mprj_logic1[69] , \mgmt_buffers.mprj_logic1[68] , \mgmt_buffers.mprj_logic1[67] , \mgmt_buffers.mprj_logic1[66] , \mgmt_buffers.mprj_logic1[65] , \mgmt_buffers.mprj_logic1[64] , \mgmt_buffers.mprj_logic1[63] , \mgmt_buffers.mprj_logic1[62] , \mgmt_buffers.mprj_logic1[61] , \mgmt_buffers.mprj_logic1[60] , \mgmt_buffers.mprj_logic1[59] , \mgmt_buffers.mprj_logic1[58] , \mgmt_buffers.mprj_logic1[57] , \mgmt_buffers.mprj_logic1[56] , \mgmt_buffers.mprj_logic1[55] , \mgmt_buffers.mprj_logic1[54] , \mgmt_buffers.mprj_logic1[53] , \mgmt_buffers.mprj_logic1[52] , \mgmt_buffers.mprj_logic1[51] , \mgmt_buffers.mprj_logic1[50] , \mgmt_buffers.mprj_logic1[49] , \mgmt_buffers.mprj_logic1[48] , \mgmt_buffers.mprj_logic1[47] , \mgmt_buffers.mprj_logic1[46] , \mgmt_buffers.mprj_logic1[45] , \mgmt_buffers.mprj_logic1[44] , \mgmt_buffers.mprj_logic1[43] , \mgmt_buffers.mprj_logic1[42] , \mgmt_buffers.mprj_logic1[41] , \mgmt_buffers.mprj_logic1[40] , \mgmt_buffers.mprj_logic1[39] , \mgmt_buffers.mprj_logic1[38] , \mgmt_buffers.mprj_logic1[37] , \mgmt_buffers.mprj_logic1[36] , \mgmt_buffers.mprj_logic1[35] , \mgmt_buffers.mprj_logic1[34] , \mgmt_buffers.mprj_logic1[33] , \mgmt_buffers.mprj_logic1[32] , \mgmt_buffers.mprj_logic1[31] , \mgmt_buffers.mprj_logic1[30] , \mgmt_buffers.mprj_logic1[29] , \mgmt_buffers.mprj_logic1[28] , \mgmt_buffers.mprj_logic1[27] , \mgmt_buffers.mprj_logic1[26] , \mgmt_buffers.mprj_logic1[25] , \mgmt_buffers.mprj_logic1[24] , \mgmt_buffers.mprj_logic1[23] , \mgmt_buffers.mprj_logic1[22] , \mgmt_buffers.mprj_logic1[21] , \mgmt_buffers.mprj_logic1[20] , \mgmt_buffers.mprj_logic1[19] , \mgmt_buffers.mprj_logic1[18] , \mgmt_buffers.mprj_logic1[17] , \mgmt_buffers.mprj_logic1[16] , \mgmt_buffers.mprj_logic1[15] , \mgmt_buffers.mprj_logic1[14] , \mgmt_buffers.mprj_logic1[13] , \mgmt_buffers.mprj_logic1[12] , \mgmt_buffers.mprj_logic1[11] , \mgmt_buffers.mprj_logic1[10] , \mgmt_buffers.mprj_logic1[9] , \mgmt_buffers.mprj_logic1[8] , \mgmt_buffers.mprj_logic1[7] , \mgmt_buffers.mprj_logic1[6] , \mgmt_buffers.mprj_logic1[5] , \mgmt_buffers.mprj_logic1[4] , \mgmt_buffers.mprj_logic1[3] , \mgmt_buffers.mprj_logic1[2] , \mgmt_buffers.mprj_logic1[1] , \mgmt_buffers.mprj_logic1[0] }) + ); + mgmt_protect_hv \mgmt_buffers.powergood_check ( + .mprj2_vdd_logic1(\mgmt_buffers.mprj2_vdd_logic1 ), + .mprj_vdd_logic1(\mgmt_buffers.mprj_vdd_logic1 ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_irq_gates[0] ( + .A(\mgmt_buffers.user_irq_core[0] ), + .B(\mgmt_buffers.user_irq_enable[0] ), + .Y(\mgmt_buffers.user_irq_bar[0] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_irq_gates[1] ( + .A(\mgmt_buffers.user_irq_core[1] ), + .B(\mgmt_buffers.user_irq_enable[1] ), + .Y(\mgmt_buffers.user_irq_bar[1] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_irq_gates[2] ( + .A(\mgmt_buffers.user_irq_core[2] ), + .B(\mgmt_buffers.user_irq_enable[2] ), + .Y(\mgmt_buffers.user_irq_bar[2] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[0] ( + .A(\mgmt_buffers.la_data_out_core[0] ), + .B(\mgmt_buffers.la_data_in_enable[0] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[0] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[100] ( + .A(\mgmt_buffers.la_data_out_core[100] ), + .B(\mgmt_buffers.la_data_in_enable[100] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[100] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[101] ( + .A(\mgmt_buffers.la_data_out_core[101] ), + .B(\mgmt_buffers.la_data_in_enable[101] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[101] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[102] ( + .A(\mgmt_buffers.la_data_out_core[102] ), + .B(\mgmt_buffers.la_data_in_enable[102] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[102] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[103] ( + .A(\mgmt_buffers.la_data_out_core[103] ), + .B(\mgmt_buffers.la_data_in_enable[103] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[103] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[104] ( + .A(\mgmt_buffers.la_data_out_core[104] ), + .B(\mgmt_buffers.la_data_in_enable[104] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[104] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[105] ( + .A(\mgmt_buffers.la_data_out_core[105] ), + .B(\mgmt_buffers.la_data_in_enable[105] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[105] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[106] ( + .A(\mgmt_buffers.la_data_out_core[106] ), + .B(\mgmt_buffers.la_data_in_enable[106] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[106] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[107] ( + .A(\mgmt_buffers.la_data_out_core[107] ), + .B(\mgmt_buffers.la_data_in_enable[107] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[107] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[108] ( + .A(\mgmt_buffers.la_data_out_core[108] ), + .B(\mgmt_buffers.la_data_in_enable[108] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[108] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[109] ( + .A(\mgmt_buffers.la_data_out_core[109] ), + .B(\mgmt_buffers.la_data_in_enable[109] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[109] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[10] ( + .A(\mgmt_buffers.la_data_out_core[10] ), + .B(\mgmt_buffers.la_data_in_enable[10] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[10] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[110] ( + .A(\mgmt_buffers.la_data_out_core[110] ), + .B(\mgmt_buffers.la_data_in_enable[110] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[110] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[111] ( + .A(\mgmt_buffers.la_data_out_core[111] ), + .B(\mgmt_buffers.la_data_in_enable[111] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[111] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[112] ( + .A(\mgmt_buffers.la_data_out_core[112] ), + .B(\mgmt_buffers.la_data_in_enable[112] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[112] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[113] ( + .A(\mgmt_buffers.la_data_out_core[113] ), + .B(\mgmt_buffers.la_data_in_enable[113] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[113] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[114] ( + .A(\mgmt_buffers.la_data_out_core[114] ), + .B(\mgmt_buffers.la_data_in_enable[114] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[114] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[115] ( + .A(\mgmt_buffers.la_data_out_core[115] ), + .B(\mgmt_buffers.la_data_in_enable[115] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[115] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[116] ( + .A(\mgmt_buffers.la_data_out_core[116] ), + .B(\mgmt_buffers.la_data_in_enable[116] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[116] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[117] ( + .A(\mgmt_buffers.la_data_out_core[117] ), + .B(\mgmt_buffers.la_data_in_enable[117] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[117] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[118] ( + .A(\mgmt_buffers.la_data_out_core[118] ), + .B(\mgmt_buffers.la_data_in_enable[118] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[118] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[119] ( + .A(\mgmt_buffers.la_data_out_core[119] ), + .B(\mgmt_buffers.la_data_in_enable[119] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[119] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[11] ( + .A(\mgmt_buffers.la_data_out_core[11] ), + .B(\mgmt_buffers.la_data_in_enable[11] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[11] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[120] ( + .A(\mgmt_buffers.la_data_out_core[120] ), + .B(\mgmt_buffers.la_data_in_enable[120] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[120] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[121] ( + .A(\mgmt_buffers.la_data_out_core[121] ), + .B(\mgmt_buffers.la_data_in_enable[121] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[121] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[122] ( + .A(\mgmt_buffers.la_data_out_core[122] ), + .B(\mgmt_buffers.la_data_in_enable[122] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[122] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[123] ( + .A(\mgmt_buffers.la_data_out_core[123] ), + .B(\mgmt_buffers.la_data_in_enable[123] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[123] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[124] ( + .A(\mgmt_buffers.la_data_out_core[124] ), + .B(\mgmt_buffers.la_data_in_enable[124] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[124] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[125] ( + .A(\mgmt_buffers.la_data_out_core[125] ), + .B(\mgmt_buffers.la_data_in_enable[125] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[125] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[126] ( + .A(\mgmt_buffers.la_data_out_core[126] ), + .B(\mgmt_buffers.la_data_in_enable[126] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[126] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[127] ( + .A(\mgmt_buffers.la_data_out_core[127] ), + .B(\mgmt_buffers.la_data_in_enable[127] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[127] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[12] ( + .A(\mgmt_buffers.la_data_out_core[12] ), + .B(\mgmt_buffers.la_data_in_enable[12] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[12] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[13] ( + .A(\mgmt_buffers.la_data_out_core[13] ), + .B(\mgmt_buffers.la_data_in_enable[13] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[13] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[14] ( + .A(\mgmt_buffers.la_data_out_core[14] ), + .B(\mgmt_buffers.la_data_in_enable[14] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[14] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[15] ( + .A(\mgmt_buffers.la_data_out_core[15] ), + .B(\mgmt_buffers.la_data_in_enable[15] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[15] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[16] ( + .A(\mgmt_buffers.la_data_out_core[16] ), + .B(\mgmt_buffers.la_data_in_enable[16] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[16] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[17] ( + .A(\mgmt_buffers.la_data_out_core[17] ), + .B(\mgmt_buffers.la_data_in_enable[17] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[17] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[18] ( + .A(\mgmt_buffers.la_data_out_core[18] ), + .B(\mgmt_buffers.la_data_in_enable[18] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[18] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[19] ( + .A(\mgmt_buffers.la_data_out_core[19] ), + .B(\mgmt_buffers.la_data_in_enable[19] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[19] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[1] ( + .A(\mgmt_buffers.la_data_out_core[1] ), + .B(\mgmt_buffers.la_data_in_enable[1] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[1] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[20] ( + .A(\mgmt_buffers.la_data_out_core[20] ), + .B(\mgmt_buffers.la_data_in_enable[20] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[20] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[21] ( + .A(\mgmt_buffers.la_data_out_core[21] ), + .B(\mgmt_buffers.la_data_in_enable[21] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[21] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[22] ( + .A(\mgmt_buffers.la_data_out_core[22] ), + .B(\mgmt_buffers.la_data_in_enable[22] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[22] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[23] ( + .A(\mgmt_buffers.la_data_out_core[23] ), + .B(\mgmt_buffers.la_data_in_enable[23] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[23] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[24] ( + .A(\mgmt_buffers.la_data_out_core[24] ), + .B(\mgmt_buffers.la_data_in_enable[24] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[24] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[25] ( + .A(\mgmt_buffers.la_data_out_core[25] ), + .B(\mgmt_buffers.la_data_in_enable[25] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[25] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[26] ( + .A(\mgmt_buffers.la_data_out_core[26] ), + .B(\mgmt_buffers.la_data_in_enable[26] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[26] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[27] ( + .A(\mgmt_buffers.la_data_out_core[27] ), + .B(\mgmt_buffers.la_data_in_enable[27] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[27] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[28] ( + .A(\mgmt_buffers.la_data_out_core[28] ), + .B(\mgmt_buffers.la_data_in_enable[28] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[28] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[29] ( + .A(\mgmt_buffers.la_data_out_core[29] ), + .B(\mgmt_buffers.la_data_in_enable[29] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[29] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[2] ( + .A(\mgmt_buffers.la_data_out_core[2] ), + .B(\mgmt_buffers.la_data_in_enable[2] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[2] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[30] ( + .A(\mgmt_buffers.la_data_out_core[30] ), + .B(\mgmt_buffers.la_data_in_enable[30] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[30] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[31] ( + .A(\mgmt_buffers.la_data_out_core[31] ), + .B(\mgmt_buffers.la_data_in_enable[31] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[31] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[32] ( + .A(\mgmt_buffers.la_data_out_core[32] ), + .B(\mgmt_buffers.la_data_in_enable[32] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[32] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[33] ( + .A(\mgmt_buffers.la_data_out_core[33] ), + .B(\mgmt_buffers.la_data_in_enable[33] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[33] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[34] ( + .A(\mgmt_buffers.la_data_out_core[34] ), + .B(\mgmt_buffers.la_data_in_enable[34] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[34] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[35] ( + .A(\mgmt_buffers.la_data_out_core[35] ), + .B(\mgmt_buffers.la_data_in_enable[35] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[35] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[36] ( + .A(\mgmt_buffers.la_data_out_core[36] ), + .B(\mgmt_buffers.la_data_in_enable[36] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[36] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[37] ( + .A(\mgmt_buffers.la_data_out_core[37] ), + .B(\mgmt_buffers.la_data_in_enable[37] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[37] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[38] ( + .A(\mgmt_buffers.la_data_out_core[38] ), + .B(\mgmt_buffers.la_data_in_enable[38] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[38] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[39] ( + .A(\mgmt_buffers.la_data_out_core[39] ), + .B(\mgmt_buffers.la_data_in_enable[39] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[39] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[3] ( + .A(\mgmt_buffers.la_data_out_core[3] ), + .B(\mgmt_buffers.la_data_in_enable[3] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[3] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[40] ( + .A(\mgmt_buffers.la_data_out_core[40] ), + .B(\mgmt_buffers.la_data_in_enable[40] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[40] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[41] ( + .A(\mgmt_buffers.la_data_out_core[41] ), + .B(\mgmt_buffers.la_data_in_enable[41] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[41] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[42] ( + .A(\mgmt_buffers.la_data_out_core[42] ), + .B(\mgmt_buffers.la_data_in_enable[42] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[42] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[43] ( + .A(\mgmt_buffers.la_data_out_core[43] ), + .B(\mgmt_buffers.la_data_in_enable[43] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[43] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[44] ( + .A(\mgmt_buffers.la_data_out_core[44] ), + .B(\mgmt_buffers.la_data_in_enable[44] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[44] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[45] ( + .A(\mgmt_buffers.la_data_out_core[45] ), + .B(\mgmt_buffers.la_data_in_enable[45] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[45] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[46] ( + .A(\mgmt_buffers.la_data_out_core[46] ), + .B(\mgmt_buffers.la_data_in_enable[46] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[46] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[47] ( + .A(\mgmt_buffers.la_data_out_core[47] ), + .B(\mgmt_buffers.la_data_in_enable[47] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[47] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[48] ( + .A(\mgmt_buffers.la_data_out_core[48] ), + .B(\mgmt_buffers.la_data_in_enable[48] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[48] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[49] ( + .A(\mgmt_buffers.la_data_out_core[49] ), + .B(\mgmt_buffers.la_data_in_enable[49] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[49] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[4] ( + .A(\mgmt_buffers.la_data_out_core[4] ), + .B(\mgmt_buffers.la_data_in_enable[4] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[4] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[50] ( + .A(\mgmt_buffers.la_data_out_core[50] ), + .B(\mgmt_buffers.la_data_in_enable[50] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[50] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[51] ( + .A(\mgmt_buffers.la_data_out_core[51] ), + .B(\mgmt_buffers.la_data_in_enable[51] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[51] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[52] ( + .A(\mgmt_buffers.la_data_out_core[52] ), + .B(\mgmt_buffers.la_data_in_enable[52] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[52] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[53] ( + .A(\mgmt_buffers.la_data_out_core[53] ), + .B(\mgmt_buffers.la_data_in_enable[53] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[53] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[54] ( + .A(\mgmt_buffers.la_data_out_core[54] ), + .B(\mgmt_buffers.la_data_in_enable[54] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[54] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[55] ( + .A(\mgmt_buffers.la_data_out_core[55] ), + .B(\mgmt_buffers.la_data_in_enable[55] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[55] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[56] ( + .A(\mgmt_buffers.la_data_out_core[56] ), + .B(\mgmt_buffers.la_data_in_enable[56] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[56] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[57] ( + .A(\mgmt_buffers.la_data_out_core[57] ), + .B(\mgmt_buffers.la_data_in_enable[57] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[57] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[58] ( + .A(\mgmt_buffers.la_data_out_core[58] ), + .B(\mgmt_buffers.la_data_in_enable[58] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[58] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[59] ( + .A(\mgmt_buffers.la_data_out_core[59] ), + .B(\mgmt_buffers.la_data_in_enable[59] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[59] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[5] ( + .A(\mgmt_buffers.la_data_out_core[5] ), + .B(\mgmt_buffers.la_data_in_enable[5] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[5] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[60] ( + .A(\mgmt_buffers.la_data_out_core[60] ), + .B(\mgmt_buffers.la_data_in_enable[60] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[60] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[61] ( + .A(\mgmt_buffers.la_data_out_core[61] ), + .B(\mgmt_buffers.la_data_in_enable[61] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[61] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[62] ( + .A(\mgmt_buffers.la_data_out_core[62] ), + .B(\mgmt_buffers.la_data_in_enable[62] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[62] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[63] ( + .A(\mgmt_buffers.la_data_out_core[63] ), + .B(\mgmt_buffers.la_data_in_enable[63] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[63] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[64] ( + .A(\mgmt_buffers.la_data_out_core[64] ), + .B(\mgmt_buffers.la_data_in_enable[64] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[64] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[65] ( + .A(\mgmt_buffers.la_data_out_core[65] ), + .B(\mgmt_buffers.la_data_in_enable[65] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[65] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[66] ( + .A(\mgmt_buffers.la_data_out_core[66] ), + .B(\mgmt_buffers.la_data_in_enable[66] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[66] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[67] ( + .A(\mgmt_buffers.la_data_out_core[67] ), + .B(\mgmt_buffers.la_data_in_enable[67] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[67] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[68] ( + .A(\mgmt_buffers.la_data_out_core[68] ), + .B(\mgmt_buffers.la_data_in_enable[68] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[68] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[69] ( + .A(\mgmt_buffers.la_data_out_core[69] ), + .B(\mgmt_buffers.la_data_in_enable[69] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[69] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[6] ( + .A(\mgmt_buffers.la_data_out_core[6] ), + .B(\mgmt_buffers.la_data_in_enable[6] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[6] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[70] ( + .A(\mgmt_buffers.la_data_out_core[70] ), + .B(\mgmt_buffers.la_data_in_enable[70] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[70] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[71] ( + .A(\mgmt_buffers.la_data_out_core[71] ), + .B(\mgmt_buffers.la_data_in_enable[71] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[71] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[72] ( + .A(\mgmt_buffers.la_data_out_core[72] ), + .B(\mgmt_buffers.la_data_in_enable[72] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[72] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[73] ( + .A(\mgmt_buffers.la_data_out_core[73] ), + .B(\mgmt_buffers.la_data_in_enable[73] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[73] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[74] ( + .A(\mgmt_buffers.la_data_out_core[74] ), + .B(\mgmt_buffers.la_data_in_enable[74] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[74] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[75] ( + .A(\mgmt_buffers.la_data_out_core[75] ), + .B(\mgmt_buffers.la_data_in_enable[75] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[75] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[76] ( + .A(\mgmt_buffers.la_data_out_core[76] ), + .B(\mgmt_buffers.la_data_in_enable[76] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[76] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[77] ( + .A(\mgmt_buffers.la_data_out_core[77] ), + .B(\mgmt_buffers.la_data_in_enable[77] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[77] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[78] ( + .A(\mgmt_buffers.la_data_out_core[78] ), + .B(\mgmt_buffers.la_data_in_enable[78] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[78] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[79] ( + .A(\mgmt_buffers.la_data_out_core[79] ), + .B(\mgmt_buffers.la_data_in_enable[79] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[79] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[7] ( + .A(\mgmt_buffers.la_data_out_core[7] ), + .B(\mgmt_buffers.la_data_in_enable[7] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[7] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[80] ( + .A(\mgmt_buffers.la_data_out_core[80] ), + .B(\mgmt_buffers.la_data_in_enable[80] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[80] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[81] ( + .A(\mgmt_buffers.la_data_out_core[81] ), + .B(\mgmt_buffers.la_data_in_enable[81] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[81] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[82] ( + .A(\mgmt_buffers.la_data_out_core[82] ), + .B(\mgmt_buffers.la_data_in_enable[82] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[82] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[83] ( + .A(\mgmt_buffers.la_data_out_core[83] ), + .B(\mgmt_buffers.la_data_in_enable[83] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[83] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[84] ( + .A(\mgmt_buffers.la_data_out_core[84] ), + .B(\mgmt_buffers.la_data_in_enable[84] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[84] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[85] ( + .A(\mgmt_buffers.la_data_out_core[85] ), + .B(\mgmt_buffers.la_data_in_enable[85] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[85] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[86] ( + .A(\mgmt_buffers.la_data_out_core[86] ), + .B(\mgmt_buffers.la_data_in_enable[86] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[86] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[87] ( + .A(\mgmt_buffers.la_data_out_core[87] ), + .B(\mgmt_buffers.la_data_in_enable[87] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[87] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[88] ( + .A(\mgmt_buffers.la_data_out_core[88] ), + .B(\mgmt_buffers.la_data_in_enable[88] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[88] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[89] ( + .A(\mgmt_buffers.la_data_out_core[89] ), + .B(\mgmt_buffers.la_data_in_enable[89] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[89] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[8] ( + .A(\mgmt_buffers.la_data_out_core[8] ), + .B(\mgmt_buffers.la_data_in_enable[8] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[8] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[90] ( + .A(\mgmt_buffers.la_data_out_core[90] ), + .B(\mgmt_buffers.la_data_in_enable[90] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[90] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[91] ( + .A(\mgmt_buffers.la_data_out_core[91] ), + .B(\mgmt_buffers.la_data_in_enable[91] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[91] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[92] ( + .A(\mgmt_buffers.la_data_out_core[92] ), + .B(\mgmt_buffers.la_data_in_enable[92] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[92] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[93] ( + .A(\mgmt_buffers.la_data_out_core[93] ), + .B(\mgmt_buffers.la_data_in_enable[93] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[93] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[94] ( + .A(\mgmt_buffers.la_data_out_core[94] ), + .B(\mgmt_buffers.la_data_in_enable[94] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[94] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[95] ( + .A(\mgmt_buffers.la_data_out_core[95] ), + .B(\mgmt_buffers.la_data_in_enable[95] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[95] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[96] ( + .A(\mgmt_buffers.la_data_out_core[96] ), + .B(\mgmt_buffers.la_data_in_enable[96] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[96] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[97] ( + .A(\mgmt_buffers.la_data_out_core[97] ), + .B(\mgmt_buffers.la_data_in_enable[97] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[97] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[98] ( + .A(\mgmt_buffers.la_data_out_core[98] ), + .B(\mgmt_buffers.la_data_in_enable[98] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[98] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[99] ( + .A(\mgmt_buffers.la_data_out_core[99] ), + .B(\mgmt_buffers.la_data_in_enable[99] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[99] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_to_mprj_in_gates[9] ( + .A(\mgmt_buffers.la_data_out_core[9] ), + .B(\mgmt_buffers.la_data_in_enable[9] ), + .Y(\mgmt_buffers.la_data_in_mprj_bar[9] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_ack_gate ( + .A(\mgmt_buffers.mprj_ack_i_user ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_ack_i_core_bar ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[0] ( + .A(\mgmt_buffers.mprj_dat_i_user[0] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[0] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[10] ( + .A(\mgmt_buffers.mprj_dat_i_user[10] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[10] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[11] ( + .A(\mgmt_buffers.mprj_dat_i_user[11] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[11] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[12] ( + .A(\mgmt_buffers.mprj_dat_i_user[12] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[12] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[13] ( + .A(\mgmt_buffers.mprj_dat_i_user[13] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[13] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[14] ( + .A(\mgmt_buffers.mprj_dat_i_user[14] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[14] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[15] ( + .A(\mgmt_buffers.mprj_dat_i_user[15] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[15] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[16] ( + .A(\mgmt_buffers.mprj_dat_i_user[16] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[16] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[17] ( + .A(\mgmt_buffers.mprj_dat_i_user[17] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[17] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[18] ( + .A(\mgmt_buffers.mprj_dat_i_user[18] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[18] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[19] ( + .A(\mgmt_buffers.mprj_dat_i_user[19] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[19] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[1] ( + .A(\mgmt_buffers.mprj_dat_i_user[1] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[1] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[20] ( + .A(\mgmt_buffers.mprj_dat_i_user[20] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[20] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[21] ( + .A(\mgmt_buffers.mprj_dat_i_user[21] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[21] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[22] ( + .A(\mgmt_buffers.mprj_dat_i_user[22] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[22] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[23] ( + .A(\mgmt_buffers.mprj_dat_i_user[23] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[23] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[24] ( + .A(\mgmt_buffers.mprj_dat_i_user[24] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[24] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[25] ( + .A(\mgmt_buffers.mprj_dat_i_user[25] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[25] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[26] ( + .A(\mgmt_buffers.mprj_dat_i_user[26] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[26] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[27] ( + .A(\mgmt_buffers.mprj_dat_i_user[27] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[27] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[28] ( + .A(\mgmt_buffers.mprj_dat_i_user[28] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[28] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[29] ( + .A(\mgmt_buffers.mprj_dat_i_user[29] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[29] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[2] ( + .A(\mgmt_buffers.mprj_dat_i_user[2] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[2] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[30] ( + .A(\mgmt_buffers.mprj_dat_i_user[30] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[30] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[31] ( + .A(\mgmt_buffers.mprj_dat_i_user[31] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[31] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[3] ( + .A(\mgmt_buffers.mprj_dat_i_user[3] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[3] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[4] ( + .A(\mgmt_buffers.mprj_dat_i_user[4] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[4] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[5] ( + .A(\mgmt_buffers.mprj_dat_i_user[5] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[5] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[6] ( + .A(\mgmt_buffers.mprj_dat_i_user[6] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[6] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[7] ( + .A(\mgmt_buffers.mprj_dat_i_user[7] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[7] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[8] ( + .A(\mgmt_buffers.mprj_dat_i_user[8] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[8] ) + ); + sky130_fd_sc_hd__nand2_4 \mgmt_buffers.user_wb_dat_gates[9] ( + .A(\mgmt_buffers.mprj_dat_i_user[9] ), + .B(\mgmt_buffers.wb_in_enable ), + .Y(\mgmt_buffers.mprj_dat_i_core_bar[9] ) + ); + user_project_wrapper mprj ( + .analog_io(mprj_analog_io), + .io_in({ \gpio_control_bidir_2[2].user_gpio_in , \gpio_control_bidir_2[1].user_gpio_in , \gpio_control_bidir_2[0].user_gpio_in , \gpio_control_in_2[15].user_gpio_in , \gpio_control_in_2[14].user_gpio_in , \gpio_control_in_2[13].user_gpio_in , \gpio_control_in_2[12].user_gpio_in , \gpio_control_in_2[11].user_gpio_in , \gpio_control_in_2[10].user_gpio_in , \gpio_control_in_2[9].user_gpio_in , \gpio_control_in_2[8].user_gpio_in , \gpio_control_in_2[7].user_gpio_in , \gpio_control_in_2[6].user_gpio_in , \gpio_control_in_2[5].user_gpio_in , \gpio_control_in_2[4].user_gpio_in , \gpio_control_in_2[3].user_gpio_in , \gpio_control_in_2[2].user_gpio_in , \gpio_control_in_2[1].user_gpio_in , \gpio_control_in_2[0].user_gpio_in , \gpio_control_in_1[10].user_gpio_in , \gpio_control_in_1[9].user_gpio_in , \gpio_control_in_1[8].user_gpio_in , \gpio_control_in_1[7].user_gpio_in , \gpio_control_in_1[6].user_gpio_in , \gpio_control_in_1[5].user_gpio_in , \gpio_control_in_1[4].user_gpio_in , \gpio_control_in_1[3].user_gpio_in , \gpio_control_in_1[2].user_gpio_in , \gpio_control_in_1[1].user_gpio_in , \gpio_control_in_1[0].user_gpio_in , \gpio_control_in_1a[5].user_gpio_in , \gpio_control_in_1a[4].user_gpio_in , \gpio_control_in_1a[3].user_gpio_in , \gpio_control_in_1a[2].user_gpio_in , \gpio_control_in_1a[1].user_gpio_in , \gpio_control_in_1a[0].user_gpio_in , \gpio_control_bidir_1[1].user_gpio_in , \gpio_control_bidir_1[0].user_gpio_in }), + .io_oeb({ \user_io_oeb[37] , \user_io_oeb[36] , \user_io_oeb[35] , \user_io_oeb[34] , \user_io_oeb[33] , \user_io_oeb[32] , \user_io_oeb[31] , \user_io_oeb[30] , \user_io_oeb[29] , \user_io_oeb[28] , \user_io_oeb[27] , \user_io_oeb[26] , \user_io_oeb[25] , \user_io_oeb[24] , \user_io_oeb[23] , \user_io_oeb[22] , \user_io_oeb[21] , \user_io_oeb[20] , \user_io_oeb[19] , \user_io_oeb[18] , \user_io_oeb[17] , \user_io_oeb[16] , \user_io_oeb[15] , \user_io_oeb[14] , \user_io_oeb[13] , \user_io_oeb[12] , \user_io_oeb[11] , \user_io_oeb[10] , \user_io_oeb[9] , \user_io_oeb[8] , \user_io_oeb[7] , \user_io_oeb[6] , \user_io_oeb[5] , \user_io_oeb[4] , \user_io_oeb[3] , \user_io_oeb[2] , \user_io_oeb[1] , \user_io_oeb[0] }), + .io_out({ \user_io_out[37] , \user_io_out[36] , \user_io_out[35] , \user_io_out[34] , \user_io_out[33] , \user_io_out[32] , \user_io_out[31] , \user_io_out[30] , \user_io_out[29] , \user_io_out[28] , \user_io_out[27] , \user_io_out[26] , \user_io_out[25] , \user_io_out[24] , \user_io_out[23] , \user_io_out[22] , \user_io_out[21] , \user_io_out[20] , \user_io_out[19] , \user_io_out[18] , \user_io_out[17] , \user_io_out[16] , \user_io_out[15] , \user_io_out[14] , \user_io_out[13] , \user_io_out[12] , \user_io_out[11] , \user_io_out[10] , \user_io_out[9] , \user_io_out[8] , \user_io_out[7] , \user_io_out[6] , \user_io_out[5] , \user_io_out[4] , \user_io_out[3] , \user_io_out[2] , \user_io_out[1] , \user_io_out[0] }), + .la_data_in({ \mgmt_buffers.la_data_in_core[127] , \mgmt_buffers.la_data_in_core[126] , \mgmt_buffers.la_data_in_core[125] , \mgmt_buffers.la_data_in_core[124] , \mgmt_buffers.la_data_in_core[123] , \mgmt_buffers.la_data_in_core[122] , \mgmt_buffers.la_data_in_core[121] , \mgmt_buffers.la_data_in_core[120] , \mgmt_buffers.la_data_in_core[119] , \mgmt_buffers.la_data_in_core[118] , \mgmt_buffers.la_data_in_core[117] , \mgmt_buffers.la_data_in_core[116] , \mgmt_buffers.la_data_in_core[115] , \mgmt_buffers.la_data_in_core[114] , \mgmt_buffers.la_data_in_core[113] , \mgmt_buffers.la_data_in_core[112] , \mgmt_buffers.la_data_in_core[111] , \mgmt_buffers.la_data_in_core[110] , \mgmt_buffers.la_data_in_core[109] , \mgmt_buffers.la_data_in_core[108] , \mgmt_buffers.la_data_in_core[107] , \mgmt_buffers.la_data_in_core[106] , \mgmt_buffers.la_data_in_core[105] , \mgmt_buffers.la_data_in_core[104] , \mgmt_buffers.la_data_in_core[103] , \mgmt_buffers.la_data_in_core[102] , \mgmt_buffers.la_data_in_core[101] , \mgmt_buffers.la_data_in_core[100] , \mgmt_buffers.la_data_in_core[99] , \mgmt_buffers.la_data_in_core[98] , \mgmt_buffers.la_data_in_core[97] , \mgmt_buffers.la_data_in_core[96] , \mgmt_buffers.la_data_in_core[95] , \mgmt_buffers.la_data_in_core[94] , \mgmt_buffers.la_data_in_core[93] , \mgmt_buffers.la_data_in_core[92] , \mgmt_buffers.la_data_in_core[91] , \mgmt_buffers.la_data_in_core[90] , \mgmt_buffers.la_data_in_core[89] , \mgmt_buffers.la_data_in_core[88] , \mgmt_buffers.la_data_in_core[87] , \mgmt_buffers.la_data_in_core[86] , \mgmt_buffers.la_data_in_core[85] , \mgmt_buffers.la_data_in_core[84] , \mgmt_buffers.la_data_in_core[83] , \mgmt_buffers.la_data_in_core[82] , \mgmt_buffers.la_data_in_core[81] , \mgmt_buffers.la_data_in_core[80] , \mgmt_buffers.la_data_in_core[79] , \mgmt_buffers.la_data_in_core[78] , \mgmt_buffers.la_data_in_core[77] , \mgmt_buffers.la_data_in_core[76] , \mgmt_buffers.la_data_in_core[75] , \mgmt_buffers.la_data_in_core[74] , \mgmt_buffers.la_data_in_core[73] , \mgmt_buffers.la_data_in_core[72] , \mgmt_buffers.la_data_in_core[71] , \mgmt_buffers.la_data_in_core[70] , \mgmt_buffers.la_data_in_core[69] , \mgmt_buffers.la_data_in_core[68] , \mgmt_buffers.la_data_in_core[67] , \mgmt_buffers.la_data_in_core[66] , \mgmt_buffers.la_data_in_core[65] , \mgmt_buffers.la_data_in_core[64] , \mgmt_buffers.la_data_in_core[63] , \mgmt_buffers.la_data_in_core[62] , \mgmt_buffers.la_data_in_core[61] , \mgmt_buffers.la_data_in_core[60] , \mgmt_buffers.la_data_in_core[59] , \mgmt_buffers.la_data_in_core[58] , \mgmt_buffers.la_data_in_core[57] , \mgmt_buffers.la_data_in_core[56] , \mgmt_buffers.la_data_in_core[55] , \mgmt_buffers.la_data_in_core[54] , \mgmt_buffers.la_data_in_core[53] , \mgmt_buffers.la_data_in_core[52] , \mgmt_buffers.la_data_in_core[51] , \mgmt_buffers.la_data_in_core[50] , \mgmt_buffers.la_data_in_core[49] , \mgmt_buffers.la_data_in_core[48] , \mgmt_buffers.la_data_in_core[47] , \mgmt_buffers.la_data_in_core[46] , \mgmt_buffers.la_data_in_core[45] , \mgmt_buffers.la_data_in_core[44] , \mgmt_buffers.la_data_in_core[43] , \mgmt_buffers.la_data_in_core[42] , \mgmt_buffers.la_data_in_core[41] , \mgmt_buffers.la_data_in_core[40] , \mgmt_buffers.la_data_in_core[39] , \mgmt_buffers.la_data_in_core[38] , \mgmt_buffers.la_data_in_core[37] , \mgmt_buffers.la_data_in_core[36] , \mgmt_buffers.la_data_in_core[35] , \mgmt_buffers.la_data_in_core[34] , \mgmt_buffers.la_data_in_core[33] , \mgmt_buffers.la_data_in_core[32] , \mgmt_buffers.la_data_in_core[31] , \mgmt_buffers.la_data_in_core[30] , \mgmt_buffers.la_data_in_core[29] , \mgmt_buffers.la_data_in_core[28] , \mgmt_buffers.la_data_in_core[27] , \mgmt_buffers.la_data_in_core[26] , \mgmt_buffers.la_data_in_core[25] , \mgmt_buffers.la_data_in_core[24] , \mgmt_buffers.la_data_in_core[23] , \mgmt_buffers.la_data_in_core[22] , \mgmt_buffers.la_data_in_core[21] , \mgmt_buffers.la_data_in_core[20] , \mgmt_buffers.la_data_in_core[19] , \mgmt_buffers.la_data_in_core[18] , \mgmt_buffers.la_data_in_core[17] , \mgmt_buffers.la_data_in_core[16] , \mgmt_buffers.la_data_in_core[15] , \mgmt_buffers.la_data_in_core[14] , \mgmt_buffers.la_data_in_core[13] , \mgmt_buffers.la_data_in_core[12] , \mgmt_buffers.la_data_in_core[11] , \mgmt_buffers.la_data_in_core[10] , \mgmt_buffers.la_data_in_core[9] , \mgmt_buffers.la_data_in_core[8] , \mgmt_buffers.la_data_in_core[7] , \mgmt_buffers.la_data_in_core[6] , \mgmt_buffers.la_data_in_core[5] , \mgmt_buffers.la_data_in_core[4] , \mgmt_buffers.la_data_in_core[3] , \mgmt_buffers.la_data_in_core[2] , \mgmt_buffers.la_data_in_core[1] , \mgmt_buffers.la_data_in_core[0] }), + .la_data_out({ \mgmt_buffers.la_data_out_core[127] , \mgmt_buffers.la_data_out_core[126] , \mgmt_buffers.la_data_out_core[125] , \mgmt_buffers.la_data_out_core[124] , \mgmt_buffers.la_data_out_core[123] , \mgmt_buffers.la_data_out_core[122] , \mgmt_buffers.la_data_out_core[121] , \mgmt_buffers.la_data_out_core[120] , \mgmt_buffers.la_data_out_core[119] , \mgmt_buffers.la_data_out_core[118] , \mgmt_buffers.la_data_out_core[117] , \mgmt_buffers.la_data_out_core[116] , \mgmt_buffers.la_data_out_core[115] , \mgmt_buffers.la_data_out_core[114] , \mgmt_buffers.la_data_out_core[113] , \mgmt_buffers.la_data_out_core[112] , \mgmt_buffers.la_data_out_core[111] , \mgmt_buffers.la_data_out_core[110] , \mgmt_buffers.la_data_out_core[109] , \mgmt_buffers.la_data_out_core[108] , \mgmt_buffers.la_data_out_core[107] , \mgmt_buffers.la_data_out_core[106] , \mgmt_buffers.la_data_out_core[105] , \mgmt_buffers.la_data_out_core[104] , \mgmt_buffers.la_data_out_core[103] , \mgmt_buffers.la_data_out_core[102] , \mgmt_buffers.la_data_out_core[101] , \mgmt_buffers.la_data_out_core[100] , \mgmt_buffers.la_data_out_core[99] , \mgmt_buffers.la_data_out_core[98] , \mgmt_buffers.la_data_out_core[97] , \mgmt_buffers.la_data_out_core[96] , \mgmt_buffers.la_data_out_core[95] , \mgmt_buffers.la_data_out_core[94] , \mgmt_buffers.la_data_out_core[93] , \mgmt_buffers.la_data_out_core[92] , \mgmt_buffers.la_data_out_core[91] , \mgmt_buffers.la_data_out_core[90] , \mgmt_buffers.la_data_out_core[89] , \mgmt_buffers.la_data_out_core[88] , \mgmt_buffers.la_data_out_core[87] , \mgmt_buffers.la_data_out_core[86] , \mgmt_buffers.la_data_out_core[85] , \mgmt_buffers.la_data_out_core[84] , \mgmt_buffers.la_data_out_core[83] , \mgmt_buffers.la_data_out_core[82] , \mgmt_buffers.la_data_out_core[81] , \mgmt_buffers.la_data_out_core[80] , \mgmt_buffers.la_data_out_core[79] , \mgmt_buffers.la_data_out_core[78] , \mgmt_buffers.la_data_out_core[77] , \mgmt_buffers.la_data_out_core[76] , \mgmt_buffers.la_data_out_core[75] , \mgmt_buffers.la_data_out_core[74] , \mgmt_buffers.la_data_out_core[73] , \mgmt_buffers.la_data_out_core[72] , \mgmt_buffers.la_data_out_core[71] , \mgmt_buffers.la_data_out_core[70] , \mgmt_buffers.la_data_out_core[69] , \mgmt_buffers.la_data_out_core[68] , \mgmt_buffers.la_data_out_core[67] , \mgmt_buffers.la_data_out_core[66] , \mgmt_buffers.la_data_out_core[65] , \mgmt_buffers.la_data_out_core[64] , \mgmt_buffers.la_data_out_core[63] , \mgmt_buffers.la_data_out_core[62] , \mgmt_buffers.la_data_out_core[61] , \mgmt_buffers.la_data_out_core[60] , \mgmt_buffers.la_data_out_core[59] , \mgmt_buffers.la_data_out_core[58] , \mgmt_buffers.la_data_out_core[57] , \mgmt_buffers.la_data_out_core[56] , \mgmt_buffers.la_data_out_core[55] , \mgmt_buffers.la_data_out_core[54] , \mgmt_buffers.la_data_out_core[53] , \mgmt_buffers.la_data_out_core[52] , \mgmt_buffers.la_data_out_core[51] , \mgmt_buffers.la_data_out_core[50] , \mgmt_buffers.la_data_out_core[49] , \mgmt_buffers.la_data_out_core[48] , \mgmt_buffers.la_data_out_core[47] , \mgmt_buffers.la_data_out_core[46] , \mgmt_buffers.la_data_out_core[45] , \mgmt_buffers.la_data_out_core[44] , \mgmt_buffers.la_data_out_core[43] , \mgmt_buffers.la_data_out_core[42] , \mgmt_buffers.la_data_out_core[41] , \mgmt_buffers.la_data_out_core[40] , \mgmt_buffers.la_data_out_core[39] , \mgmt_buffers.la_data_out_core[38] , \mgmt_buffers.la_data_out_core[37] , \mgmt_buffers.la_data_out_core[36] , \mgmt_buffers.la_data_out_core[35] , \mgmt_buffers.la_data_out_core[34] , \mgmt_buffers.la_data_out_core[33] , \mgmt_buffers.la_data_out_core[32] , \mgmt_buffers.la_data_out_core[31] , \mgmt_buffers.la_data_out_core[30] , \mgmt_buffers.la_data_out_core[29] , \mgmt_buffers.la_data_out_core[28] , \mgmt_buffers.la_data_out_core[27] , \mgmt_buffers.la_data_out_core[26] , \mgmt_buffers.la_data_out_core[25] , \mgmt_buffers.la_data_out_core[24] , \mgmt_buffers.la_data_out_core[23] , \mgmt_buffers.la_data_out_core[22] , \mgmt_buffers.la_data_out_core[21] , \mgmt_buffers.la_data_out_core[20] , \mgmt_buffers.la_data_out_core[19] , \mgmt_buffers.la_data_out_core[18] , \mgmt_buffers.la_data_out_core[17] , \mgmt_buffers.la_data_out_core[16] , \mgmt_buffers.la_data_out_core[15] , \mgmt_buffers.la_data_out_core[14] , \mgmt_buffers.la_data_out_core[13] , \mgmt_buffers.la_data_out_core[12] , \mgmt_buffers.la_data_out_core[11] , \mgmt_buffers.la_data_out_core[10] , \mgmt_buffers.la_data_out_core[9] , \mgmt_buffers.la_data_out_core[8] , \mgmt_buffers.la_data_out_core[7] , \mgmt_buffers.la_data_out_core[6] , \mgmt_buffers.la_data_out_core[5] , \mgmt_buffers.la_data_out_core[4] , \mgmt_buffers.la_data_out_core[3] , \mgmt_buffers.la_data_out_core[2] , \mgmt_buffers.la_data_out_core[1] , \mgmt_buffers.la_data_out_core[0] }), + .la_oenb({ \mgmt_buffers.la_oenb_core[127] , \mgmt_buffers.la_oenb_core[126] , \mgmt_buffers.la_oenb_core[125] , \mgmt_buffers.la_oenb_core[124] , \mgmt_buffers.la_oenb_core[123] , \mgmt_buffers.la_oenb_core[122] , \mgmt_buffers.la_oenb_core[121] , \mgmt_buffers.la_oenb_core[120] , \mgmt_buffers.la_oenb_core[119] , \mgmt_buffers.la_oenb_core[118] , \mgmt_buffers.la_oenb_core[117] , \mgmt_buffers.la_oenb_core[116] , \mgmt_buffers.la_oenb_core[115] , \mgmt_buffers.la_oenb_core[114] , \mgmt_buffers.la_oenb_core[113] , \mgmt_buffers.la_oenb_core[112] , \mgmt_buffers.la_oenb_core[111] , \mgmt_buffers.la_oenb_core[110] , \mgmt_buffers.la_oenb_core[109] , \mgmt_buffers.la_oenb_core[108] , \mgmt_buffers.la_oenb_core[107] , \mgmt_buffers.la_oenb_core[106] , \mgmt_buffers.la_oenb_core[105] , \mgmt_buffers.la_oenb_core[104] , \mgmt_buffers.la_oenb_core[103] , \mgmt_buffers.la_oenb_core[102] , \mgmt_buffers.la_oenb_core[101] , \mgmt_buffers.la_oenb_core[100] , \mgmt_buffers.la_oenb_core[99] , \mgmt_buffers.la_oenb_core[98] , \mgmt_buffers.la_oenb_core[97] , \mgmt_buffers.la_oenb_core[96] , \mgmt_buffers.la_oenb_core[95] , \mgmt_buffers.la_oenb_core[94] , \mgmt_buffers.la_oenb_core[93] , \mgmt_buffers.la_oenb_core[92] , \mgmt_buffers.la_oenb_core[91] , \mgmt_buffers.la_oenb_core[90] , \mgmt_buffers.la_oenb_core[89] , \mgmt_buffers.la_oenb_core[88] , \mgmt_buffers.la_oenb_core[87] , \mgmt_buffers.la_oenb_core[86] , \mgmt_buffers.la_oenb_core[85] , \mgmt_buffers.la_oenb_core[84] , \mgmt_buffers.la_oenb_core[83] , \mgmt_buffers.la_oenb_core[82] , \mgmt_buffers.la_oenb_core[81] , \mgmt_buffers.la_oenb_core[80] , \mgmt_buffers.la_oenb_core[79] , \mgmt_buffers.la_oenb_core[78] , \mgmt_buffers.la_oenb_core[77] , \mgmt_buffers.la_oenb_core[76] , \mgmt_buffers.la_oenb_core[75] , \mgmt_buffers.la_oenb_core[74] , \mgmt_buffers.la_oenb_core[73] , \mgmt_buffers.la_oenb_core[72] , \mgmt_buffers.la_oenb_core[71] , \mgmt_buffers.la_oenb_core[70] , \mgmt_buffers.la_oenb_core[69] , \mgmt_buffers.la_oenb_core[68] , \mgmt_buffers.la_oenb_core[67] , \mgmt_buffers.la_oenb_core[66] , \mgmt_buffers.la_oenb_core[65] , \mgmt_buffers.la_oenb_core[64] , \mgmt_buffers.la_oenb_core[63] , \mgmt_buffers.la_oenb_core[62] , \mgmt_buffers.la_oenb_core[61] , \mgmt_buffers.la_oenb_core[60] , \mgmt_buffers.la_oenb_core[59] , \mgmt_buffers.la_oenb_core[58] , \mgmt_buffers.la_oenb_core[57] , \mgmt_buffers.la_oenb_core[56] , \mgmt_buffers.la_oenb_core[55] , \mgmt_buffers.la_oenb_core[54] , \mgmt_buffers.la_oenb_core[53] , \mgmt_buffers.la_oenb_core[52] , \mgmt_buffers.la_oenb_core[51] , \mgmt_buffers.la_oenb_core[50] , \mgmt_buffers.la_oenb_core[49] , \mgmt_buffers.la_oenb_core[48] , \mgmt_buffers.la_oenb_core[47] , \mgmt_buffers.la_oenb_core[46] , \mgmt_buffers.la_oenb_core[45] , \mgmt_buffers.la_oenb_core[44] , \mgmt_buffers.la_oenb_core[43] , \mgmt_buffers.la_oenb_core[42] , \mgmt_buffers.la_oenb_core[41] , \mgmt_buffers.la_oenb_core[40] , \mgmt_buffers.la_oenb_core[39] , \mgmt_buffers.la_oenb_core[38] , \mgmt_buffers.la_oenb_core[37] , \mgmt_buffers.la_oenb_core[36] , \mgmt_buffers.la_oenb_core[35] , \mgmt_buffers.la_oenb_core[34] , \mgmt_buffers.la_oenb_core[33] , \mgmt_buffers.la_oenb_core[32] , \mgmt_buffers.la_oenb_core[31] , \mgmt_buffers.la_oenb_core[30] , \mgmt_buffers.la_oenb_core[29] , \mgmt_buffers.la_oenb_core[28] , \mgmt_buffers.la_oenb_core[27] , \mgmt_buffers.la_oenb_core[26] , \mgmt_buffers.la_oenb_core[25] , \mgmt_buffers.la_oenb_core[24] , \mgmt_buffers.la_oenb_core[23] , \mgmt_buffers.la_oenb_core[22] , \mgmt_buffers.la_oenb_core[21] , \mgmt_buffers.la_oenb_core[20] , \mgmt_buffers.la_oenb_core[19] , \mgmt_buffers.la_oenb_core[18] , \mgmt_buffers.la_oenb_core[17] , \mgmt_buffers.la_oenb_core[16] , \mgmt_buffers.la_oenb_core[15] , \mgmt_buffers.la_oenb_core[14] , \mgmt_buffers.la_oenb_core[13] , \mgmt_buffers.la_oenb_core[12] , \mgmt_buffers.la_oenb_core[11] , \mgmt_buffers.la_oenb_core[10] , \mgmt_buffers.la_oenb_core[9] , \mgmt_buffers.la_oenb_core[8] , \mgmt_buffers.la_oenb_core[7] , \mgmt_buffers.la_oenb_core[6] , \mgmt_buffers.la_oenb_core[5] , \mgmt_buffers.la_oenb_core[4] , \mgmt_buffers.la_oenb_core[3] , \mgmt_buffers.la_oenb_core[2] , \mgmt_buffers.la_oenb_core[1] , \mgmt_buffers.la_oenb_core[0] }), + .user_clock2(\mgmt_buffers.user_clock2 ), + .user_irq({ \mgmt_buffers.user_irq_core[2] , \mgmt_buffers.user_irq_core[1] , \mgmt_buffers.user_irq_core[0] }), + .wb_clk_i(\mgmt_buffers.user_clock ), + .wb_rst_i(\mgmt_buffers.user_reset ), + .wbs_ack_o(\mgmt_buffers.mprj_ack_i_user ), + .wbs_adr_i({ \mgmt_buffers.mprj_adr_o_user[31] , \mgmt_buffers.mprj_adr_o_user[30] , \mgmt_buffers.mprj_adr_o_user[29] , \mgmt_buffers.mprj_adr_o_user[28] , \mgmt_buffers.mprj_adr_o_user[27] , \mgmt_buffers.mprj_adr_o_user[26] , \mgmt_buffers.mprj_adr_o_user[25] , \mgmt_buffers.mprj_adr_o_user[24] , \mgmt_buffers.mprj_adr_o_user[23] , \mgmt_buffers.mprj_adr_o_user[22] , \mgmt_buffers.mprj_adr_o_user[21] , \mgmt_buffers.mprj_adr_o_user[20] , \mgmt_buffers.mprj_adr_o_user[19] , \mgmt_buffers.mprj_adr_o_user[18] , \mgmt_buffers.mprj_adr_o_user[17] , \mgmt_buffers.mprj_adr_o_user[16] , \mgmt_buffers.mprj_adr_o_user[15] , \mgmt_buffers.mprj_adr_o_user[14] , \mgmt_buffers.mprj_adr_o_user[13] , \mgmt_buffers.mprj_adr_o_user[12] , \mgmt_buffers.mprj_adr_o_user[11] , \mgmt_buffers.mprj_adr_o_user[10] , \mgmt_buffers.mprj_adr_o_user[9] , \mgmt_buffers.mprj_adr_o_user[8] , \mgmt_buffers.mprj_adr_o_user[7] , \mgmt_buffers.mprj_adr_o_user[6] , \mgmt_buffers.mprj_adr_o_user[5] , \mgmt_buffers.mprj_adr_o_user[4] , \mgmt_buffers.mprj_adr_o_user[3] , \mgmt_buffers.mprj_adr_o_user[2] , _16412_, _16411_ }), + .wbs_cyc_i(\mgmt_buffers.mprj_cyc_o_user ), + .wbs_dat_i({ \mgmt_buffers.mprj_dat_o_user[31] , \mgmt_buffers.mprj_dat_o_user[30] , \mgmt_buffers.mprj_dat_o_user[29] , \mgmt_buffers.mprj_dat_o_user[28] , \mgmt_buffers.mprj_dat_o_user[27] , \mgmt_buffers.mprj_dat_o_user[26] , \mgmt_buffers.mprj_dat_o_user[25] , \mgmt_buffers.mprj_dat_o_user[24] , \mgmt_buffers.mprj_dat_o_user[23] , \mgmt_buffers.mprj_dat_o_user[22] , \mgmt_buffers.mprj_dat_o_user[21] , \mgmt_buffers.mprj_dat_o_user[20] , \mgmt_buffers.mprj_dat_o_user[19] , \mgmt_buffers.mprj_dat_o_user[18] , \mgmt_buffers.mprj_dat_o_user[17] , \mgmt_buffers.mprj_dat_o_user[16] , \mgmt_buffers.mprj_dat_o_user[15] , \mgmt_buffers.mprj_dat_o_user[14] , \mgmt_buffers.mprj_dat_o_user[13] , \mgmt_buffers.mprj_dat_o_user[12] , \mgmt_buffers.mprj_dat_o_user[11] , \mgmt_buffers.mprj_dat_o_user[10] , \mgmt_buffers.mprj_dat_o_user[9] , \mgmt_buffers.mprj_dat_o_user[8] , \mgmt_buffers.mprj_dat_o_user[7] , \mgmt_buffers.mprj_dat_o_user[6] , \mgmt_buffers.mprj_dat_o_user[5] , \mgmt_buffers.mprj_dat_o_user[4] , \mgmt_buffers.mprj_dat_o_user[3] , \mgmt_buffers.mprj_dat_o_user[2] , \mgmt_buffers.mprj_dat_o_user[1] , \mgmt_buffers.mprj_dat_o_user[0] }), + .wbs_dat_o({ \mgmt_buffers.mprj_dat_i_user[31] , \mgmt_buffers.mprj_dat_i_user[30] , \mgmt_buffers.mprj_dat_i_user[29] , \mgmt_buffers.mprj_dat_i_user[28] , \mgmt_buffers.mprj_dat_i_user[27] , \mgmt_buffers.mprj_dat_i_user[26] , \mgmt_buffers.mprj_dat_i_user[25] , \mgmt_buffers.mprj_dat_i_user[24] , \mgmt_buffers.mprj_dat_i_user[23] , \mgmt_buffers.mprj_dat_i_user[22] , \mgmt_buffers.mprj_dat_i_user[21] , \mgmt_buffers.mprj_dat_i_user[20] , \mgmt_buffers.mprj_dat_i_user[19] , \mgmt_buffers.mprj_dat_i_user[18] , \mgmt_buffers.mprj_dat_i_user[17] , \mgmt_buffers.mprj_dat_i_user[16] , \mgmt_buffers.mprj_dat_i_user[15] , \mgmt_buffers.mprj_dat_i_user[14] , \mgmt_buffers.mprj_dat_i_user[13] , \mgmt_buffers.mprj_dat_i_user[12] , \mgmt_buffers.mprj_dat_i_user[11] , \mgmt_buffers.mprj_dat_i_user[10] , \mgmt_buffers.mprj_dat_i_user[9] , \mgmt_buffers.mprj_dat_i_user[8] , \mgmt_buffers.mprj_dat_i_user[7] , \mgmt_buffers.mprj_dat_i_user[6] , \mgmt_buffers.mprj_dat_i_user[5] , \mgmt_buffers.mprj_dat_i_user[4] , \mgmt_buffers.mprj_dat_i_user[3] , \mgmt_buffers.mprj_dat_i_user[2] , \mgmt_buffers.mprj_dat_i_user[1] , \mgmt_buffers.mprj_dat_i_user[0] }), + .wbs_sel_i({ \mgmt_buffers.mprj_sel_o_user[3] , \mgmt_buffers.mprj_sel_o_user[2] , \mgmt_buffers.mprj_sel_o_user[1] , \mgmt_buffers.mprj_sel_o_user[0] }), + .wbs_stb_i(\mgmt_buffers.mprj_stb_o_user ), + .wbs_we_i(\mgmt_buffers.mprj_we_o_user ) + ); + sky130_fd_sc_hd__clkbuf_16 \pll.clockp_buffer_0 ( + .A(\pll.clockp_buffer_in[0] ), + .X(pll_clk90) + ); + sky130_fd_sc_hd__clkbuf_16 \pll.clockp_buffer_1 ( + .A(\pll.clockp_buffer_in[1] ), + .X(pll_clk) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[0].id.delaybuf0 ( + .A(\pll.ringosc.dstage[0].id.in ), + .X(\pll.ringosc.dstage[0].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[0].id.delaybuf1 ( + .A(\pll.ringosc.dstage[0].id.ts ), + .X(\pll.ringosc.dstage[0].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[0].id.delayen0 ( + .A(\pll.ringosc.dstage[0].id.d2 ), + .TE(\pll.itrim[0] ), + .Z(\pll.ringosc.dstage[0].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[0].id.delayen1 ( + .A(\pll.ringosc.dstage[0].id.d0 ), + .TE(\pll.itrim[13] ), + .Z(\pll.ringosc.dstage[0].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[0].id.delayenb0 ( + .A(\pll.ringosc.dstage[0].id.ts ), + .TE_B(\pll.itrim[0] ), + .Z(\pll.ringosc.dstage[0].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[0].id.delayenb1 ( + .A(\pll.ringosc.dstage[0].id.ts ), + .TE_B(\pll.itrim[13] ), + .Z(\pll.ringosc.dstage[0].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[0].id.delayint0 ( + .A(\pll.ringosc.dstage[0].id.d1 ), + .Y(\pll.ringosc.dstage[0].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[10].id.delaybuf0 ( + .A(\pll.ringosc.dstage[10].id.in ), + .X(\pll.ringosc.dstage[10].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[10].id.delaybuf1 ( + .A(\pll.ringosc.dstage[10].id.ts ), + .X(\pll.ringosc.dstage[10].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[10].id.delayen0 ( + .A(\pll.ringosc.dstage[10].id.d2 ), + .TE(\pll.itrim[10] ), + .Z(\pll.ringosc.dstage[10].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[10].id.delayen1 ( + .A(\pll.ringosc.dstage[10].id.d0 ), + .TE(\pll.itrim[23] ), + .Z(\pll.ringosc.dstage[10].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[10].id.delayenb0 ( + .A(\pll.ringosc.dstage[10].id.ts ), + .TE_B(\pll.itrim[10] ), + .Z(\pll.ringosc.dstage[10].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[10].id.delayenb1 ( + .A(\pll.ringosc.dstage[10].id.ts ), + .TE_B(\pll.itrim[23] ), + .Z(\pll.ringosc.dstage[10].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[10].id.delayint0 ( + .A(\pll.ringosc.dstage[10].id.d1 ), + .Y(\pll.ringosc.dstage[10].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[11].id.delaybuf0 ( + .A(\pll.ringosc.dstage[10].id.out ), + .X(\pll.ringosc.dstage[11].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[11].id.delaybuf1 ( + .A(\pll.ringosc.dstage[11].id.ts ), + .X(\pll.ringosc.dstage[11].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[11].id.delayen0 ( + .A(\pll.ringosc.dstage[11].id.d2 ), + .TE(\pll.itrim[11] ), + .Z(\pll.ringosc.dstage[11].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[11].id.delayen1 ( + .A(\pll.ringosc.dstage[11].id.d0 ), + .TE(\pll.itrim[24] ), + .Z(\pll.ringosc.dstage[11].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[11].id.delayenb0 ( + .A(\pll.ringosc.dstage[11].id.ts ), + .TE_B(\pll.itrim[11] ), + .Z(\pll.ringosc.dstage[11].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[11].id.delayenb1 ( + .A(\pll.ringosc.dstage[11].id.ts ), + .TE_B(\pll.itrim[24] ), + .Z(\pll.ringosc.dstage[11].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[11].id.delayint0 ( + .A(\pll.ringosc.dstage[11].id.d1 ), + .Y(\pll.ringosc.dstage[11].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[1].id.delaybuf0 ( + .A(\pll.ringosc.dstage[0].id.out ), + .X(\pll.ringosc.dstage[1].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[1].id.delaybuf1 ( + .A(\pll.ringosc.dstage[1].id.ts ), + .X(\pll.ringosc.dstage[1].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[1].id.delayen0 ( + .A(\pll.ringosc.dstage[1].id.d2 ), + .TE(\pll.itrim[1] ), + .Z(\pll.ringosc.dstage[1].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[1].id.delayen1 ( + .A(\pll.ringosc.dstage[1].id.d0 ), + .TE(\pll.itrim[14] ), + .Z(\pll.ringosc.dstage[1].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[1].id.delayenb0 ( + .A(\pll.ringosc.dstage[1].id.ts ), + .TE_B(\pll.itrim[1] ), + .Z(\pll.ringosc.dstage[1].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[1].id.delayenb1 ( + .A(\pll.ringosc.dstage[1].id.ts ), + .TE_B(\pll.itrim[14] ), + .Z(\pll.ringosc.dstage[1].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[1].id.delayint0 ( + .A(\pll.ringosc.dstage[1].id.d1 ), + .Y(\pll.ringosc.dstage[1].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[2].id.delaybuf0 ( + .A(\pll.ringosc.dstage[1].id.out ), + .X(\pll.ringosc.dstage[2].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[2].id.delaybuf1 ( + .A(\pll.ringosc.dstage[2].id.ts ), + .X(\pll.ringosc.dstage[2].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[2].id.delayen0 ( + .A(\pll.ringosc.dstage[2].id.d2 ), + .TE(\pll.itrim[2] ), + .Z(\pll.ringosc.dstage[2].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[2].id.delayen1 ( + .A(\pll.ringosc.dstage[2].id.d0 ), + .TE(\pll.itrim[15] ), + .Z(\pll.ringosc.dstage[2].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[2].id.delayenb0 ( + .A(\pll.ringosc.dstage[2].id.ts ), + .TE_B(\pll.itrim[2] ), + .Z(\pll.ringosc.dstage[2].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[2].id.delayenb1 ( + .A(\pll.ringosc.dstage[2].id.ts ), + .TE_B(\pll.itrim[15] ), + .Z(\pll.ringosc.dstage[2].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[2].id.delayint0 ( + .A(\pll.ringosc.dstage[2].id.d1 ), + .Y(\pll.ringosc.dstage[2].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[3].id.delaybuf0 ( + .A(\pll.ringosc.dstage[2].id.out ), + .X(\pll.ringosc.dstage[3].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[3].id.delaybuf1 ( + .A(\pll.ringosc.dstage[3].id.ts ), + .X(\pll.ringosc.dstage[3].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[3].id.delayen0 ( + .A(\pll.ringosc.dstage[3].id.d2 ), + .TE(\pll.itrim[3] ), + .Z(\pll.ringosc.dstage[3].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[3].id.delayen1 ( + .A(\pll.ringosc.dstage[3].id.d0 ), + .TE(\pll.itrim[16] ), + .Z(\pll.ringosc.dstage[3].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[3].id.delayenb0 ( + .A(\pll.ringosc.dstage[3].id.ts ), + .TE_B(\pll.itrim[3] ), + .Z(\pll.ringosc.dstage[3].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[3].id.delayenb1 ( + .A(\pll.ringosc.dstage[3].id.ts ), + .TE_B(\pll.itrim[16] ), + .Z(\pll.ringosc.dstage[3].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[3].id.delayint0 ( + .A(\pll.ringosc.dstage[3].id.d1 ), + .Y(\pll.ringosc.dstage[3].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[4].id.delaybuf0 ( + .A(\pll.ringosc.dstage[3].id.out ), + .X(\pll.ringosc.dstage[4].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[4].id.delaybuf1 ( + .A(\pll.ringosc.dstage[4].id.ts ), + .X(\pll.ringosc.dstage[4].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[4].id.delayen0 ( + .A(\pll.ringosc.dstage[4].id.d2 ), + .TE(\pll.itrim[4] ), + .Z(\pll.ringosc.dstage[4].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[4].id.delayen1 ( + .A(\pll.ringosc.dstage[4].id.d0 ), + .TE(\pll.itrim[17] ), + .Z(\pll.ringosc.dstage[4].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[4].id.delayenb0 ( + .A(\pll.ringosc.dstage[4].id.ts ), + .TE_B(\pll.itrim[4] ), + .Z(\pll.ringosc.dstage[4].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[4].id.delayenb1 ( + .A(\pll.ringosc.dstage[4].id.ts ), + .TE_B(\pll.itrim[17] ), + .Z(\pll.ringosc.dstage[4].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[4].id.delayint0 ( + .A(\pll.ringosc.dstage[4].id.d1 ), + .Y(\pll.ringosc.dstage[4].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[5].id.delaybuf0 ( + .A(\pll.ringosc.dstage[4].id.out ), + .X(\pll.ringosc.dstage[5].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[5].id.delaybuf1 ( + .A(\pll.ringosc.dstage[5].id.ts ), + .X(\pll.ringosc.dstage[5].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[5].id.delayen0 ( + .A(\pll.ringosc.dstage[5].id.d2 ), + .TE(\pll.itrim[5] ), + .Z(\pll.ringosc.dstage[5].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[5].id.delayen1 ( + .A(\pll.ringosc.dstage[5].id.d0 ), + .TE(\pll.itrim[18] ), + .Z(\pll.ringosc.dstage[5].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[5].id.delayenb0 ( + .A(\pll.ringosc.dstage[5].id.ts ), + .TE_B(\pll.itrim[5] ), + .Z(\pll.ringosc.dstage[5].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[5].id.delayenb1 ( + .A(\pll.ringosc.dstage[5].id.ts ), + .TE_B(\pll.itrim[18] ), + .Z(\pll.ringosc.dstage[5].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[5].id.delayint0 ( + .A(\pll.ringosc.dstage[5].id.d1 ), + .Y(\pll.ringosc.dstage[5].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[6].id.delaybuf0 ( + .A(\pll.ringosc.dstage[5].id.out ), + .X(\pll.ringosc.dstage[6].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[6].id.delaybuf1 ( + .A(\pll.ringosc.dstage[6].id.ts ), + .X(\pll.ringosc.dstage[6].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[6].id.delayen0 ( + .A(\pll.ringosc.dstage[6].id.d2 ), + .TE(\pll.itrim[6] ), + .Z(\pll.ringosc.dstage[6].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[6].id.delayen1 ( + .A(\pll.ringosc.dstage[6].id.d0 ), + .TE(\pll.itrim[19] ), + .Z(\pll.ringosc.dstage[6].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[6].id.delayenb0 ( + .A(\pll.ringosc.dstage[6].id.ts ), + .TE_B(\pll.itrim[6] ), + .Z(\pll.ringosc.dstage[6].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[6].id.delayenb1 ( + .A(\pll.ringosc.dstage[6].id.ts ), + .TE_B(\pll.itrim[19] ), + .Z(\pll.ringosc.dstage[6].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[6].id.delayint0 ( + .A(\pll.ringosc.dstage[6].id.d1 ), + .Y(\pll.ringosc.dstage[6].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[7].id.delaybuf0 ( + .A(\pll.ringosc.dstage[6].id.out ), + .X(\pll.ringosc.dstage[7].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[7].id.delaybuf1 ( + .A(\pll.ringosc.dstage[7].id.ts ), + .X(\pll.ringosc.dstage[7].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[7].id.delayen0 ( + .A(\pll.ringosc.dstage[7].id.d2 ), + .TE(\pll.itrim[7] ), + .Z(\pll.ringosc.dstage[7].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[7].id.delayen1 ( + .A(\pll.ringosc.dstage[7].id.d0 ), + .TE(\pll.itrim[20] ), + .Z(\pll.ringosc.dstage[7].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[7].id.delayenb0 ( + .A(\pll.ringosc.dstage[7].id.ts ), + .TE_B(\pll.itrim[7] ), + .Z(\pll.ringosc.dstage[7].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[7].id.delayenb1 ( + .A(\pll.ringosc.dstage[7].id.ts ), + .TE_B(\pll.itrim[20] ), + .Z(\pll.ringosc.dstage[7].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[7].id.delayint0 ( + .A(\pll.ringosc.dstage[7].id.d1 ), + .Y(\pll.ringosc.dstage[7].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[8].id.delaybuf0 ( + .A(\pll.ringosc.dstage[7].id.out ), + .X(\pll.ringosc.dstage[8].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[8].id.delaybuf1 ( + .A(\pll.ringosc.dstage[8].id.ts ), + .X(\pll.ringosc.dstage[8].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[8].id.delayen0 ( + .A(\pll.ringosc.dstage[8].id.d2 ), + .TE(\pll.itrim[8] ), + .Z(\pll.ringosc.dstage[8].id.out ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[8].id.delayen1 ( + .A(\pll.ringosc.dstage[8].id.d0 ), + .TE(\pll.itrim[21] ), + .Z(\pll.ringosc.dstage[8].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[8].id.delayenb0 ( + .A(\pll.ringosc.dstage[8].id.ts ), + .TE_B(\pll.itrim[8] ), + .Z(\pll.ringosc.dstage[8].id.out ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[8].id.delayenb1 ( + .A(\pll.ringosc.dstage[8].id.ts ), + .TE_B(\pll.itrim[21] ), + .Z(\pll.ringosc.dstage[8].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[8].id.delayint0 ( + .A(\pll.ringosc.dstage[8].id.d1 ), + .Y(\pll.ringosc.dstage[8].id.d2 ) + ); + sky130_fd_sc_hd__clkbuf_2 \pll.ringosc.dstage[9].id.delaybuf0 ( + .A(\pll.ringosc.dstage[8].id.out ), + .X(\pll.ringosc.dstage[9].id.ts ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.dstage[9].id.delaybuf1 ( + .A(\pll.ringosc.dstage[9].id.ts ), + .X(\pll.ringosc.dstage[9].id.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[9].id.delayen0 ( + .A(\pll.ringosc.dstage[9].id.d2 ), + .TE(\pll.itrim[9] ), + .Z(\pll.ringosc.dstage[10].id.in ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.dstage[9].id.delayen1 ( + .A(\pll.ringosc.dstage[9].id.d0 ), + .TE(\pll.itrim[22] ), + .Z(\pll.ringosc.dstage[9].id.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.dstage[9].id.delayenb0 ( + .A(\pll.ringosc.dstage[9].id.ts ), + .TE_B(\pll.itrim[9] ), + .Z(\pll.ringosc.dstage[10].id.in ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.dstage[9].id.delayenb1 ( + .A(\pll.ringosc.dstage[9].id.ts ), + .TE_B(\pll.itrim[22] ), + .Z(\pll.ringosc.dstage[9].id.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.dstage[9].id.delayint0 ( + .A(\pll.ringosc.dstage[9].id.d1 ), + .Y(\pll.ringosc.dstage[9].id.d2 ) + ); + sky130_fd_sc_hd__clkinv_2 \pll.ringosc.ibufp00 ( + .A(\pll.ringosc.dstage[0].id.in ), + .Y(\pll.ringosc.c[0] ) + ); + sky130_fd_sc_hd__clkinv_8 \pll.ringosc.ibufp01 ( + .A(\pll.ringosc.c[0] ), + .Y(\pll.clockp_buffer_in[0] ) + ); + sky130_fd_sc_hd__clkinv_2 \pll.ringosc.ibufp10 ( + .A(\pll.ringosc.dstage[5].id.out ), + .Y(\pll.ringosc.c[1] ) + ); + sky130_fd_sc_hd__clkinv_8 \pll.ringosc.ibufp11 ( + .A(\pll.ringosc.c[1] ), + .Y(\pll.clockp_buffer_in[1] ) + ); + sky130_fd_sc_hd__conb_1 \pll.ringosc.iss.const1 ( + .HI(\pll.ringosc.iss.one ) + ); + sky130_fd_sc_hd__or2_2 \pll.ringosc.iss.ctrlen0 ( + .A(\pll.ireset ), + .B(\pll.itrim[12] ), + .X(\pll.ringosc.iss.ctrl0 ) + ); + sky130_fd_sc_hd__clkbuf_1 \pll.ringosc.iss.delaybuf0 ( + .A(\pll.ringosc.dstage[11].id.out ), + .X(\pll.ringosc.iss.d0 ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.iss.delayen0 ( + .A(\pll.ringosc.iss.d2 ), + .TE(\pll.itrim[12] ), + .Z(\pll.ringosc.dstage[0].id.in ) + ); + sky130_fd_sc_hd__einvp_2 \pll.ringosc.iss.delayen1 ( + .A(\pll.ringosc.iss.d0 ), + .TE(\pll.itrim[25] ), + .Z(\pll.ringosc.iss.d1 ) + ); + sky130_fd_sc_hd__einvn_8 \pll.ringosc.iss.delayenb0 ( + .A(\pll.ringosc.dstage[11].id.out ), + .TE_B(\pll.ringosc.iss.ctrl0 ), + .Z(\pll.ringosc.dstage[0].id.in ) + ); + sky130_fd_sc_hd__einvn_4 \pll.ringosc.iss.delayenb1 ( + .A(\pll.ringosc.dstage[11].id.out ), + .TE_B(\pll.itrim[25] ), + .Z(\pll.ringosc.iss.d1 ) + ); + sky130_fd_sc_hd__clkinv_1 \pll.ringosc.iss.delayint0 ( + .A(\pll.ringosc.iss.d1 ), + .Y(\pll.ringosc.iss.d2 ) + ); + sky130_fd_sc_hd__einvp_1 \pll.ringosc.iss.reseten0 ( + .A(\pll.ringosc.iss.one ), + .TE(\pll.ireset ), + .Z(\pll.ringosc.dstage[0].id.in ) + ); + simple_por por ( + .por_l(por_l), + .porb_h(porb_h), + .porb_l(porb_l) + ); + xres_buf rstb_level ( + .A(rstb_h), + .X(\pll.resetb ) + ); + RAM128 \soc.core.RAM128 ( + .A0({ \mgmt_buffers.mprj_adr_o_core[8] , \mgmt_buffers.mprj_adr_o_core[7] , \mgmt_buffers.mprj_adr_o_core[6] , \mgmt_buffers.mprj_adr_o_core[5] , \mgmt_buffers.mprj_adr_o_core[4] , \mgmt_buffers.mprj_adr_o_core[3] , \mgmt_buffers.mprj_adr_o_core[2] }), + .CLK(\mgmt_buffers.caravel_clk ), + .Di0({ \mgmt_buffers.mprj_dat_o_core[31] , \mgmt_buffers.mprj_dat_o_core[30] , \mgmt_buffers.mprj_dat_o_core[29] , \mgmt_buffers.mprj_dat_o_core[28] , \mgmt_buffers.mprj_dat_o_core[27] , \mgmt_buffers.mprj_dat_o_core[26] , \mgmt_buffers.mprj_dat_o_core[25] , \mgmt_buffers.mprj_dat_o_core[24] , \mgmt_buffers.mprj_dat_o_core[23] , \mgmt_buffers.mprj_dat_o_core[22] , \mgmt_buffers.mprj_dat_o_core[21] , \mgmt_buffers.mprj_dat_o_core[20] , \mgmt_buffers.mprj_dat_o_core[19] , \mgmt_buffers.mprj_dat_o_core[18] , \mgmt_buffers.mprj_dat_o_core[17] , \mgmt_buffers.mprj_dat_o_core[16] , \mgmt_buffers.mprj_dat_o_core[15] , \mgmt_buffers.mprj_dat_o_core[14] , \mgmt_buffers.mprj_dat_o_core[13] , \mgmt_buffers.mprj_dat_o_core[12] , \mgmt_buffers.mprj_dat_o_core[11] , \mgmt_buffers.mprj_dat_o_core[10] , \mgmt_buffers.mprj_dat_o_core[9] , \mgmt_buffers.mprj_dat_o_core[8] , \mgmt_buffers.mprj_dat_o_core[7] , \mgmt_buffers.mprj_dat_o_core[6] , \mgmt_buffers.mprj_dat_o_core[5] , \mgmt_buffers.mprj_dat_o_core[4] , \mgmt_buffers.mprj_dat_o_core[3] , \mgmt_buffers.mprj_dat_o_core[2] , \mgmt_buffers.mprj_dat_o_core[1] , \mgmt_buffers.mprj_dat_o_core[0] }), + .Do0({ \soc.core.dff2_bus_dat_r[31] , \soc.core.dff2_bus_dat_r[30] , \soc.core.dff2_bus_dat_r[29] , \soc.core.dff2_bus_dat_r[28] , \soc.core.dff2_bus_dat_r[27] , \soc.core.dff2_bus_dat_r[26] , \soc.core.dff2_bus_dat_r[25] , \soc.core.dff2_bus_dat_r[24] , \soc.core.dff2_bus_dat_r[23] , \soc.core.dff2_bus_dat_r[22] , \soc.core.dff2_bus_dat_r[21] , \soc.core.dff2_bus_dat_r[20] , \soc.core.dff2_bus_dat_r[19] , \soc.core.dff2_bus_dat_r[18] , \soc.core.dff2_bus_dat_r[17] , \soc.core.dff2_bus_dat_r[16] , \soc.core.dff2_bus_dat_r[15] , \soc.core.dff2_bus_dat_r[14] , \soc.core.dff2_bus_dat_r[13] , \soc.core.dff2_bus_dat_r[12] , \soc.core.dff2_bus_dat_r[11] , \soc.core.dff2_bus_dat_r[10] , \soc.core.dff2_bus_dat_r[9] , \soc.core.dff2_bus_dat_r[8] , \soc.core.dff2_bus_dat_r[7] , \soc.core.dff2_bus_dat_r[6] , \soc.core.dff2_bus_dat_r[5] , \soc.core.dff2_bus_dat_r[4] , \soc.core.dff2_bus_dat_r[3] , \soc.core.dff2_bus_dat_r[2] , \soc.core.dff2_bus_dat_r[1] , \soc.core.dff2_bus_dat_r[0] }), + .EN0(\soc.core.dff2_en ), + .WE0({ \soc.core.dff2_we[3] , \soc.core.dff2_we[2] , \soc.core.dff2_we[1] , \soc.core.dff2_we[0] }) + ); + RAM128 \soc.core.RAM256.BANK128[0].RAM128 ( + .A0({ \mgmt_buffers.mprj_adr_o_core[8] , \mgmt_buffers.mprj_adr_o_core[7] , \mgmt_buffers.mprj_adr_o_core[6] , \mgmt_buffers.mprj_adr_o_core[5] , \mgmt_buffers.mprj_adr_o_core[4] , \mgmt_buffers.mprj_adr_o_core[3] , \mgmt_buffers.mprj_adr_o_core[2] }), + .CLK(\mgmt_buffers.caravel_clk ), + .Di0({ \mgmt_buffers.mprj_dat_o_core[31] , \mgmt_buffers.mprj_dat_o_core[30] , \mgmt_buffers.mprj_dat_o_core[29] , \mgmt_buffers.mprj_dat_o_core[28] , \mgmt_buffers.mprj_dat_o_core[27] , \mgmt_buffers.mprj_dat_o_core[26] , \mgmt_buffers.mprj_dat_o_core[25] , \mgmt_buffers.mprj_dat_o_core[24] , \mgmt_buffers.mprj_dat_o_core[23] , \mgmt_buffers.mprj_dat_o_core[22] , \mgmt_buffers.mprj_dat_o_core[21] , \mgmt_buffers.mprj_dat_o_core[20] , \mgmt_buffers.mprj_dat_o_core[19] , \mgmt_buffers.mprj_dat_o_core[18] , \mgmt_buffers.mprj_dat_o_core[17] , \mgmt_buffers.mprj_dat_o_core[16] , \mgmt_buffers.mprj_dat_o_core[15] , \mgmt_buffers.mprj_dat_o_core[14] , \mgmt_buffers.mprj_dat_o_core[13] , \mgmt_buffers.mprj_dat_o_core[12] , \mgmt_buffers.mprj_dat_o_core[11] , \mgmt_buffers.mprj_dat_o_core[10] , \mgmt_buffers.mprj_dat_o_core[9] , \mgmt_buffers.mprj_dat_o_core[8] , \mgmt_buffers.mprj_dat_o_core[7] , \mgmt_buffers.mprj_dat_o_core[6] , \mgmt_buffers.mprj_dat_o_core[5] , \mgmt_buffers.mprj_dat_o_core[4] , \mgmt_buffers.mprj_dat_o_core[3] , \mgmt_buffers.mprj_dat_o_core[2] , \mgmt_buffers.mprj_dat_o_core[1] , \mgmt_buffers.mprj_dat_o_core[0] }), + .Do0({ \soc.core.RAM256.Do0_pre[0][31] , \soc.core.RAM256.Do0_pre[0][30] , \soc.core.RAM256.Do0_pre[0][29] , \soc.core.RAM256.Do0_pre[0][28] , \soc.core.RAM256.Do0_pre[0][27] , \soc.core.RAM256.Do0_pre[0][26] , \soc.core.RAM256.Do0_pre[0][25] , \soc.core.RAM256.Do0_pre[0][24] , \soc.core.RAM256.Do0_pre[0][23] , \soc.core.RAM256.Do0_pre[0][22] , \soc.core.RAM256.Do0_pre[0][21] , \soc.core.RAM256.Do0_pre[0][20] , \soc.core.RAM256.Do0_pre[0][19] , \soc.core.RAM256.Do0_pre[0][18] , \soc.core.RAM256.Do0_pre[0][17] , \soc.core.RAM256.Do0_pre[0][16] , \soc.core.RAM256.Do0_pre[0][15] , \soc.core.RAM256.Do0_pre[0][14] , \soc.core.RAM256.Do0_pre[0][13] , \soc.core.RAM256.Do0_pre[0][12] , \soc.core.RAM256.Do0_pre[0][11] , \soc.core.RAM256.Do0_pre[0][10] , \soc.core.RAM256.Do0_pre[0][9] , \soc.core.RAM256.Do0_pre[0][8] , \soc.core.RAM256.Do0_pre[0][7] , \soc.core.RAM256.Do0_pre[0][6] , \soc.core.RAM256.Do0_pre[0][5] , \soc.core.RAM256.Do0_pre[0][4] , \soc.core.RAM256.Do0_pre[0][3] , \soc.core.RAM256.Do0_pre[0][2] , \soc.core.RAM256.Do0_pre[0][1] , \soc.core.RAM256.Do0_pre[0][0] }), + .EN0(\soc.core.RAM256.SEL0[0] ), + .WE0({ \soc.core.RAM256.WE0[3] , \soc.core.RAM256.WE0[2] , \soc.core.RAM256.WE0[1] , \soc.core.RAM256.WE0[0] }) + ); + RAM128 \soc.core.RAM256.BANK128[1].RAM128 ( + .A0({ \mgmt_buffers.mprj_adr_o_core[8] , \mgmt_buffers.mprj_adr_o_core[7] , \mgmt_buffers.mprj_adr_o_core[6] , \mgmt_buffers.mprj_adr_o_core[5] , \mgmt_buffers.mprj_adr_o_core[4] , \mgmt_buffers.mprj_adr_o_core[3] , \mgmt_buffers.mprj_adr_o_core[2] }), + .CLK(\mgmt_buffers.caravel_clk ), + .Di0({ \mgmt_buffers.mprj_dat_o_core[31] , \mgmt_buffers.mprj_dat_o_core[30] , \mgmt_buffers.mprj_dat_o_core[29] , \mgmt_buffers.mprj_dat_o_core[28] , \mgmt_buffers.mprj_dat_o_core[27] , \mgmt_buffers.mprj_dat_o_core[26] , \mgmt_buffers.mprj_dat_o_core[25] , \mgmt_buffers.mprj_dat_o_core[24] , \mgmt_buffers.mprj_dat_o_core[23] , \mgmt_buffers.mprj_dat_o_core[22] , \mgmt_buffers.mprj_dat_o_core[21] , \mgmt_buffers.mprj_dat_o_core[20] , \mgmt_buffers.mprj_dat_o_core[19] , \mgmt_buffers.mprj_dat_o_core[18] , \mgmt_buffers.mprj_dat_o_core[17] , \mgmt_buffers.mprj_dat_o_core[16] , \mgmt_buffers.mprj_dat_o_core[15] , \mgmt_buffers.mprj_dat_o_core[14] , \mgmt_buffers.mprj_dat_o_core[13] , \mgmt_buffers.mprj_dat_o_core[12] , \mgmt_buffers.mprj_dat_o_core[11] , \mgmt_buffers.mprj_dat_o_core[10] , \mgmt_buffers.mprj_dat_o_core[9] , \mgmt_buffers.mprj_dat_o_core[8] , \mgmt_buffers.mprj_dat_o_core[7] , \mgmt_buffers.mprj_dat_o_core[6] , \mgmt_buffers.mprj_dat_o_core[5] , \mgmt_buffers.mprj_dat_o_core[4] , \mgmt_buffers.mprj_dat_o_core[3] , \mgmt_buffers.mprj_dat_o_core[2] , \mgmt_buffers.mprj_dat_o_core[1] , \mgmt_buffers.mprj_dat_o_core[0] }), + .Do0({ \soc.core.RAM256.Do0_pre[1][31] , \soc.core.RAM256.Do0_pre[1][30] , \soc.core.RAM256.Do0_pre[1][29] , \soc.core.RAM256.Do0_pre[1][28] , \soc.core.RAM256.Do0_pre[1][27] , \soc.core.RAM256.Do0_pre[1][26] , \soc.core.RAM256.Do0_pre[1][25] , \soc.core.RAM256.Do0_pre[1][24] , \soc.core.RAM256.Do0_pre[1][23] , \soc.core.RAM256.Do0_pre[1][22] , \soc.core.RAM256.Do0_pre[1][21] , \soc.core.RAM256.Do0_pre[1][20] , \soc.core.RAM256.Do0_pre[1][19] , \soc.core.RAM256.Do0_pre[1][18] , \soc.core.RAM256.Do0_pre[1][17] , \soc.core.RAM256.Do0_pre[1][16] , \soc.core.RAM256.Do0_pre[1][15] , \soc.core.RAM256.Do0_pre[1][14] , \soc.core.RAM256.Do0_pre[1][13] , \soc.core.RAM256.Do0_pre[1][12] , \soc.core.RAM256.Do0_pre[1][11] , \soc.core.RAM256.Do0_pre[1][10] , \soc.core.RAM256.Do0_pre[1][9] , \soc.core.RAM256.Do0_pre[1][8] , \soc.core.RAM256.Do0_pre[1][7] , \soc.core.RAM256.Do0_pre[1][6] , \soc.core.RAM256.Do0_pre[1][5] , \soc.core.RAM256.Do0_pre[1][4] , \soc.core.RAM256.Do0_pre[1][3] , \soc.core.RAM256.Do0_pre[1][2] , \soc.core.RAM256.Do0_pre[1][1] , \soc.core.RAM256.Do0_pre[1][0] }), + .EN0(\soc.core.RAM256.SEL0[1] ), + .WE0({ \soc.core.RAM256.WE0[3] , \soc.core.RAM256.WE0[2] , \soc.core.RAM256.WE0[1] , \soc.core.RAM256.WE0[0] }) + ); + spare_logic_block \spare_logic[0] ( + .spare_xfq({ \spare_xfq_nc[1] , \spare_xfq_nc[0] }), + .spare_xfqn({ \spare_xfqn_nc[1] , \spare_xfqn_nc[0] }), + .spare_xi({ \spare_xi_nc[3] , \spare_xi_nc[2] , \spare_xi_nc[1] , \spare_xi_nc[0] }), + .spare_xib(\spare_xib_nc[0] ), + .spare_xmx({ \spare_xmx_nc[1] , \spare_xmx_nc[0] }), + .spare_xna({ \spare_xna_nc[1] , \spare_xna_nc[0] }), + .spare_xno({ \spare_xno_nc[1] , \spare_xno_nc[0] }), + .spare_xz({ \spare_xz_nc[26] , \spare_xz_nc[25] , \spare_xz_nc[24] , \spare_xz_nc[23] , \spare_xz_nc[22] , \spare_xz_nc[21] , \spare_xz_nc[20] , \spare_xz_nc[19] , \spare_xz_nc[18] , \spare_xz_nc[17] , \spare_xz_nc[16] , \spare_xz_nc[15] , \spare_xz_nc[14] , \spare_xz_nc[13] , \spare_xz_nc[12] , \spare_xz_nc[11] , \spare_xz_nc[10] , \spare_xz_nc[9] , \spare_xz_nc[8] , \spare_xz_nc[7] , \spare_xz_nc[6] , \spare_xz_nc[5] , \spare_xz_nc[4] , \spare_xz_nc[3] , \spare_xz_nc[2] , \spare_xz_nc[1] , \spare_xz_nc[0] }) + ); + spare_logic_block \spare_logic[1] ( + .spare_xfq({ \spare_xfq_nc[3] , \spare_xfq_nc[2] }), + .spare_xfqn({ \spare_xfqn_nc[3] , \spare_xfqn_nc[2] }), + .spare_xi({ \spare_xi_nc[7] , \spare_xi_nc[6] , \spare_xi_nc[5] , \spare_xi_nc[4] }), + .spare_xib(\spare_xib_nc[1] ), + .spare_xmx({ \spare_xmx_nc[3] , \spare_xmx_nc[2] }), + .spare_xna({ \spare_xna_nc[3] , \spare_xna_nc[2] }), + .spare_xno({ \spare_xno_nc[3] , \spare_xno_nc[2] }), + .spare_xz({ \spare_xz_nc[53] , \spare_xz_nc[52] , \spare_xz_nc[51] , \spare_xz_nc[50] , \spare_xz_nc[49] , \spare_xz_nc[48] , \spare_xz_nc[47] , \spare_xz_nc[46] , \spare_xz_nc[45] , \spare_xz_nc[44] , \spare_xz_nc[43] , \spare_xz_nc[42] , \spare_xz_nc[41] , \spare_xz_nc[40] , \spare_xz_nc[39] , \spare_xz_nc[38] , \spare_xz_nc[37] , \spare_xz_nc[36] , \spare_xz_nc[35] , \spare_xz_nc[34] , \spare_xz_nc[33] , \spare_xz_nc[32] , \spare_xz_nc[31] , \spare_xz_nc[30] , \spare_xz_nc[29] , \spare_xz_nc[28] , \spare_xz_nc[27] }) + ); + spare_logic_block \spare_logic[2] ( + .spare_xfq({ \spare_xfq_nc[5] , \spare_xfq_nc[4] }), + .spare_xfqn({ \spare_xfqn_nc[5] , \spare_xfqn_nc[4] }), + .spare_xi({ \spare_xi_nc[11] , \spare_xi_nc[10] , \spare_xi_nc[9] , \spare_xi_nc[8] }), + .spare_xib(\spare_xib_nc[2] ), + .spare_xmx({ \spare_xmx_nc[5] , \spare_xmx_nc[4] }), + .spare_xna({ \spare_xna_nc[5] , \spare_xna_nc[4] }), + .spare_xno({ \spare_xno_nc[5] , \spare_xno_nc[4] }), + .spare_xz({ \spare_xz_nc[80] , \spare_xz_nc[79] , \spare_xz_nc[78] , \spare_xz_nc[77] , \spare_xz_nc[76] , \spare_xz_nc[75] , \spare_xz_nc[74] , \spare_xz_nc[73] , \spare_xz_nc[72] , \spare_xz_nc[71] , \spare_xz_nc[70] , \spare_xz_nc[69] , \spare_xz_nc[68] , \spare_xz_nc[67] , \spare_xz_nc[66] , \spare_xz_nc[65] , \spare_xz_nc[64] , \spare_xz_nc[63] , \spare_xz_nc[62] , \spare_xz_nc[61] , \spare_xz_nc[60] , \spare_xz_nc[59] , \spare_xz_nc[58] , \spare_xz_nc[57] , \spare_xz_nc[56] , \spare_xz_nc[55] , \spare_xz_nc[54] }) + ); + spare_logic_block \spare_logic[3] ( + .spare_xfq({ \spare_xfq_nc[7] , \spare_xfq_nc[6] }), + .spare_xfqn({ \spare_xfqn_nc[7] , \spare_xfqn_nc[6] }), + .spare_xi({ \spare_xi_nc[15] , \spare_xi_nc[14] , \spare_xi_nc[13] , \spare_xi_nc[12] }), + .spare_xib(\spare_xib_nc[3] ), + .spare_xmx({ \spare_xmx_nc[7] , \spare_xmx_nc[6] }), + .spare_xna({ \spare_xna_nc[7] , \spare_xna_nc[6] }), + .spare_xno({ \spare_xno_nc[7] , \spare_xno_nc[6] }), + .spare_xz({ \spare_xz_nc[107] , \spare_xz_nc[106] , \spare_xz_nc[105] , \spare_xz_nc[104] , \spare_xz_nc[103] , \spare_xz_nc[102] , \spare_xz_nc[101] , \spare_xz_nc[100] , \spare_xz_nc[99] , \spare_xz_nc[98] , \spare_xz_nc[97] , \spare_xz_nc[96] , \spare_xz_nc[95] , \spare_xz_nc[94] , \spare_xz_nc[93] , \spare_xz_nc[92] , \spare_xz_nc[91] , \spare_xz_nc[90] , \spare_xz_nc[89] , \spare_xz_nc[88] , \spare_xz_nc[87] , \spare_xz_nc[86] , \spare_xz_nc[85] , \spare_xz_nc[84] , \spare_xz_nc[83] , \spare_xz_nc[82] , \spare_xz_nc[81] }) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[0] ( + .HI(\user_id_value.user_proj_id_high[0] ), + .LO(\user_id_value.mask_rev[0] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[10] ( + .HI(\user_id_value.user_proj_id_high[10] ), + .LO(\user_id_value.mask_rev[10] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[11] ( + .HI(\user_id_value.user_proj_id_high[11] ), + .LO(\user_id_value.mask_rev[11] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[12] ( + .HI(\user_id_value.user_proj_id_high[12] ), + .LO(\user_id_value.mask_rev[12] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[13] ( + .HI(\user_id_value.user_proj_id_high[13] ), + .LO(\user_id_value.mask_rev[13] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[14] ( + .HI(\user_id_value.user_proj_id_high[14] ), + .LO(\user_id_value.mask_rev[14] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[15] ( + .HI(\user_id_value.user_proj_id_high[15] ), + .LO(\user_id_value.mask_rev[15] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[16] ( + .HI(\user_id_value.user_proj_id_high[16] ), + .LO(\user_id_value.mask_rev[16] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[17] ( + .HI(\user_id_value.user_proj_id_high[17] ), + .LO(\user_id_value.mask_rev[17] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[18] ( + .HI(\user_id_value.user_proj_id_high[18] ), + .LO(\user_id_value.mask_rev[18] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[19] ( + .HI(\user_id_value.user_proj_id_high[19] ), + .LO(\user_id_value.mask_rev[19] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[1] ( + .HI(\user_id_value.user_proj_id_high[1] ), + .LO(\user_id_value.mask_rev[1] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[20] ( + .HI(\user_id_value.user_proj_id_high[20] ), + .LO(\user_id_value.mask_rev[20] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[21] ( + .HI(\user_id_value.user_proj_id_high[21] ), + .LO(\user_id_value.mask_rev[21] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[22] ( + .HI(\user_id_value.user_proj_id_high[22] ), + .LO(\user_id_value.mask_rev[22] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[23] ( + .HI(\user_id_value.user_proj_id_high[23] ), + .LO(\user_id_value.mask_rev[23] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[24] ( + .HI(\user_id_value.user_proj_id_high[24] ), + .LO(\user_id_value.mask_rev[24] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[25] ( + .HI(\user_id_value.user_proj_id_high[25] ), + .LO(\user_id_value.mask_rev[25] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[26] ( + .HI(\user_id_value.user_proj_id_high[26] ), + .LO(\user_id_value.mask_rev[26] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[27] ( + .HI(\user_id_value.user_proj_id_high[27] ), + .LO(\user_id_value.mask_rev[27] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[28] ( + .HI(\user_id_value.user_proj_id_high[28] ), + .LO(\user_id_value.mask_rev[28] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[29] ( + .HI(\user_id_value.user_proj_id_high[29] ), + .LO(\user_id_value.mask_rev[29] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[2] ( + .HI(\user_id_value.user_proj_id_high[2] ), + .LO(\user_id_value.mask_rev[2] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[30] ( + .HI(\user_id_value.user_proj_id_high[30] ), + .LO(\user_id_value.mask_rev[30] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[31] ( + .HI(\user_id_value.user_proj_id_high[31] ), + .LO(\user_id_value.mask_rev[31] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[3] ( + .HI(\user_id_value.user_proj_id_high[3] ), + .LO(\user_id_value.mask_rev[3] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[4] ( + .HI(\user_id_value.user_proj_id_high[4] ), + .LO(\user_id_value.mask_rev[4] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[5] ( + .HI(\user_id_value.user_proj_id_high[5] ), + .LO(\user_id_value.mask_rev[5] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[6] ( + .HI(\user_id_value.user_proj_id_high[6] ), + .LO(\user_id_value.mask_rev[6] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[7] ( + .HI(\user_id_value.user_proj_id_high[7] ), + .LO(\user_id_value.mask_rev[7] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[8] ( + .HI(\user_id_value.user_proj_id_high[8] ), + .LO(\user_id_value.mask_rev[8] ) + ); + sky130_fd_sc_hd__conb_1 \user_id_value.mask_rev_value[9] ( + .HI(\user_id_value.user_proj_id_high[9] ), + .LO(\user_id_value.mask_rev[9] ) + ); +endmodule diff --git a/openlane/caravan_core/synth_configuration/drc_exclude.cells b/openlane/caravan_core/synth_configuration/drc_exclude.cells new file mode 100644 index 00000000..ace1923c --- /dev/null +++ b/openlane/caravan_core/synth_configuration/drc_exclude.cells @@ -0,0 +1,211 @@ +sky130_fd_sc_hd__a2111oi_0 +sky130_fd_sc_hd__a21boi_0 +sky130_fd_sc_hd__and2_0 +sky130_fd_sc_hd__buf_16 +sky130_fd_sc_hd__clkdlybuf4s15_1 +sky130_fd_sc_hd__clkdlybuf4s18_1 +sky130_fd_sc_hd__fa_4 +sky130_fd_sc_hd__lpflow_bleeder_1 +sky130_fd_sc_hd__lpflow_clkbufkapwr_1 +sky130_fd_sc_hd__lpflow_clkbufkapwr_16 +sky130_fd_sc_hd__lpflow_clkbufkapwr_2 +sky130_fd_sc_hd__lpflow_clkbufkapwr_4 +sky130_fd_sc_hd__lpflow_clkbufkapwr_8 +sky130_fd_sc_hd__lpflow_clkinvkapwr_1 +sky130_fd_sc_hd__lpflow_clkinvkapwr_16 +sky130_fd_sc_hd__lpflow_clkinvkapwr_2 +sky130_fd_sc_hd__lpflow_clkinvkapwr_4 +sky130_fd_sc_hd__lpflow_clkinvkapwr_8 +sky130_fd_sc_hd__lpflow_decapkapwr_12 +sky130_fd_sc_hd__lpflow_decapkapwr_3 +sky130_fd_sc_hd__lpflow_decapkapwr_4 +sky130_fd_sc_hd__lpflow_decapkapwr_6 +sky130_fd_sc_hd__lpflow_decapkapwr_8 +sky130_fd_sc_hd__lpflow_inputiso0n_1 +sky130_fd_sc_hd__lpflow_inputiso0p_1 +sky130_fd_sc_hd__lpflow_inputiso1n_1 +sky130_fd_sc_hd__lpflow_inputiso1p_1 +sky130_fd_sc_hd__lpflow_inputisolatch_1 +sky130_fd_sc_hd__lpflow_isobufsrc_1 +sky130_fd_sc_hd__lpflow_isobufsrc_16 +sky130_fd_sc_hd__lpflow_isobufsrc_2 +sky130_fd_sc_hd__lpflow_isobufsrc_4 +sky130_fd_sc_hd__lpflow_isobufsrc_8 +sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 +sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 +sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 +sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 +sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 +sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 +sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 +sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 +sky130_fd_sc_hd__mux4_4 +sky130_fd_sc_hd__o21ai_0 +sky130_fd_sc_hd__o311ai_0 +sky130_fd_sc_hd__or2_0 +sky130_fd_sc_hd__probe_p_8 +sky130_fd_sc_hd__probec_p_8 +sky130_fd_sc_hd__xor3_1 +sky130_fd_sc_hd__xor3_2 +sky130_fd_sc_hd__xor3_4 +sky130_fd_sc_hd__xnor3_1 +sky130_fd_sc_hd__xnor3_2 +sky130_fd_sc_hd__xnor3_4 +sky130_fd_sc_hd__a2111oi_1 +sky130_fd_sc_hd__a211o_1 +sky130_fd_sc_hd__a211oi_1 +sky130_fd_sc_hd__a2111o_1 +sky130_fd_sc_hd__a21bo_1 +sky130_fd_sc_hd__a21boi_1 +sky130_fd_sc_hd__a21o_1 +sky130_fd_sc_hd__a21oi_1 +sky130_fd_sc_hd__a221o_1 +sky130_fd_sc_hd__a221oi_1 +sky130_fd_sc_hd__a222oi_1 +sky130_fd_sc_hd__a22o_1 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__a2bb2o_1 +sky130_fd_sc_hd__a2bb2oi_1 +sky130_fd_sc_hd__a311o_1 +sky130_fd_sc_hd__a311oi_1 +sky130_fd_sc_hd__a2111o_1 +sky130_fd_sc_hd__a21bo_1 +sky130_fd_sc_hd__a21boi_1 +sky130_fd_sc_hd__a21o_1 +sky130_fd_sc_hd__a21oi_1 +sky130_fd_sc_hd__a221o_1 +sky130_fd_sc_hd__a221oi_1 +sky130_fd_sc_hd__a222oi_1 +sky130_fd_sc_hd__a22o_1 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__a2bb2o_1 +sky130_fd_sc_hd__a2bb2oi_1 +sky130_fd_sc_hd__a311o_1 +sky130_fd_sc_hd__a311oi_1 +sky130_fd_sc_hd__a31o_1 +sky130_fd_sc_hd__a31oi_1 +sky130_fd_sc_hd__a32o_1 +sky130_fd_sc_hd__a32oi_1 +sky130_fd_sc_hd__a41o_1 +sky130_fd_sc_hd__a41oi_1 +sky130_fd_sc_hd__and2_1 +sky130_fd_sc_hd__and2b_1 +sky130_fd_sc_hd__and3_1 +sky130_fd_sc_hd__and3b_1 +sky130_fd_sc_hd__and4_1 +sky130_fd_sc_hd__and4b_1 +sky130_fd_sc_hd__and4bb_1 +sky130_fd_sc_hd__dfbbn_1 +sky130_fd_sc_hd__dfbbp_1 +sky130_fd_sc_hd__dfrbp_1 +sky130_fd_sc_hd__dfrtn_1 +sky130_fd_sc_hd__dfrtp_1 +sky130_fd_sc_hd__dfsbp_1 +sky130_fd_sc_hd__dfstp_1 +sky130_fd_sc_hd__dfxbp_1 +sky130_fd_sc_hd__dfxtp_1 +sky130_fd_sc_hd__ebufn_1 +sky130_fd_sc_hd__inv_1 +sky130_fd_sc_hd__nand2_1 +sky130_fd_sc_hd__nand2b_1 +sky130_fd_sc_hd__nand3_1 +sky130_fd_sc_hd__nand3b_1 +sky130_fd_sc_hd__nand4_1 +sky130_fd_sc_hd__nand4b_1 +sky130_fd_sc_hd__nand4bb_1 +sky130_fd_sc_hd__nor2_1 +sky130_fd_sc_hd__nor2b_1 +sky130_fd_sc_hd__nor3_1 +sky130_fd_sc_hd__nor3b_1 +sky130_fd_sc_hd__nor4_1 +sky130_fd_sc_hd__nor4b_1 +sky130_fd_sc_hd__nor4bb_1 +sky130_fd_sc_hd__o2111a_1 +sky130_fd_sc_hd__o2111ai_1 +sky130_fd_sc_hd__o211a_1 +sky130_fd_sc_hd__o211ai_1 +sky130_fd_sc_hd__o21a_1 +sky130_fd_sc_hd__o21ai_1 +sky130_fd_sc_hd__o21ba_1 +sky130_fd_sc_hd__o21bai_1 +sky130_fd_sc_hd__o221a_1 +sky130_fd_sc_hd__o221ai_1 +sky130_fd_sc_hd__o22a_1 +sky130_fd_sc_hd__o22ai_1 +sky130_fd_sc_hd__o2bb2a_1 +sky130_fd_sc_hd__o2bb2ai_1 +sky130_fd_sc_hd__o311a_1 +sky130_fd_sc_hd__o311ai_1 +sky130_fd_sc_hd__o31a_1 +sky130_fd_sc_hd__o31ai_1 +sky130_fd_sc_hd__o32a_1 +sky130_fd_sc_hd__o32ai_1 +sky130_fd_sc_hd__o41a_1 +sky130_fd_sc_hd__o41ai_1 +sky130_fd_sc_hd__or2_1 +sky130_fd_sc_hd__or2b_1 +sky130_fd_sc_hd__or3_1 +sky130_fd_sc_hd__or3b_1 +sky130_fd_sc_hd__or4_1 +sky130_fd_sc_hd__or4b_1 +sky130_fd_sc_hd__or4bb_1 +sky130_fd_sc_hd__xnor2_1 +sky130_fd_sc_hd__xor2_1 +sky130_fd_sc_hd__or2 +sky130_fd_sc_hd__or2_0 +sky130_fd_sc_hd__or2_1 +sky130_fd_sc_hd__or2_2 +sky130_fd_sc_hd__or2_4 +sky130_fd_sc_hd__or2b +sky130_fd_sc_hd__or2b_1 +sky130_fd_sc_hd__or2b_2 +sky130_fd_sc_hd__or2b_4 +sky130_fd_sc_hd__or3 +sky130_fd_sc_hd__or3_1 +sky130_fd_sc_hd__or3_2 +sky130_fd_sc_hd__or3_4 +sky130_fd_sc_hd__or3b +sky130_fd_sc_hd__or3b_1 +sky130_fd_sc_hd__or3b_2 +sky130_fd_sc_hd__or3b_4 +sky130_fd_sc_hd__or4 +sky130_fd_sc_hd__or4_1 +sky130_fd_sc_hd__or4_2 +sky130_fd_sc_hd__or4_4 +sky130_fd_sc_hd__or4b +sky130_fd_sc_hd__or4b_1 +sky130_fd_sc_hd__or4b_2 +sky130_fd_sc_hd__or4b_4 +sky130_fd_sc_hd__or4bb +sky130_fd_sc_hd__or4bb_1 +sky130_fd_sc_hd__or4bb_2 +sky130_fd_sc_hd__or4bb_4 +sky130_fd_sc_hd__inv_2 +sky130_fd_sc_hd__a21boi_0 +sky130_fd_sc_hd__buf_1 +sky130_fd_sc_hd__buf_2 +sky130_fd_sc_hd__bufbuf_1 +sky130_fd_sc_hd__bufinv_1 +sky130_fd_sc_hd__clkbuf_1 +sky130_fd_sc_hd__clkbuf_2 +sky130_fd_sc_hd__clkdlybuf4s15_1 +sky130_fd_sc_hd__clkdlybuf4s18_1 +sky130_fd_sc_hd__clkdlybuf4s25_1 +sky130_fd_sc_hd__clkdlybuf4s25_2 +sky130_fd_sc_hd__clkdlybuf4s50_1 +sky130_fd_sc_hd__clkdlybuf4s50_2 +sky130_fd_sc_hd__clkinv_1 +sky130_fd_sc_hd__dlygate4sd1_1 +sky130_fd_sc_hd__dlygate4sd2_1 +sky130_fd_sc_hd__dlygate4sd2_2 +sky130_fd_sc_hd__dlygate4sd3_1 +sky130_fd_sc_hd__dlygate4sd3_2 +sky130_fd_sc_hd__dlymetal6s2s_1 +sky130_fd_sc_hd__dlymetal6s4s_1 +sky130_fd_sc_hd__dlymetal6s4s_2 +sky130_fd_sc_hd__dlymetal6s6s_1 +sky130_fd_sc_hd__dlymetal6s6s_2 +sky130_fd_sc_hd__a22oi_4 +sky130_fd_sc_hd__a22oi_2 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__and2_2 \ No newline at end of file diff --git a/openlane/caravan_core/synth_configuration/no_synth.cells b/openlane/caravan_core/synth_configuration/no_synth.cells new file mode 100644 index 00000000..8a3c8753 --- /dev/null +++ b/openlane/caravan_core/synth_configuration/no_synth.cells @@ -0,0 +1,232 @@ +sky130_fd_sc_hd__clkbuf_1 +sky130_fd_sc_hd__buf_1 +sky130_fd_sc_hd__clkbuf_16 +sky130_fd_sc_hd__clkbuf_2 +sky130_fd_sc_hd__clkbuf_4 +sky130_fd_sc_hd__clkbuf_8 +sky130_fd_sc_hd__clkdlybuf4s15_1 +sky130_fd_sc_hd__clkdlybuf4s15_2 +sky130_fd_sc_hd__clkdlybuf4s18_1 +sky130_fd_sc_hd__clkdlybuf4s18_2 +sky130_fd_sc_hd__clkdlybuf4s25_1 +sky130_fd_sc_hd__clkdlybuf4s25_2 +sky130_fd_sc_hd__clkdlybuf4s50_1 +sky130_fd_sc_hd__clkdlybuf4s50_2 +sky130_fd_sc_hd__clkinv_1 +sky130_fd_sc_hd__clkinv_16 +sky130_fd_sc_hd__clkinv_2 +sky130_fd_sc_hd__clkinv_4 +sky130_fd_sc_hd__clkinv_8 +sky130_fd_sc_hd__clkinvlp_2 +sky130_fd_sc_hd__clkinvlp_4 +sky130_fd_sc_hd__decap_12 +sky130_fd_sc_hd__decap_3 +sky130_fd_sc_hd__decap_4 +sky130_fd_sc_hd__decap_6 +sky130_fd_sc_hd__decap_8 +sky130_fd_sc_hd__diode_2 +sky130_fd_sc_hd__dlclkp_1 +sky130_fd_sc_hd__dlclkp_2 +sky130_fd_sc_hd__dlclkp_4 +sky130_fd_sc_hd__dlrbn_1 +sky130_fd_sc_hd__dlrbn_2 +sky130_fd_sc_hd__dlrbp_1 +sky130_fd_sc_hd__dlrbp_2 +sky130_fd_sc_hd__dlrtn_1 +sky130_fd_sc_hd__dlrtn_2 +sky130_fd_sc_hd__dlrtn_4 +sky130_fd_sc_hd__dlrtp_1 +sky130_fd_sc_hd__dlrtp_2 +sky130_fd_sc_hd__dlrtp_4 +sky130_fd_sc_hd__dlxbn_1 +sky130_fd_sc_hd__dlxbn_2 +sky130_fd_sc_hd__dlxbp_1 +sky130_fd_sc_hd__dlygate4sd1_1 +sky130_fd_sc_hd__dlygate4sd2_1 +sky130_fd_sc_hd__dlygate4sd3_1 +sky130_fd_sc_hd__dlymetal6s2s_1 +sky130_fd_sc_hd__dlymetal6s4s_1 +sky130_fd_sc_hd__dlymetal6s6s_1 +sky130_fd_sc_hd__edfxbp_1 +sky130_fd_sc_hd__edfxtp_1 +sky130_fd_sc_hd__einvn_0 +sky130_fd_sc_hd__einvn_1 +sky130_fd_sc_hd__einvn_2 +sky130_fd_sc_hd__einvn_4 +sky130_fd_sc_hd__einvn_8 +sky130_fd_sc_hd__einvp_1 +sky130_fd_sc_hd__einvp_2 +sky130_fd_sc_hd__einvp_4 +sky130_fd_sc_hd__einvp_8 +sky130_fd_sc_hd__fah_1 +sky130_fd_sc_hd__fahcin_1 +sky130_fd_sc_hd__fahcon_1 +sky130_fd_sc_hd__ha_1 +sky130_fd_sc_hd__ha_2 +sky130_fd_sc_hd__ha_4 +sky130_fd_sc_hd__macro_sparecell +sky130_fd_sc_hd__maj3_1 +sky130_fd_sc_hd__maj3_2 +sky130_fd_sc_hd__maj3_4 +sky130_fd_sc_hd__mux2i_1 +sky130_fd_sc_hd__mux2i_2 +sky130_fd_sc_hd__mux2i_4 +sky130_fd_sc_hd__sdfbbn_1 +sky130_fd_sc_hd__sdfbbn_2 +sky130_fd_sc_hd__sdfbbp_1 +sky130_fd_sc_hd__sdfrbp_1 +sky130_fd_sc_hd__sdfrbp_2 +sky130_fd_sc_hd__sdfrtn_1 +sky130_fd_sc_hd__sdfrtp_1 +sky130_fd_sc_hd__sdfrtp_2 +sky130_fd_sc_hd__sdfrtp_4 +sky130_fd_sc_hd__sdfsbp_1 +sky130_fd_sc_hd__sdfsbp_2 +sky130_fd_sc_hd__sdfstp_1 +sky130_fd_sc_hd__sdfstp_2 +sky130_fd_sc_hd__sdfstp_4 +sky130_fd_sc_hd__sdfxbp_1 +sky130_fd_sc_hd__sdfxbp_2 +sky130_fd_sc_hd__sdfxtp_1 +sky130_fd_sc_hd__sdfxtp_2 +sky130_fd_sc_hd__sdfxtp_4 +sky130_fd_sc_hd__sdlclkp_1 +sky130_fd_sc_hd__sdlclkp_2 +sky130_fd_sc_hd__sdlclkp_4 +sky130_fd_sc_hd__sedfxbp_1 +sky130_fd_sc_hd__sedfxbp_2 +sky130_fd_sc_hd__sedfxtp_1 +sky130_fd_sc_hd__sedfxtp_2 +sky130_fd_sc_hd__sedfxtp_4 +sky130_fd_sc_hd__a2111oi_1 +sky130_fd_sc_hd__a211o_1 +sky130_fd_sc_hd__a211oi_1 +sky130_fd_sc_hd__a2111o_1 +sky130_fd_sc_hd__a21bo_1 +sky130_fd_sc_hd__a21boi_1 +sky130_fd_sc_hd__a21o_1 +sky130_fd_sc_hd__a21oi_1 +sky130_fd_sc_hd__a221o_1 +sky130_fd_sc_hd__a221oi_1 +sky130_fd_sc_hd__a222oi_1 +sky130_fd_sc_hd__a22o_1 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__a2bb2o_1 +sky130_fd_sc_hd__a2bb2oi_1 +sky130_fd_sc_hd__a311o_1 +sky130_fd_sc_hd__a311oi_1 +sky130_fd_sc_hd__a2111o_1 +sky130_fd_sc_hd__a21bo_1 +sky130_fd_sc_hd__a21boi_1 +sky130_fd_sc_hd__a21o_1 +sky130_fd_sc_hd__a21oi_1 +sky130_fd_sc_hd__a221o_1 +sky130_fd_sc_hd__a221oi_1 +sky130_fd_sc_hd__a222oi_1 +sky130_fd_sc_hd__a22o_1 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__a2bb2o_1 +sky130_fd_sc_hd__a2bb2oi_1 +sky130_fd_sc_hd__a311o_1 +sky130_fd_sc_hd__a311oi_1 +sky130_fd_sc_hd__a31o_1 +sky130_fd_sc_hd__a31oi_1 +sky130_fd_sc_hd__a32o_1 +sky130_fd_sc_hd__a32oi_1 +sky130_fd_sc_hd__a41o_1 +sky130_fd_sc_hd__a41oi_1 +sky130_fd_sc_hd__and2_1 +sky130_fd_sc_hd__and2b_1 +sky130_fd_sc_hd__and3_1 +sky130_fd_sc_hd__and3b_1 +sky130_fd_sc_hd__and4_1 +sky130_fd_sc_hd__and4b_1 +sky130_fd_sc_hd__and4bb_1 +sky130_fd_sc_hd__dfbbn_1 +sky130_fd_sc_hd__dfbbp_1 +sky130_fd_sc_hd__dfrbp_1 +sky130_fd_sc_hd__dfrtn_1 +sky130_fd_sc_hd__dfrtp_1 +sky130_fd_sc_hd__dfsbp_1 +sky130_fd_sc_hd__dfstp_1 +sky130_fd_sc_hd__dfxbp_1 +sky130_fd_sc_hd__dfxtp_1 +sky130_fd_sc_hd__ebufn_1 +sky130_fd_sc_hd__inv_1 +sky130_fd_sc_hd__nand2_1 +sky130_fd_sc_hd__nand2b_1 +sky130_fd_sc_hd__nand3_1 +sky130_fd_sc_hd__nand3b_1 +sky130_fd_sc_hd__nand4_1 +sky130_fd_sc_hd__nand4b_1 +sky130_fd_sc_hd__nand4bb_1 +sky130_fd_sc_hd__nor2_1 +sky130_fd_sc_hd__nor2b_1 +sky130_fd_sc_hd__nor3_1 +sky130_fd_sc_hd__nor3b_1 +sky130_fd_sc_hd__nor4_1 +sky130_fd_sc_hd__nor4b_1 +sky130_fd_sc_hd__nor4bb_1 +sky130_fd_sc_hd__o2111a_1 +sky130_fd_sc_hd__o2111ai_1 +sky130_fd_sc_hd__o211a_1 +sky130_fd_sc_hd__o211ai_1 +sky130_fd_sc_hd__o21a_1 +sky130_fd_sc_hd__o21ai_1 +sky130_fd_sc_hd__o21ba_1 +sky130_fd_sc_hd__o21bai_1 +sky130_fd_sc_hd__o221a_1 +sky130_fd_sc_hd__o221ai_1 +sky130_fd_sc_hd__o22a_1 +sky130_fd_sc_hd__o22ai_1 +sky130_fd_sc_hd__o2bb2a_1 +sky130_fd_sc_hd__o2bb2ai_1 +sky130_fd_sc_hd__o311a_1 +sky130_fd_sc_hd__o311ai_1 +sky130_fd_sc_hd__o31a_1 +sky130_fd_sc_hd__o31ai_1 +sky130_fd_sc_hd__o32a_1 +sky130_fd_sc_hd__o32ai_1 +sky130_fd_sc_hd__o41a_1 +sky130_fd_sc_hd__o41ai_1 +sky130_fd_sc_hd__or2_1 +sky130_fd_sc_hd__or2b_1 +sky130_fd_sc_hd__or3_1 +sky130_fd_sc_hd__or3b_1 +sky130_fd_sc_hd__or4_1 +sky130_fd_sc_hd__or4b_1 +sky130_fd_sc_hd__or4bb_1 +sky130_fd_sc_hd__xnor2_1 +sky130_fd_sc_hd__xor2_1 +sky130_fd_sc_hd__or2 +sky130_fd_sc_hd__or2_0 +sky130_fd_sc_hd__or2_1 +sky130_fd_sc_hd__or2_2 +sky130_fd_sc_hd__or2_4 +sky130_fd_sc_hd__or2b +sky130_fd_sc_hd__or2b_1 +sky130_fd_sc_hd__or2b_2 +sky130_fd_sc_hd__or2b_4 +sky130_fd_sc_hd__or3 +sky130_fd_sc_hd__or3_1 +sky130_fd_sc_hd__or3_2 +sky130_fd_sc_hd__or3_4 +sky130_fd_sc_hd__or3b +sky130_fd_sc_hd__or3b_1 +sky130_fd_sc_hd__or3b_2 +sky130_fd_sc_hd__or3b_4 +sky130_fd_sc_hd__or4 +sky130_fd_sc_hd__or4_1 +sky130_fd_sc_hd__or4_2 +sky130_fd_sc_hd__or4_4 +sky130_fd_sc_hd__or4b +sky130_fd_sc_hd__or4b_1 +sky130_fd_sc_hd__or4b_2 +sky130_fd_sc_hd__or4b_4 +sky130_fd_sc_hd__or4bb +sky130_fd_sc_hd__or4bb_1 +sky130_fd_sc_hd__or4bb_2 +sky130_fd_sc_hd__or4bb_4 +sky130_fd_sc_hd__inv_2 +sky130_fd_sc_hd__a21boi_0 +sky130_fd_sc_hd__and2_2 diff --git a/openlane/caravan_core/synth_configuration/yosys_mapping.v b/openlane/caravan_core/synth_configuration/yosys_mapping.v new file mode 100644 index 00000000..fab6ed2e --- /dev/null +++ b/openlane/caravan_core/synth_configuration/yosys_mapping.v @@ -0,0 +1,33 @@ +module \$_ALDFF_PN_ (D, C, L, AD, Q); +input D, C, L, AD; +output reg Q; + +wire RN, SN; +wire L_N; + +\$_OR_ R_NAND ( .Y(RN), .A(L), .B(AD) ); +\$_NOT_ NAND_NOT ( .A(L), .Y(L_N)); +\$_NAND_ S_NAND ( .Y(SN), .A(L_N), .B(AD) ); + +\$_DFFSR_PNN_ SRFF (.C(C), + .S(SN), + .R(RN), + .D(D), + .Q(Q) +); + +endmodule + +module \$_MUX_ ( + output Y, + input A, + input B, + input S + ); + sky130_fd_sc_hd__mux2_2 _TECHMAP_MUX ( + .X(Y), + .A0(A), + .A1(B), + .S(S) + ); +endmodule \ No newline at end of file