mirror of https://github.com/efabless/caravel.git
reharden: caravel_clocking
~ align pdn with top level ~ move spefs and sdfs output corners to signoff/*/openlane-signoff
This commit is contained in:
parent
4609abd7e2
commit
aadfb57609
|
@ -784,24 +784,24 @@ PINS 17 ;
|
|||
+ PORT
|
||||
+ LAYER met5 ( -46930 -800 ) ( 46930 800 )
|
||||
+ LAYER met5 ( -46930 -17700 ) ( 46930 -16100 )
|
||||
+ LAYER met4 ( 37660 -36560 ) ( 39260 15600 )
|
||||
+ LAYER met4 ( 22160 -36560 ) ( 23760 15600 )
|
||||
+ LAYER met4 ( 6660 -36560 ) ( 8260 15600 )
|
||||
+ LAYER met4 ( -8840 -36560 ) ( -7240 15600 )
|
||||
+ LAYER met4 ( -24340 -36560 ) ( -22740 15600 )
|
||||
+ FIXED ( 47610 39040 ) N ;
|
||||
+ LAYER met4 ( 37660 -39280 ) ( 39260 12880 )
|
||||
+ LAYER met4 ( 22160 -39280 ) ( 23760 12880 )
|
||||
+ LAYER met4 ( 6660 -39280 ) ( 8260 12880 )
|
||||
+ LAYER met4 ( -8840 -39280 ) ( -7240 12880 )
|
||||
+ LAYER met4 ( -24340 -39280 ) ( -22740 12880 )
|
||||
+ FIXED ( 47610 41760 ) N ;
|
||||
- VPWR + NET VPWR + SPECIAL + DIRECTION INOUT + USE POWER
|
||||
+ PORT
|
||||
+ LAYER met5 ( -46970 -800 ) ( 46970 800 )
|
||||
+ LAYER met5 ( -46970 -17700 ) ( 46970 -16100 )
|
||||
+ LAYER met5 ( -46970 -34600 ) ( 46970 -33000 )
|
||||
+ LAYER met4 ( 45370 -45010 ) ( 46970 7150 )
|
||||
+ LAYER met4 ( 29870 -45010 ) ( 31470 7150 )
|
||||
+ LAYER met4 ( 14370 -45010 ) ( 15970 7150 )
|
||||
+ LAYER met4 ( -1130 -45010 ) ( 470 7150 )
|
||||
+ LAYER met4 ( -16630 -45010 ) ( -15030 7150 )
|
||||
+ LAYER met4 ( -32130 -45010 ) ( -30530 7150 )
|
||||
+ FIXED ( 47650 47490 ) N ;
|
||||
+ LAYER met4 ( 45370 -47730 ) ( 46970 4430 )
|
||||
+ LAYER met4 ( 29870 -47730 ) ( 31470 4430 )
|
||||
+ LAYER met4 ( 14370 -47730 ) ( 15970 4430 )
|
||||
+ LAYER met4 ( -1130 -47730 ) ( 470 4430 )
|
||||
+ LAYER met4 ( -16630 -47730 ) ( -15030 4430 )
|
||||
+ LAYER met4 ( -32130 -47730 ) ( -30530 4430 )
|
||||
+ FIXED ( 47650 50210 ) N ;
|
||||
- core_clk + NET core_clk + DIRECTION OUTPUT + USE SIGNAL
|
||||
+ PORT
|
||||
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
|
||||
|
@ -875,23 +875,23 @@ SPECIALNETS 2 ;
|
|||
NEW met1 480 + SHAPE FOLLOWPIN ( 920 13600 ) ( 94300 13600 )
|
||||
NEW met1 480 + SHAPE FOLLOWPIN ( 920 8160 ) ( 94300 8160 )
|
||||
NEW met1 480 + SHAPE FOLLOWPIN ( 920 2720 ) ( 94300 2720 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 39040 ) ( 94540 39040 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 22140 ) ( 94540 22140 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 41760 ) ( 94540 41760 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 24860 ) ( 94540 24860 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 86070 2480 ) ( 86070 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 70570 2480 ) ( 70570 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 55070 2480 ) ( 55070 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 39570 2480 ) ( 39570 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 24070 2480 ) ( 24070 54640 )
|
||||
NEW met4 0 + SHAPE STRIPE ( 86070 39040 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 86070 22140 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 70570 39040 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 70570 22140 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 55070 39040 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 55070 22140 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 39570 39040 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 39570 22140 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 24070 39040 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 24070 22140 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 86070 41760 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 86070 24860 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 70570 41760 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 70570 24860 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 55070 41760 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 55070 24860 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 39570 41760 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 39570 24860 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 24070 41760 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 24070 24860 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met3 330 + SHAPE STRIPE ( 85280 51680 ) ( 86860 51680 )
|
||||
NEW met3 0 + SHAPE STRIPE ( 86070 51680 ) via4_5_1600_480_1_4_400_400
|
||||
NEW met2 370 + SHAPE STRIPE ( 85300 51680 ) ( 86840 51680 )
|
||||
|
@ -1153,33 +1153,33 @@ SPECIALNETS 2 ;
|
|||
NEW met1 480 + SHAPE FOLLOWPIN ( 920 16320 ) ( 94620 16320 )
|
||||
NEW met1 480 + SHAPE FOLLOWPIN ( 920 10880 ) ( 94620 10880 )
|
||||
NEW met1 480 + SHAPE FOLLOWPIN ( 920 5440 ) ( 94620 5440 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 47490 ) ( 94620 47490 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 30590 ) ( 94620 30590 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 13690 ) ( 94620 13690 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 50210 ) ( 94620 50210 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 33310 ) ( 94620 33310 )
|
||||
NEW met5 1600 + SHAPE STRIPE ( 680 16410 ) ( 94620 16410 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 93820 2480 ) ( 93820 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 78320 2480 ) ( 78320 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 62820 2480 ) ( 62820 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 47320 2480 ) ( 47320 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 31820 2480 ) ( 31820 54640 )
|
||||
NEW met4 1600 + SHAPE STRIPE ( 16320 2480 ) ( 16320 54640 )
|
||||
NEW met4 0 + SHAPE STRIPE ( 93820 47490 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 93820 30590 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 93820 13690 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 78320 47490 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 78320 30590 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 78320 13690 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 62820 47490 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 62820 30590 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 62820 13690 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 47320 47490 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 47320 30590 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 47320 13690 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 31820 47490 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 31820 30590 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 31820 13690 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 16320 47490 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 16320 30590 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 16320 13690 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 93820 50210 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 93820 33310 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 93820 16410 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 78320 50210 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 78320 33310 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 78320 16410 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 62820 50210 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 62820 33310 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 62820 16410 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 47320 50210 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 47320 33310 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 47320 16410 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 31820 50210 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 31820 33310 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 31820 16410 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 16320 50210 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 16320 33310 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met4 0 + SHAPE STRIPE ( 16320 16410 ) via5_6_1600_1600_1_1_1600_1600
|
||||
NEW met3 330 + SHAPE STRIPE ( 93030 54400 ) ( 94610 54400 )
|
||||
NEW met3 0 + SHAPE STRIPE ( 93820 54400 ) via4_5_1600_480_1_4_400_400
|
||||
NEW met2 370 + SHAPE STRIPE ( 93050 54400 ) ( 94590 54400 )
|
||||
|
|
Binary file not shown.
|
@ -32,11 +32,11 @@ MACRO caravel_clocking
|
|||
END
|
||||
PORT
|
||||
LAYER met5 ;
|
||||
RECT 0.680 21.340 94.540 22.940 ;
|
||||
RECT 0.680 24.060 94.540 25.660 ;
|
||||
END
|
||||
PORT
|
||||
LAYER met5 ;
|
||||
RECT 0.680 38.240 94.540 39.840 ;
|
||||
RECT 0.680 40.960 94.540 42.560 ;
|
||||
END
|
||||
END VGND
|
||||
PIN VPWR
|
||||
|
@ -68,15 +68,15 @@ MACRO caravel_clocking
|
|||
END
|
||||
PORT
|
||||
LAYER met5 ;
|
||||
RECT 0.680 12.890 94.620 14.490 ;
|
||||
RECT 0.680 15.610 94.620 17.210 ;
|
||||
END
|
||||
PORT
|
||||
LAYER met5 ;
|
||||
RECT 0.680 29.790 94.620 31.390 ;
|
||||
RECT 0.680 32.510 94.620 34.110 ;
|
||||
END
|
||||
PORT
|
||||
LAYER met5 ;
|
||||
RECT 0.680 46.690 94.620 48.290 ;
|
||||
RECT 0.680 49.410 94.620 51.010 ;
|
||||
END
|
||||
END VPWR
|
||||
PIN core_clk
|
||||
|
|
|
@ -136,13 +136,13 @@ library (caravel_clocking) {
|
|||
related_pin : "ext_clk";
|
||||
timing_type : rising_edge;
|
||||
cell_rise(template_3) {
|
||||
values("1.77221,1.77409,1.78052,1.80015,1.85837,2.06019,2.82071");
|
||||
values("1.77351,1.77539,1.78182,1.80145,1.85967,2.06149,2.82202");
|
||||
}
|
||||
rise_transition(template_3) {
|
||||
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40937,1.50421");
|
||||
}
|
||||
cell_fall(template_4) {
|
||||
values("0.85618,0.85790,0.86375,0.88030,0.92361,1.05078,1.51223");
|
||||
values("0.85614,0.85786,0.86371,0.88026,0.92357,1.05073,1.51219");
|
||||
}
|
||||
fall_transition(template_4) {
|
||||
values("0.02326,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
|
||||
|
@ -152,13 +152,13 @@ library (caravel_clocking) {
|
|||
related_pin : "ext_clk";
|
||||
timing_type : falling_edge;
|
||||
cell_rise(template_5) {
|
||||
values("-2.16414,-2.16227,-2.15583,-2.13620,-2.07798,-1.87616,-1.11564");
|
||||
values("-2.16497,-2.16309,-2.15666,-2.13703,-2.07881,-1.87699,-1.11647");
|
||||
}
|
||||
rise_transition(template_5) {
|
||||
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40937,1.50421");
|
||||
}
|
||||
cell_fall(template_6) {
|
||||
values("3.08430,3.08602,3.09188,3.10842,3.15174,3.27890,3.74035");
|
||||
values("3.08532,3.08703,3.09289,3.10943,3.15275,3.27991,3.74136");
|
||||
}
|
||||
fall_transition(template_6) {
|
||||
values("0.02326,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
|
||||
|
@ -168,7 +168,7 @@ library (caravel_clocking) {
|
|||
related_pin : "core_clk";
|
||||
timing_type : rising_edge;
|
||||
cell_rise(template_7) {
|
||||
values("1.77221,1.77409,1.78052,1.80015,1.85837,2.06019,2.82071");
|
||||
values("1.77351,1.77539,1.78182,1.80145,1.85967,2.06149,2.82202");
|
||||
}
|
||||
rise_transition(template_7) {
|
||||
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40937,1.50421");
|
||||
|
@ -190,7 +190,7 @@ library (caravel_clocking) {
|
|||
values("0.02434,0.02582,0.03117,0.05049,0.12301,0.40937,1.50421");
|
||||
}
|
||||
cell_fall(template_10) {
|
||||
values("15.58430,15.58602,15.59188,15.60842,15.65173,15.77890,16.24035");
|
||||
values("15.58532,15.58703,15.59289,15.60943,15.65275,15.77991,16.24136");
|
||||
}
|
||||
fall_transition(template_10) {
|
||||
values("0.02326,0.02436,0.02827,0.04095,0.08430,0.25146,0.91403");
|
||||
|
@ -208,44 +208,44 @@ library (caravel_clocking) {
|
|||
related_pin : "pll_clk";
|
||||
timing_type : hold_rising;
|
||||
rise_constraint(scalar) {
|
||||
values("0.49684");
|
||||
values("0.49683");
|
||||
}
|
||||
fall_constraint(scalar) {
|
||||
values("-0.20537");
|
||||
values("-0.20539");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
related_pin : "pll_clk";
|
||||
timing_type : setup_rising;
|
||||
rise_constraint(scalar) {
|
||||
values("-0.31427");
|
||||
values("-0.31425");
|
||||
}
|
||||
fall_constraint(scalar) {
|
||||
values("0.41908");
|
||||
values("0.41911");
|
||||
}
|
||||
}
|
||||
}
|
||||
pin("ext_reset") {
|
||||
direction : input;
|
||||
capacitance : 0.0201;
|
||||
capacitance : 0.0202;
|
||||
timing() {
|
||||
related_pin : "ext_clk";
|
||||
timing_type : hold_rising;
|
||||
rise_constraint(scalar) {
|
||||
values("-5.57870");
|
||||
values("-5.57863");
|
||||
}
|
||||
fall_constraint(scalar) {
|
||||
values("-6.49938");
|
||||
values("-6.49931");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
related_pin : "ext_clk";
|
||||
timing_type : setup_rising;
|
||||
rise_constraint(scalar) {
|
||||
values("5.64693");
|
||||
values("5.64686");
|
||||
}
|
||||
fall_constraint(scalar) {
|
||||
values("6.65725");
|
||||
values("6.65718");
|
||||
}
|
||||
}
|
||||
}
|
||||
|
@ -265,7 +265,7 @@ library (caravel_clocking) {
|
|||
timing_sense : negative_unate;
|
||||
timing_type : hold_falling;
|
||||
rise_constraint(scalar) {
|
||||
values("2.90140");
|
||||
values("2.90246");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
|
@ -273,27 +273,27 @@ library (caravel_clocking) {
|
|||
timing_sense : negative_unate;
|
||||
timing_type : setup_falling;
|
||||
rise_constraint(scalar) {
|
||||
values("-2.00722");
|
||||
values("-2.00804");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
related_pin : "pll_clk";
|
||||
timing_type : hold_rising;
|
||||
rise_constraint(scalar) {
|
||||
values("0.72445");
|
||||
values("0.72450");
|
||||
}
|
||||
fall_constraint(scalar) {
|
||||
values("-0.54299");
|
||||
values("-0.54295");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
related_pin : "pll_clk";
|
||||
timing_type : setup_rising;
|
||||
rise_constraint(scalar) {
|
||||
values("0.25961");
|
||||
values("0.25956");
|
||||
}
|
||||
fall_constraint(scalar) {
|
||||
values("0.96820");
|
||||
values("0.96816");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
|
@ -301,7 +301,7 @@ library (caravel_clocking) {
|
|||
timing_sense : negative_unate;
|
||||
timing_type : hold_falling;
|
||||
rise_constraint(scalar) {
|
||||
values("1.75066");
|
||||
values("1.75071");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
|
@ -309,7 +309,7 @@ library (caravel_clocking) {
|
|||
timing_sense : negative_unate;
|
||||
timing_type : setup_falling;
|
||||
rise_constraint(scalar) {
|
||||
values("-0.99300");
|
||||
values("-0.99291");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
|
@ -317,7 +317,7 @@ library (caravel_clocking) {
|
|||
timing_sense : positive_unate;
|
||||
timing_type : hold_rising;
|
||||
rise_constraint(scalar) {
|
||||
values("0.67864");
|
||||
values("0.67873");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
|
@ -333,7 +333,7 @@ library (caravel_clocking) {
|
|||
timing_sense : negative_unate;
|
||||
timing_type : hold_falling;
|
||||
rise_constraint(scalar) {
|
||||
values("1.74186");
|
||||
values("1.74203");
|
||||
}
|
||||
}
|
||||
timing() {
|
||||
|
@ -353,13 +353,13 @@ library (caravel_clocking) {
|
|||
timing_sense : negative_unate;
|
||||
timing_type : combinational;
|
||||
cell_rise(template_1) {
|
||||
values("1.49291,1.49553,1.50578,1.54124,1.68206,2.32279,5.28059");
|
||||
values("1.49283,1.49546,1.50571,1.54117,1.68199,2.32272,5.28052");
|
||||
}
|
||||
rise_transition(template_1) {
|
||||
values("0.02385,0.02585,0.03448,0.07350,0.26600,1.18188,5.39669");
|
||||
}
|
||||
cell_fall(template_2) {
|
||||
values("0.55765,0.55968,0.56723,0.59033,0.65904,0.93079,2.17782");
|
||||
values("0.55758,0.55961,0.56716,0.59025,0.65897,0.93072,2.17775");
|
||||
}
|
||||
fall_transition(template_2) {
|
||||
values("0.01891,0.02021,0.02508,0.04333,0.11877,0.48588,2.21350");
|
||||
|
@ -369,13 +369,13 @@ library (caravel_clocking) {
|
|||
related_pin : "ext_clk";
|
||||
timing_type : falling_edge;
|
||||
cell_rise(template_11) {
|
||||
values("16.39387,16.39649,16.40674,16.44221,16.58302,17.22375,20.18155");
|
||||
values("16.39483,16.39745,16.40770,16.44316,16.58398,17.22471,20.18251");
|
||||
}
|
||||
rise_transition(template_11) {
|
||||
values("0.02385,0.02585,0.03448,0.07350,0.26600,1.18188,5.39669");
|
||||
}
|
||||
cell_fall(template_12) {
|
||||
values("16.37211,16.37413,16.38169,16.40478,16.47349,16.74524,17.99227");
|
||||
values("16.37308,16.37510,16.38266,16.40575,16.47446,16.74621,17.99324");
|
||||
}
|
||||
fall_transition(template_12) {
|
||||
values("0.01891,0.02021,0.02508,0.04333,0.11877,0.48588,2.21350");
|
||||
|
@ -389,7 +389,7 @@ library (caravel_clocking) {
|
|||
related_pin : "ext_clk";
|
||||
timing_type : rising_edge;
|
||||
cell_rise(template_13) {
|
||||
values("1.64936,1.65123,1.65766,1.67713,1.73528,1.93733,2.70491");
|
||||
values("1.65058,1.65245,1.65888,1.67835,1.73650,1.93855,2.70612");
|
||||
}
|
||||
rise_transition(template_13) {
|
||||
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
|
||||
|
@ -405,13 +405,13 @@ library (caravel_clocking) {
|
|||
related_pin : "ext_clk";
|
||||
timing_type : falling_edge;
|
||||
cell_rise(template_15) {
|
||||
values("-0.15034,-0.14847,-0.14205,-0.12258,-0.06443,0.13762,0.90520");
|
||||
values("-0.15034,-0.14848,-0.14205,-0.12258,-0.06443,0.13762,0.90519");
|
||||
}
|
||||
rise_transition(template_15) {
|
||||
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
|
||||
}
|
||||
cell_fall(template_16) {
|
||||
values("15.44328,15.44496,15.45091,15.46750,15.51068,15.63790,16.09947");
|
||||
values("15.44422,15.44590,15.45185,15.46844,15.51162,15.63883,16.10041");
|
||||
}
|
||||
fall_transition(template_16) {
|
||||
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
|
||||
|
@ -421,13 +421,13 @@ library (caravel_clocking) {
|
|||
related_pin : "pll_clk";
|
||||
timing_type : rising_edge;
|
||||
cell_rise(template_17) {
|
||||
values("2.24230,2.24417,2.25060,2.27007,2.32821,2.53027,3.29785");
|
||||
values("2.24350,2.24537,2.25180,2.27127,2.32941,2.53147,3.29904");
|
||||
}
|
||||
rise_transition(template_17) {
|
||||
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
|
||||
}
|
||||
cell_fall(template_18) {
|
||||
values("2.55628,2.55796,2.56391,2.58051,2.62369,2.75090,3.21247");
|
||||
values("2.55720,2.55888,2.56483,2.58142,2.62460,2.75182,3.21339");
|
||||
}
|
||||
fall_transition(template_18) {
|
||||
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
|
||||
|
@ -437,13 +437,13 @@ library (caravel_clocking) {
|
|||
related_pin : "pll_clk90";
|
||||
timing_type : rising_edge;
|
||||
cell_rise(template_19) {
|
||||
values("2.35748,2.35935,2.36578,2.38525,2.44339,2.64545,3.41303");
|
||||
values("2.35743,2.35930,2.36573,2.38520,2.44335,2.64540,3.41297");
|
||||
}
|
||||
rise_transition(template_19) {
|
||||
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
|
||||
}
|
||||
cell_fall(template_20) {
|
||||
values("2.62006,2.62174,2.62769,2.64428,2.68746,2.81468,3.27625");
|
||||
values("2.62003,2.62170,2.62766,2.64425,2.68743,2.81464,3.27621");
|
||||
}
|
||||
fall_transition(template_20) {
|
||||
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
|
||||
|
@ -453,13 +453,13 @@ library (caravel_clocking) {
|
|||
related_pin : "pll_clk90";
|
||||
timing_type : falling_edge;
|
||||
cell_rise(template_21) {
|
||||
values("6.65311,6.65498,6.66141,6.68088,6.73902,6.94108,7.70866");
|
||||
values("6.65320,6.65507,6.66150,6.68097,6.73911,6.94117,7.70874");
|
||||
}
|
||||
rise_transition(template_21) {
|
||||
values("0.02440,0.02589,0.03121,0.05053,0.12314,0.40881,1.50596");
|
||||
}
|
||||
cell_fall(template_22) {
|
||||
values("6.91013,6.91180,6.91776,6.93435,6.97753,7.10474,7.56632");
|
||||
values("6.91022,6.91190,6.91786,6.93445,6.97763,7.10484,7.56641");
|
||||
}
|
||||
fall_transition(template_22) {
|
||||
values("0.02337,0.02441,0.02829,0.04105,0.08438,0.25148,0.91299");
|
||||
|
@ -505,7 +505,7 @@ library (caravel_clocking) {
|
|||
}
|
||||
pin("sel2[0]") {
|
||||
direction : input;
|
||||
capacitance : 0.0137;
|
||||
capacitance : 0.0136;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
|
File diff suppressed because it is too large
Load Diff
|
@ -1,7 +1,7 @@
|
|||
magic
|
||||
tech sky130A
|
||||
magscale 1 2
|
||||
timestamp 1665683481
|
||||
timestamp 1665749831
|
||||
<< obsli1 >>
|
||||
rect 184 527 18860 10897
|
||||
<< obsm1 >>
|
||||
|
@ -67,11 +67,11 @@ rect 14411 987 15424 8533
|
|||
rect 15904 987 16974 8533
|
||||
rect 17454 987 17605 8533
|
||||
<< metal5 >>
|
||||
rect 136 9338 18924 9658
|
||||
rect 136 7648 18908 7968
|
||||
rect 136 5958 18924 6278
|
||||
rect 136 4268 18908 4588
|
||||
rect 136 2578 18924 2898
|
||||
rect 136 9882 18924 10202
|
||||
rect 136 8192 18908 8512
|
||||
rect 136 6502 18924 6822
|
||||
rect 136 4812 18908 5132
|
||||
rect 136 3122 18924 3442
|
||||
<< labels >>
|
||||
rlabel metal4 s 4654 496 4974 10928 6 VGND
|
||||
port 1 nsew ground bidirectional
|
||||
|
@ -83,9 +83,9 @@ rlabel metal4 s 13954 496 14274 10928 6 VGND
|
|||
port 1 nsew ground bidirectional
|
||||
rlabel metal4 s 17054 496 17374 10928 6 VGND
|
||||
port 1 nsew ground bidirectional
|
||||
rlabel metal5 s 136 4268 18908 4588 6 VGND
|
||||
rlabel metal5 s 136 4812 18908 5132 6 VGND
|
||||
port 1 nsew ground bidirectional
|
||||
rlabel metal5 s 136 7648 18908 7968 6 VGND
|
||||
rlabel metal5 s 136 8192 18908 8512 6 VGND
|
||||
port 1 nsew ground bidirectional
|
||||
rlabel metal4 s 3104 496 3424 10928 6 VPWR
|
||||
port 2 nsew power bidirectional
|
||||
|
@ -99,11 +99,11 @@ rlabel metal4 s 15504 496 15824 10928 6 VPWR
|
|||
port 2 nsew power bidirectional
|
||||
rlabel metal4 s 18604 496 18924 10928 6 VPWR
|
||||
port 2 nsew power bidirectional
|
||||
rlabel metal5 s 136 2578 18924 2898 6 VPWR
|
||||
rlabel metal5 s 136 3122 18924 3442 6 VPWR
|
||||
port 2 nsew power bidirectional
|
||||
rlabel metal5 s 136 5958 18924 6278 6 VPWR
|
||||
rlabel metal5 s 136 6502 18924 6822 6 VPWR
|
||||
port 2 nsew power bidirectional
|
||||
rlabel metal5 s 136 9338 18924 9658 6 VPWR
|
||||
rlabel metal5 s 136 9882 18924 10202 6 VPWR
|
||||
port 2 nsew power bidirectional
|
||||
rlabel metal2 s 7102 11200 7158 12000 6 core_clk
|
||||
port 3 nsew signal output
|
||||
|
@ -140,7 +140,7 @@ string FIXED_BBOX 0 0 20000 12000
|
|||
string LEFclass BLOCK
|
||||
string LEFview TRUE
|
||||
string GDS_END 1397874
|
||||
string GDS_FILE /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/caravel_clocking.magic.gds
|
||||
string GDS_FILE /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.magic.gds
|
||||
string GDS_START 391844
|
||||
<< end >>
|
||||
|
||||
|
|
|
@ -60,7 +60,7 @@ set ::env(FP_PDN_HPITCH) 16.9
|
|||
set ::env(FP_PDN_VPITCH) 15.5
|
||||
set ::env(FP_PDN_HSPACING) 6.85
|
||||
set ::env(FP_PDN_VSPACING) 6.15
|
||||
set ::env(FP_PDN_HOFFSET) 10.97
|
||||
set ::env(FP_PDN_HOFFSET) 13.69
|
||||
set ::env(FP_PDN_VOFFSET) 15.4
|
||||
# vertical 21.29 15.61
|
||||
|
||||
|
|
|
@ -1,47 +0,0 @@
|
|||
### Caravel Clocking Signoff SDC
|
||||
### Rev 1
|
||||
### Date: 13/10/2022
|
||||
|
||||
###############################################################################
|
||||
# Timing Constraints
|
||||
###############################################################################
|
||||
create_clock -name ext_clk -period 25.0000 [get_ports {ext_clk}]
|
||||
set_clock_transition 0.1000 [get_clocks {ext_clk}]
|
||||
set_clock_uncertainty 0.1000 ext_clk
|
||||
set_propagated_clock [get_clocks {ext_clk}]
|
||||
create_clock -name pll_clk -period 6.6667 [get_ports {pll_clk}]
|
||||
set_clock_transition 0.1000 [get_clocks {pll_clk}]
|
||||
set_clock_uncertainty 0.1000 pll_clk
|
||||
set_propagated_clock [get_clocks {pll_clk}]
|
||||
create_clock -name pll_clk90 -period 6.6667 [get_ports {pll_clk90}]
|
||||
set_clock_transition 0.1000 [get_clocks {pll_clk90}]
|
||||
set_clock_uncertainty 0.1000 pll_clk90
|
||||
set_propagated_clock [get_clocks {pll_clk90}]
|
||||
create_generated_clock -name core_clk -source [get_pins {_210_/X}] -divide_by 1 [get_ports {core_clk}]
|
||||
set_clock_transition 0.1000 [get_clocks {core_clk}]
|
||||
set_clock_uncertainty 0.1000 core_clk
|
||||
set_propagated_clock [get_clocks {core_clk}]
|
||||
set_clock_groups -name group1 -logically_exclusive \
|
||||
-group [get_clocks {ext_clk}]\
|
||||
-group [list [get_clocks {pll_clk}]\
|
||||
[get_clocks {pll_clk90}]]
|
||||
set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {ext_clk_sel}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel2[0]}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel2[1]}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel2[2]}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel[0]}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel[1]}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {sel[2]}]
|
||||
set_output_delay 1.0000 -clock [get_clocks {ext_clk}] -add_delay [get_ports {resetb_sync}]
|
||||
###############################################################################
|
||||
# Environment
|
||||
###############################################################################
|
||||
set_load -pin_load 0.2000 [get_ports {core_clk}]
|
||||
set_load -pin_load 0.2000 [get_ports {resetb_sync}]
|
||||
set_load -pin_load 0.2000 [get_ports {user_clk}]
|
||||
|
||||
###############################################################################
|
||||
# Design Rules
|
||||
###############################################################################
|
||||
set_max_transition 0.7500 [current_design]
|
||||
set_max_fanout 7.0000 [current_design]
|
|
@ -1,7 +1,7 @@
|
|||
(DELAYFILE
|
||||
(SDFVERSION "3.0")
|
||||
(DESIGN "caravel_clocking")
|
||||
(DATE "Thu Oct 13 17:51:18 2022")
|
||||
(DATE "Fri Oct 14 12:17:08 2022")
|
||||
(VENDOR "Parallax")
|
||||
(PROGRAM "STA")
|
||||
(VERSION "2.3.1")
|
||||
|
@ -132,7 +132,7 @@
|
|||
(INTERCONNECT _276_.X _306_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
|
||||
(INTERCONNECT _276_.X _316_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
|
||||
(INTERCONNECT _276_.X _388_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
|
||||
(INTERCONNECT _276_.X _390_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
|
||||
(INTERCONNECT _276_.X _390_.A2 (0.005:0.005:0.005) (0.004:0.004:0.005))
|
||||
(INTERCONNECT _276_.X _397_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
|
||||
(INTERCONNECT _276_.X _398_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006))
|
||||
(INTERCONNECT _276_.X _399_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
|
||||
|
@ -636,7 +636,7 @@
|
|||
(INTERCONNECT input8.X _443_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
|
||||
(INTERCONNECT input7.X _442_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
|
||||
(INTERCONNECT input6.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
|
||||
(INTERCONNECT input5.X _469_.D (0.001:0.001:0.001) (0.000:0.000:0.000))
|
||||
(INTERCONNECT input5.X _469_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
|
||||
(INTERCONNECT input4.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
|
||||
(INTERCONNECT input3.X fanout25.A (0.000:0.000:0.000) (0.000:0.000:0.000))
|
||||
(INTERCONNECT input3.X fanout26.A (0.000:0.000:0.000) (0.000:0.000:0.000))
|
||||
|
@ -897,7 +897,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.186:0.186:0.186) (0.340:0.340:0.340))
|
||||
(IOPATH A1 X (0.228:0.228:0.228) (0.374:0.374:0.374))
|
||||
(IOPATH A1 X (0.229:0.229:0.229) (0.374:0.374:0.374))
|
||||
(IOPATH S X (0.253:0.258:0.263) (0.374:0.375:0.376))
|
||||
(IOPATH S X (0.195:0.195:0.196) (0.362:0.366:0.370))
|
||||
)
|
||||
|
@ -920,8 +920,8 @@
|
|||
(INSTANCE _209_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.212:0.212:0.212) (0.355:0.355:0.355))
|
||||
(IOPATH A1 X (0.223:0.223:0.223) (0.373:0.373:0.373))
|
||||
(IOPATH A0 X (0.213:0.213:0.213) (0.356:0.356:0.356))
|
||||
(IOPATH A1 X (0.224:0.224:0.224) (0.373:0.373:0.373))
|
||||
(IOPATH S X (0.314:0.314:0.314) (0.419:0.419:0.419))
|
||||
(IOPATH S X (0.253:0.253:0.253) (0.407:0.407:0.407))
|
||||
)
|
||||
|
@ -971,7 +971,7 @@
|
|||
(IOPATH A0 X (0.132:0.132:0.132) (0.293:0.293:0.293))
|
||||
(IOPATH A1 X (0.138:0.150:0.162) (0.303:0.306:0.308))
|
||||
(IOPATH S X (0.229:0.229:0.229) (0.350:0.350:0.350))
|
||||
(IOPATH S X (0.168:0.168:0.168) (0.339:0.339:0.339))
|
||||
(IOPATH S X (0.167:0.167:0.167) (0.339:0.339:0.339))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -981,7 +981,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.123:0.135:0.147) (0.281:0.282:0.284))
|
||||
(IOPATH A1 X (0.151:0.151:0.151) (0.293:0.295:0.298))
|
||||
(IOPATH A1 X (0.150:0.150:0.150) (0.293:0.295:0.298))
|
||||
(IOPATH S X (0.219:0.219:0.219) (0.340:0.340:0.340))
|
||||
(IOPATH S X (0.157:0.157:0.157) (0.328:0.328:0.328))
|
||||
)
|
||||
|
@ -1113,7 +1113,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.131:0.132:0.133) (0.297:0.297:0.297))
|
||||
(IOPATH A1 X (0.178:0.178:0.178) (0.331:0.331:0.331))
|
||||
(IOPATH A1 X (0.177:0.177:0.177) (0.331:0.331:0.331))
|
||||
(IOPATH S X (0.235:0.235:0.235) (0.353:0.353:0.353))
|
||||
(IOPATH S X (0.172:0.172:0.172) (0.344:0.344:0.344))
|
||||
)
|
||||
|
@ -1127,7 +1127,7 @@
|
|||
(IOPATH A0 X (0.110:0.111:0.111) (0.268:0.269:0.269))
|
||||
(IOPATH A1 X (0.152:0.152:0.152) (0.303:0.303:0.303))
|
||||
(IOPATH S X (0.185:0.196:0.207) (0.350:0.350:0.350))
|
||||
(IOPATH S X (0.184:0.184:0.184) (0.299:0.307:0.316))
|
||||
(IOPATH S X (0.184:0.184:0.184) (0.299:0.307:0.315))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1161,7 +1161,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.160:0.160:0.160) (0.308:0.308:0.308))
|
||||
(IOPATH A1 X (0.136:0.150:0.163) (0.298:0.301:0.305))
|
||||
(IOPATH A1 X (0.136:0.150:0.164) (0.298:0.301:0.305))
|
||||
(IOPATH S X (0.222:0.223:0.225) (0.339:0.339:0.339))
|
||||
(IOPATH S X (0.155:0.155:0.156) (0.331:0.333:0.334))
|
||||
)
|
||||
|
@ -1175,7 +1175,7 @@
|
|||
(IOPATH A0 X (0.121:0.121:0.121) (0.281:0.281:0.281))
|
||||
(IOPATH A1 X (0.130:0.143:0.156) (0.293:0.297:0.302))
|
||||
(IOPATH S X (0.224:0.224:0.224) (0.341:0.341:0.341))
|
||||
(IOPATH S X (0.162:0.162:0.162) (0.331:0.331:0.331))
|
||||
(IOPATH S X (0.162:0.162:0.162) (0.332:0.332:0.332))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1184,7 +1184,7 @@
|
|||
(INSTANCE _231_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.136:0.148:0.161) (0.291:0.293:0.295))
|
||||
(IOPATH A0 X (0.136:0.148:0.161) (0.291:0.293:0.296))
|
||||
(IOPATH A1 X (0.147:0.147:0.147) (0.300:0.302:0.304))
|
||||
(IOPATH S X (0.234:0.234:0.234) (0.352:0.352:0.352))
|
||||
(IOPATH S X (0.172:0.172:0.172) (0.342:0.342:0.342))
|
||||
|
@ -1220,9 +1220,9 @@
|
|||
(INSTANCE _234_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.177:0.178:0.179) (0.337:0.337:0.337))
|
||||
(IOPATH A0 X (0.177:0.178:0.179) (0.337:0.337:0.338))
|
||||
(IOPATH A1 X (0.249:0.249:0.249) (0.398:0.398:0.398))
|
||||
(IOPATH S X (0.307:0.307:0.307) (0.409:0.409:0.409))
|
||||
(IOPATH S X (0.308:0.308:0.308) (0.409:0.409:0.409))
|
||||
(IOPATH S X (0.243:0.243:0.243) (0.407:0.407:0.407))
|
||||
)
|
||||
)
|
||||
|
@ -1257,7 +1257,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.117:0.117:0.118) (0.274:0.275:0.276))
|
||||
(IOPATH A1 X (0.181:0.181:0.181) (0.335:0.335:0.335))
|
||||
(IOPATH A1 X (0.181:0.181:0.181) (0.336:0.336:0.336))
|
||||
(IOPATH S X (0.208:0.212:0.217) (0.367:0.367:0.367))
|
||||
(IOPATH S X (0.212:0.212:0.213) (0.317:0.321:0.324))
|
||||
)
|
||||
|
@ -1355,7 +1355,7 @@
|
|||
(IOPATH A0 X (0.188:0.188:0.188) (0.338:0.338:0.338))
|
||||
(IOPATH A1 X (0.118:0.118:0.118) (0.294:0.294:0.294))
|
||||
(IOPATH S X (0.237:0.237:0.238) (0.344:0.345:0.345))
|
||||
(IOPATH S X (0.164:0.165:0.165) (0.343:0.344:0.345))
|
||||
(IOPATH S X (0.164:0.165:0.165) (0.343:0.344:0.344))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1613,7 +1613,7 @@
|
|||
(IOPATH A0 X (0.149:0.149:0.149) (0.285:0.285:0.285))
|
||||
(IOPATH A1 X (0.124:0.124:0.125) (0.294:0.294:0.294))
|
||||
(IOPATH S X (0.218:0.218:0.218) (0.331:0.332:0.332))
|
||||
(IOPATH S X (0.150:0.150:0.151) (0.324:0.325:0.325))
|
||||
(IOPATH S X (0.150:0.150:0.151) (0.325:0.325:0.325))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1643,8 +1643,8 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 X (0.355:0.355:0.355) (0.358:0.358:0.358))
|
||||
(IOPATH A2 X (0.333:0.333:0.333) (0.318:0.318:0.318))
|
||||
(IOPATH B1 X (0.355:0.355:0.355) (0.264:0.264:0.264))
|
||||
(IOPATH A2 X (0.333:0.333:0.333) (0.319:0.319:0.319))
|
||||
(IOPATH B1 X (0.355:0.355:0.355) (0.265:0.265:0.265))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1653,8 +1653,8 @@
|
|||
(INSTANCE _277_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.307:0.307:0.307) (0.201:0.201:0.201))
|
||||
(IOPATH A2 Y (0.300:0.300:0.300) (0.181:0.181:0.181))
|
||||
(IOPATH A1 Y (0.308:0.308:0.308) (0.202:0.202:0.202))
|
||||
(IOPATH A2 Y (0.301:0.301:0.301) (0.182:0.182:0.182))
|
||||
(IOPATH B1 Y (0.192:0.192:0.192) (0.206:0.206:0.206))
|
||||
(IOPATH C1 Y (0.126:0.126:0.126) (0.152:0.152:0.152))
|
||||
(IOPATH D1 Y (0.098:0.098:0.098) (0.131:0.131:0.131))
|
||||
|
@ -1666,7 +1666,7 @@
|
|||
(INSTANCE _278_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.083:0.086:0.089) (0.043:0.051:0.059))
|
||||
(IOPATH A Y (0.083:0.087:0.090) (0.043:0.051:0.059))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1676,7 +1676,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.474:0.474:0.474) (0.143:0.143:0.143))
|
||||
(IOPATH B Y (0.451:0.451:0.451) (0.125:0.125:0.125))
|
||||
(IOPATH B Y (0.450:0.450:0.450) (0.125:0.125:0.125))
|
||||
(IOPATH C_N Y (0.488:0.488:0.488) (0.248:0.248:0.248))
|
||||
)
|
||||
)
|
||||
|
@ -1687,7 +1687,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 X (0.237:0.237:0.237) (0.288:0.288:0.288))
|
||||
(IOPATH A2 X (0.212:0.212:0.212) (0.281:0.281:0.281))
|
||||
(IOPATH A2 X (0.212:0.212:0.212) (0.282:0.282:0.282))
|
||||
(IOPATH B1 X (0.233:0.233:0.233) (0.197:0.197:0.197))
|
||||
(IOPATH C1 X (0.250:0.251:0.251) (0.154:0.156:0.158))
|
||||
(IOPATH D1 X (0.258:0.258:0.259) (0.130:0.135:0.139))
|
||||
|
@ -1701,7 +1701,7 @@
|
|||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.210:0.212:0.213) (0.199:0.199:0.199))
|
||||
(IOPATH A2 Y (0.245:0.246:0.247) (0.184:0.184:0.184))
|
||||
(IOPATH A3 Y (0.249:0.253:0.257) (0.211:0.211:0.211))
|
||||
(IOPATH A3 Y (0.248:0.253:0.257) (0.211:0.211:0.211))
|
||||
(IOPATH A4 Y (0.234:0.234:0.234) (0.133:0.133:0.133))
|
||||
(IOPATH B1 Y (0.206:0.206:0.206) (0.072:0.072:0.072))
|
||||
)
|
||||
|
@ -1714,7 +1714,7 @@
|
|||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.130:0.130:0.130) (0.065:0.065:0.065))
|
||||
(IOPATH A2 Y (0.113:0.115:0.117) (0.056:0.057:0.057))
|
||||
(IOPATH B1 Y (0.078:0.099:0.119) (0.089:0.093:0.098))
|
||||
(IOPATH B1 Y (0.078:0.099:0.120) (0.089:0.093:0.098))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1723,10 +1723,10 @@
|
|||
(INSTANCE _283_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.256:0.257:0.258) (0.192:0.192:0.192))
|
||||
(IOPATH A1 Y (0.256:0.258:0.259) (0.193:0.193:0.193))
|
||||
(IOPATH A2 Y (0.274:0.274:0.274) (0.128:0.128:0.128))
|
||||
(IOPATH A3 Y (0.285:0.285:0.285) (0.132:0.132:0.132))
|
||||
(IOPATH B1 Y (0.267:0.267:0.267) (0.089:0.089:0.089))
|
||||
(IOPATH B1 Y (0.268:0.268:0.268) (0.089:0.089:0.089))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1736,7 +1736,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A_N Y (0.146:0.146:0.146) (0.196:0.196:0.196))
|
||||
(IOPATH B Y (0.132:0.137:0.142) (0.098:0.115:0.132))
|
||||
(IOPATH B Y (0.133:0.138:0.142) (0.099:0.115:0.132))
|
||||
(IOPATH C Y (0.128:0.128:0.128) (0.126:0.126:0.126))
|
||||
)
|
||||
)
|
||||
|
@ -1758,7 +1758,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.147:0.147:0.147) (0.284:0.284:0.284))
|
||||
(IOPATH A1 X (0.122:0.122:0.123) (0.293:0.294:0.294))
|
||||
(IOPATH A1 X (0.122:0.122:0.123) (0.294:0.294:0.294))
|
||||
(IOPATH S X (0.223:0.223:0.223) (0.335:0.335:0.335))
|
||||
(IOPATH S X (0.155:0.155:0.155) (0.329:0.329:0.329))
|
||||
)
|
||||
|
@ -1779,7 +1779,7 @@
|
|||
(INSTANCE _288_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.166:0.166:0.166) (0.089:0.089:0.089))
|
||||
(IOPATH A Y (0.165:0.165:0.165) (0.088:0.088:0.088))
|
||||
(IOPATH B Y (0.154:0.154:0.154) (0.092:0.092:0.092))
|
||||
)
|
||||
)
|
||||
|
@ -1846,8 +1846,8 @@
|
|||
(INSTANCE _294_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.161:0.164:0.166) (0.147:0.147:0.147))
|
||||
(IOPATH A2 Y (0.192:0.193:0.195) (0.133:0.133:0.133))
|
||||
(IOPATH A1 Y (0.162:0.164:0.166) (0.147:0.147:0.147))
|
||||
(IOPATH A2 Y (0.192:0.194:0.195) (0.133:0.133:0.133))
|
||||
(IOPATH A3 Y (0.206:0.210:0.214) (0.172:0.172:0.172))
|
||||
(IOPATH A4 Y (0.201:0.201:0.201) (0.109:0.109:0.109))
|
||||
(IOPATH B1 Y (0.182:0.182:0.182) (0.064:0.064:0.064))
|
||||
|
@ -1905,7 +1905,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.173:0.173:0.173) (0.096:0.096:0.096))
|
||||
(IOPATH B Y (0.143:0.143:0.143) (0.073:0.073:0.073))
|
||||
(IOPATH B Y (0.143:0.143:0.143) (0.072:0.072:0.072))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1915,7 +1915,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.134:0.134:0.134) (0.133:0.133:0.133))
|
||||
(IOPATH B Y (0.128:0.132:0.136) (0.127:0.127:0.128))
|
||||
(IOPATH B Y (0.128:0.132:0.136) (0.127:0.127:0.127))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1944,7 +1944,7 @@
|
|||
(INSTANCE _303_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.339:0.339:0.339) (0.124:0.124:0.124))
|
||||
(IOPATH A Y (0.339:0.339:0.339) (0.123:0.123:0.123))
|
||||
(IOPATH B Y (0.317:0.317:0.317) (0.109:0.109:0.109))
|
||||
(IOPATH C Y (0.245:0.245:0.245) (0.054:0.054:0.054))
|
||||
)
|
||||
|
@ -1966,7 +1966,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.164:0.164:0.164) (0.158:0.158:0.158))
|
||||
(IOPATH B Y (0.159:0.162:0.166) (0.156:0.156:0.156))
|
||||
(IOPATH B Y (0.158:0.162:0.166) (0.156:0.156:0.156))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1976,7 +1976,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.127:0.127:0.127) (0.244:0.244:0.244))
|
||||
(IOPATH B X (0.145:0.145:0.145) (0.232:0.233:0.234))
|
||||
(IOPATH B X (0.145:0.145:0.145) (0.232:0.233:0.235))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -1995,7 +1995,7 @@
|
|||
(INSTANCE _308_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.305:0.305:0.305) (0.117:0.117:0.117))
|
||||
(IOPATH A Y (0.305:0.305:0.305) (0.116:0.116:0.116))
|
||||
(IOPATH B Y (0.277:0.277:0.277) (0.096:0.096:0.096))
|
||||
(IOPATH C Y (0.206:0.206:0.206) (0.048:0.048:0.048))
|
||||
)
|
||||
|
@ -2136,8 +2136,8 @@
|
|||
(INSTANCE _321_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.136:0.137:0.138) (0.086:0.086:0.086))
|
||||
(IOPATH B Y (0.122:0.123:0.124) (0.059:0.059:0.059))
|
||||
(IOPATH A Y (0.135:0.136:0.137) (0.086:0.086:0.086))
|
||||
(IOPATH B Y (0.121:0.122:0.123) (0.059:0.059:0.059))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2171,7 +2171,7 @@
|
|||
(IOPATH A Y (0.135:0.135:0.135) (0.141:0.141:0.141))
|
||||
(IOPATH A Y (0.157:0.157:0.157) (0.093:0.093:0.093))
|
||||
(IOPATH B Y (0.141:0.141:0.141) (0.149:0.149:0.149))
|
||||
(IOPATH B Y (0.150:0.150:0.150) (0.085:0.085:0.085))
|
||||
(IOPATH B Y (0.150:0.150:0.150) (0.086:0.086:0.086))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2257,9 +2257,9 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.164:0.164:0.164) (0.170:0.170:0.170))
|
||||
(IOPATH A Y (0.219:0.219:0.219) (0.120:0.120:0.120))
|
||||
(IOPATH A Y (0.220:0.220:0.220) (0.120:0.120:0.120))
|
||||
(IOPATH B Y (0.157:0.157:0.157) (0.147:0.147:0.147))
|
||||
(IOPATH B Y (0.183:0.183:0.183) (0.102:0.102:0.102))
|
||||
(IOPATH B Y (0.184:0.184:0.184) (0.102:0.102:0.102))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2303,7 +2303,7 @@
|
|||
(INSTANCE _336_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 X (0.224:0.224:0.224) (0.266:0.266:0.266))
|
||||
(IOPATH A1 X (0.225:0.225:0.225) (0.266:0.266:0.266))
|
||||
(IOPATH A2 X (0.201:0.201:0.201) (0.254:0.254:0.254))
|
||||
(IOPATH B1 X (0.218:0.218:0.218) (0.188:0.188:0.188))
|
||||
)
|
||||
|
@ -2358,7 +2358,7 @@
|
|||
(INSTANCE _341_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.157:0.157:0.157) (0.394:0.394:0.394))
|
||||
(IOPATH A X (0.156:0.156:0.156) (0.394:0.394:0.394))
|
||||
(IOPATH B X (0.155:0.155:0.155) (0.379:0.379:0.379))
|
||||
(IOPATH C X (0.136:0.136:0.136) (0.330:0.330:0.330))
|
||||
)
|
||||
|
@ -2402,7 +2402,7 @@
|
|||
(INSTANCE _345_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.179:0.179:0.179) (0.414:0.414:0.414))
|
||||
(IOPATH A X (0.178:0.178:0.178) (0.414:0.414:0.414))
|
||||
(IOPATH B X (0.138:0.138:0.138) (0.376:0.376:0.376))
|
||||
(IOPATH C X (0.152:0.152:0.152) (0.346:0.346:0.346))
|
||||
)
|
||||
|
@ -2458,9 +2458,9 @@
|
|||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.362:0.362:0.362) (0.234:0.234:0.234))
|
||||
(IOPATH A2 Y (0.357:0.357:0.357) (0.227:0.227:0.227))
|
||||
(IOPATH B1 Y (0.220:0.220:0.220) (0.235:0.235:0.235))
|
||||
(IOPATH B1 Y (0.221:0.221:0.221) (0.236:0.236:0.236))
|
||||
(IOPATH C1 Y (0.195:0.195:0.195) (0.218:0.218:0.218))
|
||||
(IOPATH D1 Y (0.160:0.164:0.168) (0.221:0.222:0.222))
|
||||
(IOPATH D1 Y (0.160:0.164:0.168) (0.222:0.222:0.222))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2481,7 +2481,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.142:0.142:0.142) (0.089:0.089:0.089))
|
||||
(IOPATH A2 Y (0.176:0.180:0.184) (0.082:0.092:0.102))
|
||||
(IOPATH A2 Y (0.176:0.180:0.185) (0.082:0.092:0.102))
|
||||
(IOPATH B1 Y (0.077:0.086:0.095) (0.077:0.080:0.083))
|
||||
)
|
||||
)
|
||||
|
@ -2525,7 +2525,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A_N Y (0.112:0.112:0.112) (0.141:0.141:0.141))
|
||||
(IOPATH B Y (0.101:0.101:0.101) (0.081:0.081:0.081))
|
||||
(IOPATH B Y (0.101:0.101:0.101) (0.080:0.080:0.080))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2535,7 +2535,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A_N Y (0.141:0.141:0.141) (0.178:0.178:0.178))
|
||||
(IOPATH B Y (0.079:0.079:0.079) (0.072:0.072:0.072))
|
||||
(IOPATH B Y (0.078:0.078:0.078) (0.072:0.072:0.072))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2589,7 +2589,7 @@
|
|||
(IOPATH A1 X (0.158:0.158:0.158) (0.221:0.221:0.221))
|
||||
(IOPATH A2 X (0.166:0.166:0.166) (0.216:0.217:0.219))
|
||||
(IOPATH B1 X (0.141:0.143:0.145) (0.190:0.190:0.190))
|
||||
(IOPATH B2 X (0.135:0.139:0.142) (0.161:0.166:0.172))
|
||||
(IOPATH B2 X (0.135:0.139:0.142) (0.161:0.166:0.171))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2631,7 +2631,7 @@
|
|||
(INSTANCE _366_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.124:0.124:0.125) (0.061:0.061:0.061))
|
||||
(IOPATH A1 Y (0.124:0.125:0.125) (0.061:0.061:0.061))
|
||||
(IOPATH A2 Y (0.127:0.137:0.147) (0.083:0.087:0.090))
|
||||
(IOPATH B1 Y (0.078:0.083:0.087) (0.059:0.062:0.066))
|
||||
)
|
||||
|
@ -2679,7 +2679,7 @@
|
|||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.244:0.244:0.244) (0.097:0.097:0.097))
|
||||
(IOPATH A2 Y (0.212:0.212:0.212) (0.063:0.063:0.063))
|
||||
(IOPATH A3 Y (0.191:0.192:0.194) (0.082:0.082:0.082))
|
||||
(IOPATH A3 Y (0.191:0.193:0.194) (0.082:0.082:0.082))
|
||||
(IOPATH B1 Y (0.056:0.058:0.061) (0.066:0.071:0.075))
|
||||
)
|
||||
)
|
||||
|
@ -2701,8 +2701,8 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 X (0.167:0.167:0.167) (0.319:0.319:0.319))
|
||||
(IOPATH A2 X (0.184:0.184:0.184) (0.311:0.311:0.311))
|
||||
(IOPATH A3 X (0.149:0.149:0.149) (0.253:0.254:0.255))
|
||||
(IOPATH A2 X (0.183:0.183:0.183) (0.311:0.311:0.311))
|
||||
(IOPATH A3 X (0.149:0.149:0.149) (0.253:0.254:0.256))
|
||||
(IOPATH B1 X (0.136:0.145:0.155) (0.106:0.107:0.108))
|
||||
)
|
||||
)
|
||||
|
@ -2714,7 +2714,7 @@
|
|||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.188:0.188:0.188) (0.110:0.110:0.110))
|
||||
(IOPATH A2 Y (0.164:0.165:0.167) (0.097:0.097:0.097))
|
||||
(IOPATH B1_N Y (0.112:0.112:0.113) (0.165:0.165:0.165))
|
||||
(IOPATH B1_N Y (0.112:0.113:0.113) (0.165:0.165:0.165))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2747,7 +2747,7 @@
|
|||
(INSTANCE _376_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A0 X (0.128:0.129:0.129) (0.294:0.294:0.294))
|
||||
(IOPATH A0 X (0.128:0.129:0.129) (0.294:0.294:0.295))
|
||||
(IOPATH A1 X (0.176:0.176:0.176) (0.327:0.327:0.327))
|
||||
(IOPATH S X (0.230:0.230:0.230) (0.349:0.349:0.349))
|
||||
(IOPATH S X (0.169:0.169:0.169) (0.339:0.339:0.339))
|
||||
|
@ -2816,7 +2816,7 @@
|
|||
(INSTANCE _382_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A_N Y (0.139:0.139:0.139) (0.200:0.200:0.200))
|
||||
(IOPATH A_N Y (0.140:0.140:0.140) (0.201:0.201:0.201))
|
||||
(IOPATH B Y (0.096:0.096:0.096) (0.111:0.111:0.111))
|
||||
(IOPATH C Y (0.093:0.097:0.101) (0.115:0.115:0.115))
|
||||
(IOPATH D Y (0.086:0.086:0.086) (0.094:0.094:0.094))
|
||||
|
@ -2889,9 +2889,9 @@
|
|||
(INSTANCE _389_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A Y (0.090:0.091:0.091) (0.090:0.090:0.090))
|
||||
(IOPATH B Y (0.108:0.112:0.115) (0.101:0.102:0.103))
|
||||
(IOPATH C Y (0.100:0.100:0.100) (0.104:0.104:0.104))
|
||||
(IOPATH A Y (0.090:0.091:0.092) (0.090:0.091:0.091))
|
||||
(IOPATH B Y (0.109:0.112:0.115) (0.101:0.102:0.103))
|
||||
(IOPATH C Y (0.101:0.101:0.101) (0.105:0.105:0.105))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2903,7 +2903,7 @@
|
|||
(IOPATH A1 X (0.206:0.206:0.206) (0.262:0.262:0.262))
|
||||
(IOPATH A2 X (0.192:0.192:0.192) (0.234:0.235:0.237))
|
||||
(IOPATH B1 X (0.152:0.154:0.155) (0.200:0.207:0.214))
|
||||
(IOPATH B2 X (0.138:0.140:0.142) (0.182:0.182:0.183))
|
||||
(IOPATH B2 X (0.138:0.140:0.142) (0.182:0.183:0.183))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -2913,7 +2913,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A_N Y (0.136:0.136:0.136) (0.185:0.185:0.185))
|
||||
(IOPATH B Y (0.121:0.126:0.131) (0.088:0.103:0.118))
|
||||
(IOPATH B Y (0.122:0.126:0.131) (0.088:0.103:0.118))
|
||||
(IOPATH C Y (0.127:0.127:0.127) (0.116:0.116:0.116))
|
||||
)
|
||||
)
|
||||
|
@ -2924,7 +2924,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.129:0.129:0.129) (0.063:0.063:0.063))
|
||||
(IOPATH A2 Y (0.133:0.147:0.161) (0.089:0.093:0.097))
|
||||
(IOPATH A2 Y (0.133:0.147:0.162) (0.089:0.093:0.097))
|
||||
(IOPATH B1 Y (0.085:0.089:0.094) (0.064:0.068:0.071))
|
||||
)
|
||||
)
|
||||
|
@ -2935,7 +2935,7 @@
|
|||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A_N Y (0.151:0.151:0.151) (0.202:0.202:0.202))
|
||||
(IOPATH B Y (0.138:0.143:0.148) (0.104:0.121:0.138))
|
||||
(IOPATH B Y (0.138:0.143:0.148) (0.104:0.121:0.139))
|
||||
(IOPATH C Y (0.129:0.129:0.129) (0.123:0.123:0.123))
|
||||
)
|
||||
)
|
||||
|
@ -3005,7 +3005,7 @@
|
|||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.219:0.219:0.219) (0.137:0.137:0.137))
|
||||
(IOPATH A2 Y (0.189:0.191:0.192) (0.123:0.123:0.123))
|
||||
(IOPATH B1_N Y (0.118:0.119:0.121) (0.170:0.170:0.171))
|
||||
(IOPATH B1_N Y (0.118:0.119:0.121) (0.170:0.171:0.171))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -3075,7 +3075,7 @@
|
|||
(ABSOLUTE
|
||||
(IOPATH A1 Y (0.148:0.148:0.148) (0.093:0.093:0.093))
|
||||
(IOPATH A2 Y (0.158:0.161:0.165) (0.077:0.087:0.098))
|
||||
(IOPATH B1 Y (0.080:0.086:0.092) (0.076:0.078:0.081))
|
||||
(IOPATH B1 Y (0.080:0.085:0.091) (0.076:0.078:0.081))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -3099,7 +3099,7 @@
|
|||
(IOPATH A0 X (0.115:0.116:0.118) (0.281:0.281:0.281))
|
||||
(IOPATH A1 X (0.161:0.161:0.161) (0.312:0.312:0.312))
|
||||
(IOPATH S X (0.227:0.227:0.227) (0.340:0.340:0.340))
|
||||
(IOPATH S X (0.161:0.161:0.161) (0.333:0.333:0.333))
|
||||
(IOPATH S X (0.162:0.162:0.162) (0.334:0.334:0.334))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -3151,7 +3151,7 @@
|
|||
(INSTANCE clkbuf_0_ext_clk)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.617:0.617:0.617) (1.463:1.463:1.463))
|
||||
(IOPATH A X (0.616:0.616:0.616) (1.463:1.463:1.463))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -3256,7 +3256,7 @@
|
|||
)
|
||||
(TIMINGCHECK
|
||||
(REMOVAL (posedge RESET_B) (posedge CLK) (0.361:0.361:0.361))
|
||||
(RECOVERY (posedge RESET_B) (posedge CLK) (-0.205:-0.205:-0.205))
|
||||
(RECOVERY (posedge RESET_B) (posedge CLK) (-0.204:-0.204:-0.204))
|
||||
(HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
|
||||
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
|
||||
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
|
||||
|
@ -3337,12 +3337,12 @@
|
|||
(INSTANCE _425_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH CLK Q (0.352:0.352:0.352) (0.325:0.325:0.325))
|
||||
(IOPATH CLK Q (0.351:0.351:0.351) (0.325:0.325:0.325))
|
||||
)
|
||||
)
|
||||
(TIMINGCHECK
|
||||
(HOLD (posedge D) (posedge CLK) (-0.058:-0.058:-0.058))
|
||||
(HOLD (negedge D) (posedge CLK) (-0.080:-0.080:-0.080))
|
||||
(HOLD (negedge D) (posedge CLK) (-0.079:-0.079:-0.079))
|
||||
(SETUP (posedge D) (posedge CLK) (0.083:0.083:0.083))
|
||||
(SETUP (negedge D) (posedge CLK) (0.138:0.138:0.138))
|
||||
)
|
||||
|
@ -3403,7 +3403,7 @@
|
|||
(INSTANCE _429_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH CLK_N Q (0.532:0.532:0.532) (0.425:0.425:0.425))
|
||||
(IOPATH CLK_N Q (0.533:0.533:0.533) (0.425:0.425:0.425))
|
||||
(IOPATH RESET_B Q () (0.000:0.000:0.000))
|
||||
)
|
||||
)
|
||||
|
@ -3765,7 +3765,7 @@
|
|||
)
|
||||
(TIMINGCHECK
|
||||
(HOLD (posedge D) (posedge CLK) (-0.056:-0.056:-0.056))
|
||||
(HOLD (negedge D) (posedge CLK) (-0.080:-0.080:-0.080))
|
||||
(HOLD (negedge D) (posedge CLK) (-0.081:-0.081:-0.081))
|
||||
(SETUP (posedge D) (posedge CLK) (0.082:0.082:0.082))
|
||||
(SETUP (negedge D) (posedge CLK) (0.139:0.139:0.139))
|
||||
)
|
||||
|
@ -3849,7 +3849,7 @@
|
|||
(REMOVAL (posedge RESET_B) (negedge CLK_N) (0.485:0.485:0.485))
|
||||
(RECOVERY (posedge RESET_B) (negedge CLK_N) (-0.269:-0.269:-0.269))
|
||||
(HOLD (posedge D) (negedge CLK_N) (0.076:0.073:0.070))
|
||||
(HOLD (negedge D) (negedge CLK_N) (-0.076:-0.079:-0.082))
|
||||
(HOLD (negedge D) (negedge CLK_N) (-0.076:-0.079:-0.083))
|
||||
(SETUP (posedge D) (negedge CLK_N) (-0.029:-0.025:-0.021))
|
||||
(SETUP (negedge D) (negedge CLK_N) (0.151:0.155:0.158))
|
||||
)
|
||||
|
@ -3895,7 +3895,7 @@
|
|||
(INSTANCE _457_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH CLK Q (0.561:0.561:0.561) (0.384:0.384:0.384))
|
||||
(IOPATH CLK Q (0.562:0.562:0.562) (0.384:0.384:0.384))
|
||||
(IOPATH SET_B Q (0.000:0.000:0.000))
|
||||
)
|
||||
)
|
||||
|
@ -4075,12 +4075,12 @@
|
|||
(INSTANCE _467_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH CLK Q (0.376:0.376:0.376) (0.400:0.400:0.400))
|
||||
(IOPATH CLK Q (0.375:0.375:0.375) (0.400:0.400:0.400))
|
||||
(IOPATH RESET_B Q () (0.000:0.000:0.000))
|
||||
)
|
||||
)
|
||||
(TIMINGCHECK
|
||||
(REMOVAL (posedge RESET_B) (posedge CLK) (0.379:0.379:0.379))
|
||||
(REMOVAL (posedge RESET_B) (posedge CLK) (0.380:0.380:0.380))
|
||||
(RECOVERY (posedge RESET_B) (posedge CLK) (-0.188:-0.188:-0.188))
|
||||
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.033))
|
||||
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
|
||||
|
@ -4210,7 +4210,7 @@
|
|||
(INSTANCE fanout18)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.305:0.305:0.305) (0.248:0.248:0.248))
|
||||
(IOPATH A X (0.304:0.304:0.304) (0.248:0.248:0.248))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -4228,7 +4228,7 @@
|
|||
(INSTANCE fanout16)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.228:0.228:0.228) (0.196:0.196:0.196))
|
||||
(IOPATH A X (0.229:0.229:0.229) (0.196:0.196:0.196))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -4255,7 +4255,7 @@
|
|||
(INSTANCE fanout13)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.330:0.330:0.330) (0.273:0.273:0.273))
|
||||
(IOPATH A X (0.330:0.330:0.330) (0.274:0.274:0.274))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -4480,7 +4480,7 @@
|
|||
(INSTANCE clkbuf_0__037_)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.171:0.171:0.171) (0.183:0.183:0.184))
|
||||
(IOPATH A X (0.172:0.172:0.172) (0.183:0.184:0.184))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
@ -4561,7 +4561,7 @@
|
|||
(INSTANCE clkbuf_0_divider\.out)
|
||||
(DELAY
|
||||
(ABSOLUTE
|
||||
(IOPATH A X (0.145:0.145:0.146) (0.153:0.154:0.155))
|
||||
(IOPATH A X (0.145:0.145:0.145) (0.153:0.154:0.155))
|
||||
)
|
||||
)
|
||||
)
|
||||
|
|
|
@ -1,2 +1,2 @@
|
|||
design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,CoreArea_um^2,power_slowest_internal_uW,power_slowest_switching_uW,power_slowest_leakage_uW,power_typical_internal_uW,power_typical_switching_uW,power_typical_leakage_uW,power_fastest_internal_uW,power_fastest_switching_uW,power_fastest_leakage_uW,critical_path_ns,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GRT_ADJUSTMENT,STD_CELL_LIBRARY,DIODE_INSERTION_STRATEGY
|
||||
/home/kareem_farid/caravel/openlane/caravel_clocking,caravel_clocking,22_10_13_10_49,flow completed,0h2m11s0ms,-1,-2.0,0.006,-1,77.61,672.82,-1,0,0,0,0,0,0,0,-1,-1,-1,-1,9779,2723,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3180689.0,0.0,40.08,35.75,0.45,0.0,0.0,215,265,67,117,0,0,0,213,0,3,4,15,18,14,14,41,79,86,4,38,157,0,195,4825.8784,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10.0,DELAY 0,12,50,1,15.5,16.9,0.9,0,sky130_fd_sc_hd,4
|
||||
/home/kareem_farid/caravel/openlane/caravel_clocking,caravel_clocking,22_10_14_05_15,flow completed,0h2m17s0ms,-1,-2.0,0.006,-1,77.61,723.21,-1,0,0,0,0,0,0,0,-1,-1,-1,-1,9779,2723,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,3180689.0,0.0,40.08,35.75,0.45,0.0,0.0,215,265,67,117,0,0,0,213,0,3,4,15,18,14,14,41,79,86,4,38,157,0,195,4825.8784,-1,-1,-1,-1,-1,-1,-1,-1,-1,-1,10.0,100.0,10.0,DELAY 0,12,50,1,15.5,16.9,0.9,0,sky130_fd_sc_hd,4
|
||||
|
|
|
|
@ -1,22 +1,22 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.min.lef
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.min.lef
|
||||
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
|
||||
The LEF parser will ignore this statement.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.min.lef at line 930.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.min.lef at line 930.
|
||||
|
||||
[INFO ODB-0223] Created 13 technology layers
|
||||
[INFO ODB-0224] Created 25 technology vias
|
||||
[INFO ODB-0225] Created 441 library cells
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.min.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.min.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0128] Design: caravel_clocking
|
||||
[INFO ODB-0130] Created 17 pins.
|
||||
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
|
||||
[INFO ODB-0132] Created 2 special nets and 2622 connections.
|
||||
[INFO ODB-0133] Created 330 nets and 1176 connections.
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.min.calibre'...
|
||||
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
|
||||
[INFO RCX-0029] Defined extraction corner X
|
||||
|
@ -29,12 +29,12 @@ Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs
|
|||
[INFO RCX-0043] 3159 wires to be extracted
|
||||
[INFO RCX-0442] 54% completion -- 1727 wires have been extracted
|
||||
[INFO RCX-0442] 100% completion -- 3159 wires have been extracted
|
||||
[INFO RCX-0045] Extract 330 nets, 1718 rsegs, 1718 caps, 3478 ccs
|
||||
[INFO RCX-0045] Extract 330 nets, 1718 rsegs, 1718 caps, 3485 ccs
|
||||
[INFO RCX-0015] Finished extracting caravel_clocking.
|
||||
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_min/caravel_clocking.spef...
|
||||
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.spef...
|
||||
Setting global connections for newly added cells...
|
||||
[WARNING] Did not save OpenROAD database!
|
||||
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_min/caravel_clocking.spef...
|
||||
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.spef...
|
||||
[INFO RCX-0016] Writing SPEF ...
|
||||
[INFO RCX-0443] 330 nets finished
|
||||
[INFO RCX-0017] Finished writing SPEF ...
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.odb
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
|
||||
min_report
|
||||
|
||||
===========================================================================
|
||||
|
@ -66,9 +66,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.30 14.47 v clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_1__leaf_ext_clk (net)
|
||||
0.05 0.00 14.47 v _209_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.29 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.30 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.23 0.00 15.29 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.00 15.30 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.35 15.65 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.65 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -154,9 +154,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.30 14.47 v clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_1__leaf_ext_clk (net)
|
||||
0.05 0.00 14.47 v _209_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.29 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.30 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.23 0.00 15.29 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.00 15.30 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.35 15.65 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.65 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -172,10 +172,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.27 17.20 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_1_1__leaf_net10 (net)
|
||||
0.06 0.00 17.20 v _411__8/A (sky130_fd_sc_hd__inv_4)
|
||||
0.03 0.06 17.26 ^ _411__8/Y (sky130_fd_sc_hd__inv_4)
|
||||
0.03 0.06 17.27 ^ _411__8/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net38 (net)
|
||||
0.03 0.00 17.26 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.20 17.46 clock uncertainty
|
||||
0.03 0.00 17.27 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.20 17.47 clock uncertainty
|
||||
-0.42 17.04 clock reconvergence pessimism
|
||||
-0.01 17.03 library hold time
|
||||
17.03 data required time
|
||||
|
@ -198,10 +198,10 @@ Fanout Cap Slew Delay Time Description
|
|||
5.00 0.00 0.00 ^ ext_reset (in)
|
||||
2 0.02 ext_reset (net)
|
||||
5.00 0.00 0.00 ^ input2/A (sky130_fd_sc_hd__clkbuf_1)
|
||||
0.22 0.87 0.87 ^ input2/X (sky130_fd_sc_hd__clkbuf_1)
|
||||
0.22 0.86 0.86 ^ input2/X (sky130_fd_sc_hd__clkbuf_1)
|
||||
1 0.01 net2 (net)
|
||||
0.22 0.00 0.87 ^ _349_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.13 0.21 1.08 v _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
0.13 0.21 1.07 v _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 net11 (net)
|
||||
0.13 0.00 1.08 v output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.19 0.36 1.43 v output11/X (sky130_fd_sc_hd__buf_12)
|
||||
|
@ -905,7 +905,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.29 1.47 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.04 clknet_1_1__leaf_pll_clk (net)
|
||||
0.10 0.00 1.47 ^ _438_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.33 0.81 2.29 ^ _438_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.33 0.81 2.28 ^ _438_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
5 0.02 divider.odd_0.counter[2] (net)
|
||||
0.33 0.00 2.29 ^ _374_/A2 (sky130_fd_sc_hd__o31a_1)
|
||||
0.10 0.33 2.62 ^ _374_/X (sky130_fd_sc_hd__o31a_1)
|
||||
|
@ -1014,8 +1014,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.00 2.49 ^ _379_/B (sky130_fd_sc_hd__or2_1)
|
||||
0.09 0.18 2.67 ^ _379_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _103_ (net)
|
||||
0.09 0.00 2.68 ^ _441_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
2.68 data arrival time
|
||||
0.09 0.00 2.67 ^ _441_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
2.67 data arrival time
|
||||
|
||||
0.00 0.00 clock pll_clk (rise edge)
|
||||
0.00 0.00 clock source latency
|
||||
|
@ -1034,7 +1034,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1.60 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
1.60 data required time
|
||||
-2.68 data arrival time
|
||||
-2.67 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
1.07 slack (MET)
|
||||
|
||||
|
@ -1195,7 +1195,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1.67 data required time
|
||||
-2.88 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
1.21 slack (MET)
|
||||
1.20 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_clk90')
|
||||
|
@ -1253,7 +1253,7 @@ Fanout Cap Slew Delay Time Description
|
|||
5.42 data required time
|
||||
-6.22 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.79 slack (MET)
|
||||
0.80 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _452_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
|
@ -1691,10 +1691,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 0.27 6.32 v _393_/Y (sky130_fd_sc_hd__nand3b_1)
|
||||
1 0.01 _197_ (net)
|
||||
0.18 0.00 6.32 v _394_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.14 0.17 6.48 ^ _394_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
0.14 0.17 6.49 ^ _394_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _109_ (net)
|
||||
0.14 0.00 6.48 ^ _456_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
6.48 data arrival time
|
||||
0.14 0.00 6.49 ^ _456_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
6.49 data arrival time
|
||||
|
||||
3.33 3.33 clock pll_clk90 (fall edge)
|
||||
0.00 3.33 clock source latency
|
||||
|
@ -1713,7 +1713,7 @@ Fanout Cap Slew Delay Time Description
|
|||
5.50 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
5.50 data required time
|
||||
-6.48 data arrival time
|
||||
-6.49 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.99 slack (MET)
|
||||
|
||||
|
@ -2741,7 +2741,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.93 data required time
|
||||
-1.28 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.36 slack (MET)
|
||||
0.35 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _430_ (rising edge-triggered flip-flop clocked by pll_clk')
|
||||
|
@ -3026,14 +3026,14 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.18 0.77 ^ clkbuf_1_0__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
11 0.05 clknet_1_0__leaf_pll_clk (net)
|
||||
0.07 0.00 0.77 ^ _441_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.12 0.37 1.14 ^ _441_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.11 0.37 1.14 ^ _441_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
3 0.01 divider.even_0.counter[2] (net)
|
||||
0.12 0.00 1.14 ^ _378_/B1 (sky130_fd_sc_hd__o31a_1)
|
||||
0.11 0.00 1.14 ^ _378_/B1 (sky130_fd_sc_hd__o31a_1)
|
||||
0.06 0.15 1.29 ^ _378_/X (sky130_fd_sc_hd__o31a_1)
|
||||
1 0.00 _187_ (net)
|
||||
0.06 0.00 1.29 ^ _379_/B (sky130_fd_sc_hd__or2_1)
|
||||
0.06 0.10 1.39 ^ _379_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _103_ (net)
|
||||
1 0.01 _103_ (net)
|
||||
0.06 0.00 1.39 ^ _441_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
1.39 data arrival time
|
||||
|
||||
|
@ -3543,7 +3543,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.17 0.46 5.39 ^ _456_/Q (sky130_fd_sc_hd__dfrtn_1)
|
||||
4 0.02 divider2.odd_0.counter2[2] (net)
|
||||
0.17 0.00 5.39 ^ _393_/C (sky130_fd_sc_hd__nand3b_1)
|
||||
0.08 0.11 5.50 v _393_/Y (sky130_fd_sc_hd__nand3b_1)
|
||||
0.08 0.11 5.51 v _393_/Y (sky130_fd_sc_hd__nand3b_1)
|
||||
1 0.01 _197_ (net)
|
||||
0.08 0.00 5.51 v _394_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.08 0.08 5.59 ^ _394_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -4103,9 +4103,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.03 0.13 14.19 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 14.19 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.28 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.29 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.02 0.00 14.28 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.02 0.00 14.29 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.17 14.46 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 net10 (net)
|
||||
0.06 0.00 14.46 v clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -4191,9 +4191,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.03 0.13 14.19 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 14.19 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.28 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.29 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.02 0.00 14.28 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.02 0.00 14.29 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.17 14.46 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 net10 (net)
|
||||
0.06 0.00 14.46 v clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -4231,7 +4231,7 @@ Fanout Cap Slew Delay Time Description
|
|||
5.00 0.00 0.00 ^ input2/A (sky130_fd_sc_hd__clkbuf_1)
|
||||
0.14 -0.27 -0.27 ^ input2/X (sky130_fd_sc_hd__clkbuf_1)
|
||||
1 0.01 net2 (net)
|
||||
0.14 0.00 -0.26 ^ _349_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.14 0.00 -0.27 ^ _349_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.05 0.07 -0.19 v _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 net11 (net)
|
||||
0.05 0.00 -0.19 v output11/A (sky130_fd_sc_hd__buf_12)
|
||||
|
@ -4969,7 +4969,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 data required time
|
||||
-0.47 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.18 slack (MET)
|
||||
0.19 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _440_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -5248,10 +5248,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.04 0.05 4.89 ^ _248_/Y (sky130_fd_sc_hd__inv_2)
|
||||
2 0.01 _122_ (net)
|
||||
0.04 0.00 4.89 ^ _282_/A1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.02 0.04 4.92 v _282_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
0.02 0.04 4.93 v _282_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _110_ (net)
|
||||
0.02 0.00 4.92 v _457_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
4.92 data arrival time
|
||||
0.02 0.00 4.93 v _457_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
4.93 data arrival time
|
||||
|
||||
3.33 3.33 clock pll_clk90' (rise edge)
|
||||
0.00 3.33 clock source latency
|
||||
|
@ -5273,7 +5273,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.80 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
4.80 data required time
|
||||
-4.92 data arrival time
|
||||
-4.93 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.12 slack (MET)
|
||||
|
||||
|
@ -5302,7 +5302,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.00 0.35 v _381_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.05 0.06 0.40 ^ _381_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _188_ (net)
|
||||
0.05 0.00 0.41 ^ _383_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.05 0.00 0.40 ^ _383_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.02 0.03 0.43 v _383_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
1 0.00 _105_ (net)
|
||||
0.02 0.00 0.43 v _452_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -5349,7 +5349,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.04 0.14 4.58 v clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.04 0.00 4.58 v _458_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.13 0.30 4.88 ^ _458_/Q (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.13 0.30 4.89 ^ _458_/Q (sky130_fd_sc_hd__dfrtn_1)
|
||||
4 0.02 divider2.odd_0.initial_begin[0] (net)
|
||||
0.13 0.00 4.89 ^ _395_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.03 0.09 4.98 ^ _395_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -6074,9 +6074,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.30 14.47 v clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_1__leaf_ext_clk (net)
|
||||
0.05 0.00 14.47 v _209_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.29 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.30 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.23 0.00 15.29 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.00 15.30 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.35 15.65 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.65 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -6103,8 +6103,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.46 0.00 18.39 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.37 0.58 18.97 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.37 0.01 18.97 ^ resetb_sync (out)
|
||||
18.97 data arrival time
|
||||
0.37 0.01 18.98 ^ resetb_sync (out)
|
||||
18.98 data arrival time
|
||||
|
||||
25.00 25.00 clock ext_clk (rise edge)
|
||||
0.00 25.00 clock network delay (propagated)
|
||||
|
@ -6114,9 +6114,9 @@ Fanout Cap Slew Delay Time Description
|
|||
19.80 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
19.80 data required time
|
||||
-18.97 data arrival time
|
||||
-18.98 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.83 slack (MET)
|
||||
0.82 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _419_ (rising edge-triggered flip-flop clocked by ext_clk')
|
||||
|
@ -6138,9 +6138,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.30 14.47 v clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_1__leaf_ext_clk (net)
|
||||
0.05 0.00 14.47 v _209_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.29 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.30 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.23 0.00 15.29 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.00 15.30 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.35 15.65 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.65 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -6226,9 +6226,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.30 14.47 v clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_1__leaf_ext_clk (net)
|
||||
0.05 0.00 14.47 v _209_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.29 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.30 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.23 0.00 15.29 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.00 15.30 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.35 15.65 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.65 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -6244,9 +6244,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.27 17.20 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_1_1__leaf_net10 (net)
|
||||
0.06 0.00 17.20 v _411__8/A (sky130_fd_sc_hd__inv_4)
|
||||
0.03 0.06 17.26 ^ _411__8/Y (sky130_fd_sc_hd__inv_4)
|
||||
0.03 0.06 17.27 ^ _411__8/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net38 (net)
|
||||
0.03 0.00 17.26 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.03 0.00 17.27 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.09 1.10 18.37 ^ _418_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[1] (net)
|
||||
0.09 0.00 18.37 ^ _417_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -6280,12 +6280,12 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.00 41.51 v clkbuf_1_1__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.24 41.75 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_1_1__leaf_net10 (net)
|
||||
0.06 0.00 41.75 v _266__7/A (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.06 41.81 ^ _266__7/Y (sky130_fd_sc_hd__inv_4)
|
||||
0.06 0.00 41.76 v _266__7/A (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.06 41.82 ^ _266__7/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net37 (net)
|
||||
0.04 0.00 41.81 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 41.61 clock uncertainty
|
||||
0.45 42.06 clock reconvergence pessimism
|
||||
0.04 0.00 41.82 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 41.62 clock uncertainty
|
||||
0.45 42.07 clock reconvergence pessimism
|
||||
-0.13 41.93 library setup time
|
||||
41.93 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
|
@ -6753,9 +6753,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.11 3.49 v _331_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
1 0.00 _055_ (net)
|
||||
0.07 0.00 3.49 v _226_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.65 4.14 v _226_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.65 4.13 v _226_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _056_ (net)
|
||||
0.11 0.00 4.14 v _227_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.00 4.13 v _227_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.67 4.80 v _227_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _011_ (net)
|
||||
0.11 0.00 4.80 v _373_/B1_N (sky130_fd_sc_hd__o21bai_1)
|
||||
|
@ -6847,7 +6847,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.94 data required time
|
||||
-5.32 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
2.62 slack (MET)
|
||||
2.63 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _437_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -6963,7 +6963,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.81 data required time
|
||||
-4.80 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
3.02 slack (MET)
|
||||
3.01 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _439_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -7043,9 +7043,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.40 1.39 3.02 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.40 0.00 3.02 ^ _302_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.11 0.24 3.26 v _302_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
0.11 0.24 3.25 v _302_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 _150_ (net)
|
||||
0.11 0.00 3.26 v _403_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.11 0.00 3.25 v _403_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.43 0.19 3.44 ^ _403_/Y (sky130_fd_sc_hd__o2111ai_1)
|
||||
2 0.01 _201_ (net)
|
||||
0.43 0.00 3.44 ^ _404_/A1 (sky130_fd_sc_hd__a21oi_1)
|
||||
|
@ -7619,7 +7619,7 @@ Fanout Cap Slew Delay Time Description
|
|||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.08 0.00 5.16 v _456_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
-0.20 4.96 clock uncertainty
|
||||
0.12 5.08 clock reconvergence pessimism
|
||||
0.12 5.09 clock reconvergence pessimism
|
||||
-0.38 4.70 library setup time
|
||||
4.70 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
|
@ -7654,7 +7654,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.39 0.57 2.99 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.08 net22 (net)
|
||||
0.39 0.01 2.99 ^ _234_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.19 0.95 3.95 v _234_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.19 0.96 3.95 v _234_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 _017_ (net)
|
||||
0.19 0.00 3.95 v _270_/A (sky130_fd_sc_hd__inv_2)
|
||||
0.06 0.11 4.06 ^ _270_/Y (sky130_fd_sc_hd__inv_2)
|
||||
|
@ -7718,10 +7718,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.41 0.45 3.95 ^ _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
3 0.01 _140_ (net)
|
||||
0.41 0.00 3.95 ^ _285_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.10 0.23 4.17 v _285_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
0.10 0.23 4.18 v _285_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _108_ (net)
|
||||
0.10 0.00 4.17 v _455_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
4.17 data arrival time
|
||||
0.10 0.00 4.18 v _455_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
4.18 data arrival time
|
||||
|
||||
3.33 3.33 clock pll_clk90' (rise edge)
|
||||
0.00 3.33 clock source latency
|
||||
|
@ -7743,7 +7743,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.98 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
4.98 data required time
|
||||
-4.17 data arrival time
|
||||
-4.18 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.81 slack (MET)
|
||||
|
||||
|
@ -7824,8 +7824,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.00 1.62 ^ _462_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.42 1.41 3.03 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.42 0.00 3.04 ^ _345_/A (sky130_fd_sc_hd__or3_1)
|
||||
0.10 0.36 3.39 ^ _345_/X (sky130_fd_sc_hd__or3_1)
|
||||
0.42 0.00 3.03 ^ _345_/A (sky130_fd_sc_hd__or3_1)
|
||||
0.10 0.35 3.39 ^ _345_/X (sky130_fd_sc_hd__or3_1)
|
||||
1 0.01 _169_ (net)
|
||||
0.10 0.00 3.39 ^ _347_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.09 0.12 3.51 v _347_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
|
@ -7888,8 +7888,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.00 1.62 ^ _462_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.42 1.41 3.03 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.42 0.00 3.04 ^ _308_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.13 0.26 3.29 v _308_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
0.42 0.00 3.03 ^ _308_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.13 0.25 3.29 v _308_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.01 _035_ (net)
|
||||
0.13 0.00 3.29 v _241_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.12 0.76 4.05 v _241_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -7949,8 +7949,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.00 1.62 ^ _462_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.42 1.41 3.03 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.42 0.00 3.04 ^ _308_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.13 0.26 3.29 v _308_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
0.42 0.00 3.03 ^ _308_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.13 0.25 3.29 v _308_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.01 _035_ (net)
|
||||
0.13 0.00 3.29 v _239_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.10 0.73 4.02 v _239_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -8008,9 +8008,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 1.63 ^ _465_/CLK (sky130_fd_sc_hd__dfstp_2)
|
||||
0.25 1.36 2.99 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
0.24 1.36 2.99 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
7 0.03 divider2.even_0.counter[0] (net)
|
||||
0.25 0.00 2.99 ^ _305_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.24 0.00 2.99 ^ _305_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.28 0.35 3.34 v _305_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
3 0.02 _032_ (net)
|
||||
0.28 0.00 3.34 v _246_/S (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -8063,15 +8063,15 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 1.63 ^ _465_/CLK (sky130_fd_sc_hd__dfstp_2)
|
||||
0.25 1.36 2.99 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
0.24 1.36 2.99 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
7 0.03 divider2.even_0.counter[0] (net)
|
||||
0.25 0.00 2.99 ^ _305_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.24 0.00 2.99 ^ _305_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.28 0.35 3.34 v _305_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
3 0.02 _032_ (net)
|
||||
0.28 0.00 3.34 v _245_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.12 0.82 4.16 v _245_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.82 4.16 v _245_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _015_ (net)
|
||||
0.12 0.00 4.16 v _406_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.00 4.16 v _406_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.12 0.70 4.87 v _406_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _118_ (net)
|
||||
0.12 0.00 4.87 v _465_/D (sky130_fd_sc_hd__dfstp_2)
|
||||
|
@ -8120,7 +8120,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.00 1.62 ^ _462_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.42 1.41 3.03 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.42 0.00 3.04 ^ _307_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.42 0.00 3.03 ^ _307_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.13 0.26 3.30 v _307_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 _152_ (net)
|
||||
0.13 0.00 3.30 v _350_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
|
@ -8176,9 +8176,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 1.63 ^ _465_/CLK (sky130_fd_sc_hd__dfstp_2)
|
||||
0.25 1.36 2.99 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
0.24 1.36 2.99 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
7 0.03 divider2.even_0.counter[0] (net)
|
||||
0.25 0.00 2.99 ^ _408_/C (sky130_fd_sc_hd__nor4_1)
|
||||
0.24 0.00 2.99 ^ _408_/C (sky130_fd_sc_hd__nor4_1)
|
||||
0.12 0.16 3.16 v _408_/Y (sky130_fd_sc_hd__nor4_1)
|
||||
1 0.00 _203_ (net)
|
||||
0.12 0.00 3.16 v _410_/A (sky130_fd_sc_hd__or2_1)
|
||||
|
@ -8228,9 +8228,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 1.63 ^ _465_/CLK (sky130_fd_sc_hd__dfstp_2)
|
||||
0.25 1.36 2.99 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
0.24 1.36 2.99 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
7 0.03 divider2.even_0.counter[0] (net)
|
||||
0.25 0.00 2.99 ^ _305_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.24 0.00 2.99 ^ _305_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.28 0.35 3.34 v _305_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
3 0.02 _032_ (net)
|
||||
0.28 0.00 3.34 v _381_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -9008,9 +9008,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.07 0.00 0.85 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.26 0.69 1.54 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.25 0.69 1.54 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.26 0.00 1.54 ^ _329_/B (sky130_fd_sc_hd__or3_1)
|
||||
0.25 0.00 1.54 ^ _329_/B (sky130_fd_sc_hd__or3_1)
|
||||
0.07 0.19 1.72 ^ _329_/X (sky130_fd_sc_hd__or3_1)
|
||||
1 0.01 _163_ (net)
|
||||
0.07 0.00 1.72 ^ _331_/A (sky130_fd_sc_hd__nand2_1)
|
||||
|
@ -9023,7 +9023,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.29 2.34 v _227_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _011_ (net)
|
||||
0.05 0.00 2.34 v _373_/B1_N (sky130_fd_sc_hd__o21bai_1)
|
||||
0.06 0.17 2.52 v _373_/Y (sky130_fd_sc_hd__o21bai_1)
|
||||
0.06 0.17 2.51 v _373_/Y (sky130_fd_sc_hd__o21bai_1)
|
||||
1 0.00 _185_ (net)
|
||||
0.06 0.00 2.52 v _374_/B1 (sky130_fd_sc_hd__o31a_1)
|
||||
0.05 0.12 2.63 v _374_/X (sky130_fd_sc_hd__o31a_1)
|
||||
|
@ -9078,9 +9078,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.30 0.35 1.66 ^ _303_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.02 _031_ (net)
|
||||
0.30 0.00 1.66 ^ _224_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.37 2.03 v _224_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.37 2.02 v _224_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _054_ (net)
|
||||
0.05 0.00 2.03 v _225_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.00 2.02 v _225_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.07 0.31 2.33 v _225_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _010_ (net)
|
||||
0.07 0.00 2.33 v _371_/B1_N (sky130_fd_sc_hd__o21bai_1)
|
||||
|
@ -9111,7 +9111,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.25 data required time
|
||||
-2.61 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
4.63 slack (MET)
|
||||
4.64 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _437_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -9304,9 +9304,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.07 0.00 0.85 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.26 0.69 1.54 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.25 0.69 1.54 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.26 0.00 1.54 ^ _302_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.25 0.00 1.54 ^ _302_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.07 0.11 1.64 v _302_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 _150_ (net)
|
||||
0.07 0.00 1.64 v _403_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
|
@ -9922,7 +9922,7 @@ Fanout Cap Slew Delay Time Description
|
|||
12 0.05 net21 (net)
|
||||
0.11 0.00 1.83 v _283_/B1 (sky130_fd_sc_hd__a31oi_2)
|
||||
0.25 0.27 2.10 ^ _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
3 0.01 _140_ (net)
|
||||
3 0.02 _140_ (net)
|
||||
0.25 0.00 2.10 ^ _392_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.06 0.10 2.20 v _392_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _107_ (net)
|
||||
|
@ -9980,7 +9980,7 @@ Fanout Cap Slew Delay Time Description
|
|||
12 0.05 net21 (net)
|
||||
0.11 0.00 1.83 v _283_/B1 (sky130_fd_sc_hd__a31oi_2)
|
||||
0.25 0.27 2.10 ^ _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
3 0.01 _140_ (net)
|
||||
3 0.02 _140_ (net)
|
||||
0.25 0.00 2.10 ^ _285_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.05 0.09 2.19 v _285_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _108_ (net)
|
||||
|
@ -10100,7 +10100,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.00 2.09 v _244_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.08 0.33 2.42 v _244_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 _022_ (net)
|
||||
0.08 0.00 2.43 v _401_/B1_N (sky130_fd_sc_hd__o21bai_1)
|
||||
0.08 0.00 2.42 v _401_/B1_N (sky130_fd_sc_hd__o21bai_1)
|
||||
0.07 0.19 2.61 v _401_/Y (sky130_fd_sc_hd__o21bai_1)
|
||||
1 0.01 _200_ (net)
|
||||
0.07 0.00 2.61 v _402_/B1 (sky130_fd_sc_hd__o31a_1)
|
||||
|
@ -10479,58 +10479,6 @@ Fanout Cap Slew Delay Time Description
|
|||
5.37 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
Path Group: pll_clk90
|
||||
Path Type: max
|
||||
Corner: tt
|
||||
|
||||
Fanout Cap Slew Delay Time Description
|
||||
-----------------------------------------------------------------------------
|
||||
0.00 0.00 clock pll_clk90 (rise edge)
|
||||
0.00 0.00 clock source latency
|
||||
5.00 0.00 0.00 ^ pll_clk90 (in)
|
||||
2 0.02 pll_clk90 (net)
|
||||
5.00 0.00 0.00 ^ clkbuf_0_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.17 0.65 0.65 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.17 0.00 0.65 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.07 0.00 0.85 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.11 0.45 1.31 v _466_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
5 0.02 divider2.even_0.counter[1] (net)
|
||||
0.11 0.00 1.31 v _409_/A2 (sky130_fd_sc_hd__o31a_1)
|
||||
0.04 0.30 1.60 v _409_/X (sky130_fd_sc_hd__o31a_1)
|
||||
1 0.00 _204_ (net)
|
||||
0.04 0.00 1.60 v _410_/B (sky130_fd_sc_hd__or2_1)
|
||||
0.05 0.22 1.82 v _410_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _120_ (net)
|
||||
0.05 0.00 1.82 v _467_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
1.82 data arrival time
|
||||
|
||||
6.67 6.67 clock pll_clk90 (rise edge)
|
||||
0.00 6.67 clock source latency
|
||||
5.00 0.00 6.67 ^ pll_clk90 (in)
|
||||
2 0.02 pll_clk90 (net)
|
||||
5.00 0.00 6.67 ^ clkbuf_0_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.17 0.59 7.26 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.17 0.00 7.26 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.07 0.18 7.44 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.07 0.00 7.44 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
-0.20 7.24 clock uncertainty
|
||||
0.08 7.32 clock reconvergence pessimism
|
||||
-0.11 7.20 library setup time
|
||||
7.20 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
7.20 data required time
|
||||
-1.82 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
5.38 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _453_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
Endpoint: _453_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
Path Group: pll_clk90
|
||||
|
@ -10579,6 +10527,58 @@ Fanout Cap Slew Delay Time Description
|
|||
-----------------------------------------------------------------------------
|
||||
7.20 data required time
|
||||
-1.82 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
5.38 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _466_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
Endpoint: _467_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
Path Group: pll_clk90
|
||||
Path Type: max
|
||||
Corner: tt
|
||||
|
||||
Fanout Cap Slew Delay Time Description
|
||||
-----------------------------------------------------------------------------
|
||||
0.00 0.00 clock pll_clk90 (rise edge)
|
||||
0.00 0.00 clock source latency
|
||||
5.00 0.00 0.00 ^ pll_clk90 (in)
|
||||
2 0.02 pll_clk90 (net)
|
||||
5.00 0.00 0.00 ^ clkbuf_0_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.17 0.65 0.65 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.17 0.00 0.65 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.07 0.00 0.85 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.11 0.45 1.31 v _466_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
5 0.02 divider2.even_0.counter[1] (net)
|
||||
0.11 0.00 1.31 v _409_/A2 (sky130_fd_sc_hd__o31a_1)
|
||||
0.04 0.30 1.60 v _409_/X (sky130_fd_sc_hd__o31a_1)
|
||||
1 0.00 _204_ (net)
|
||||
0.04 0.00 1.60 v _410_/B (sky130_fd_sc_hd__or2_1)
|
||||
0.05 0.22 1.82 v _410_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _120_ (net)
|
||||
0.05 0.00 1.82 v _467_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
1.82 data arrival time
|
||||
|
||||
6.67 6.67 clock pll_clk90 (rise edge)
|
||||
0.00 6.67 clock source latency
|
||||
5.00 0.00 6.67 ^ pll_clk90 (in)
|
||||
2 0.02 pll_clk90 (net)
|
||||
5.00 0.00 6.67 ^ clkbuf_0_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.17 0.59 7.26 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.17 0.00 7.26 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.07 0.18 7.44 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.07 0.00 7.44 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
-0.20 7.24 clock uncertainty
|
||||
0.08 7.32 clock reconvergence pessimism
|
||||
-0.11 7.20 library setup time
|
||||
7.20 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
7.20 data required time
|
||||
-1.82 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
5.39 slack (MET)
|
||||
|
||||
|
@ -10611,9 +10611,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.03 0.13 14.19 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 14.19 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.28 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.29 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.02 0.00 14.28 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.02 0.00 14.29 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.17 14.46 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 net10 (net)
|
||||
0.06 0.00 14.46 v clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -10675,9 +10675,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.03 0.13 14.19 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 14.19 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.28 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.29 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.02 0.00 14.28 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.02 0.00 14.29 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.17 14.46 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 net10 (net)
|
||||
0.06 0.00 14.46 v clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -10763,9 +10763,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.03 0.13 14.19 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 14.19 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.28 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.29 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.02 0.00 14.28 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.02 0.00 14.29 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.17 14.46 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 net10 (net)
|
||||
0.06 0.00 14.46 v clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -11938,9 +11938,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.13 0.12 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.05 0.00 0.12 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.05 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.06 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.05 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.06 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.11 0.18 0.58 v fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.08 net22 (net)
|
||||
0.11 0.01 0.59 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
|
@ -11999,9 +11999,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.13 0.12 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.05 0.00 0.12 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.05 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.06 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.05 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.06 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.11 0.18 0.58 v fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.08 net22 (net)
|
||||
0.11 0.01 0.59 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
|
@ -12060,9 +12060,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.13 0.12 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.05 0.00 0.12 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.05 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.06 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.05 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.06 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.11 0.18 0.58 v fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.08 net22 (net)
|
||||
0.11 0.01 0.59 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
|
@ -12304,9 +12304,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.13 0.12 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.05 0.00 0.12 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.05 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.06 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.05 0.00 0.40 v _281_/B1 (sky130_fd_sc_hd__a41oi_1)
|
||||
0.06 0.00 0.40 v _281_/B1 (sky130_fd_sc_hd__a41oi_1)
|
||||
0.14 0.08 0.47 ^ _281_/Y (sky130_fd_sc_hd__a41oi_1)
|
||||
1 0.01 _139_ (net)
|
||||
0.14 0.00 0.47 ^ _282_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -12498,7 +12498,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.04 0.00 0.98 v _397_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.12 0.08 1.06 ^ _397_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.01 _198_ (net)
|
||||
0.12 0.00 1.07 ^ _398_/B1 (sky130_fd_sc_hd__o31ai_1)
|
||||
0.12 0.00 1.06 ^ _398_/B1 (sky130_fd_sc_hd__o31ai_1)
|
||||
0.07 0.05 1.12 v _398_/Y (sky130_fd_sc_hd__o31ai_1)
|
||||
1 0.01 _114_ (net)
|
||||
0.07 0.00 1.12 v _461_/D (sky130_fd_sc_hd__dfrtp_4)
|
||||
|
@ -12719,9 +12719,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.13 0.12 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.05 0.00 0.12 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.05 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.06 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.05 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.06 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.11 0.18 0.58 v fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.08 net22 (net)
|
||||
0.11 0.00 0.58 v _390_/A1 (sky130_fd_sc_hd__o22a_1)
|
||||
|
@ -12890,9 +12890,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.30 14.47 v clkbuf_1_1__f_ext_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_1__leaf_ext_clk (net)
|
||||
0.05 0.00 14.47 v _209_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.29 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.82 15.30 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.23 0.00 15.29 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.00 15.30 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.35 15.65 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.65 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -12919,8 +12919,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.46 0.00 18.39 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.37 0.58 18.97 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.37 0.01 18.97 ^ resetb_sync (out)
|
||||
18.97 data arrival time
|
||||
0.37 0.01 18.98 ^ resetb_sync (out)
|
||||
18.98 data arrival time
|
||||
|
||||
25.00 25.00 clock ext_clk (rise edge)
|
||||
0.00 25.00 clock network delay (propagated)
|
||||
|
@ -12930,9 +12930,9 @@ Fanout Cap Slew Delay Time Description
|
|||
19.80 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
19.80 data required time
|
||||
-18.97 data arrival time
|
||||
-18.98 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.83 slack (MET)
|
||||
0.82 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _428_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -13274,9 +13274,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.03 0.13 14.19 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 14.19 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.28 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.09 14.29 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.02 0.00 14.28 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.02 0.00 14.29 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.17 14.46 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 net10 (net)
|
||||
0.06 0.00 14.46 v clkbuf_0_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -13393,9 +13393,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.13 0.12 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.05 0.00 0.12 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.05 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.06 0.27 0.40 v _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.05 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.06 0.00 0.40 v fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.11 0.18 0.58 v fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.08 net22 (net)
|
||||
0.11 0.01 0.59 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
|
@ -13900,7 +13900,7 @@ Total 7.93e-04 4.27e-04 2.28e-06 1.22e-03 100.0%
|
|||
Group Internal Switching Leakage Total
|
||||
Power Power Power Power (Watts)
|
||||
----------------------------------------------------------------
|
||||
Sequential 3.74e-04 4.37e-05 4.70e-10 4.18e-04 26.9%
|
||||
Sequential 3.74e-04 4.36e-05 4.70e-10 4.18e-04 26.9%
|
||||
Combinational 6.34e-04 5.02e-04 1.17e-09 1.14e-03 73.1%
|
||||
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
|
@ -13932,10 +13932,10 @@ area_report_end
|
|||
Setting global connections for newly added cells...
|
||||
[WARNING] Did not save OpenROAD database!
|
||||
Writing SDF files for all corners...
|
||||
Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_min/caravel_clocking.ff.sdf...
|
||||
Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_min/caravel_clocking.ss.sdf...
|
||||
Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_min/caravel_clocking.tt.sdf...
|
||||
Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.ff.sdf...
|
||||
Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.ss.sdf...
|
||||
Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.tt.sdf...
|
||||
Writing timing models for all corners...
|
||||
Writing timing models for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_min/caravel_clocking.ff.lib...
|
||||
Writing timing models for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_min/caravel_clocking.ss.lib...
|
||||
Writing timing models for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_min/caravel_clocking.tt.lib...
|
||||
Writing timing models for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.ff.lib...
|
||||
Writing timing models for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.ss.lib...
|
||||
Writing timing models for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_min/caravel_clocking.tt.lib...
|
||||
|
|
|
@ -1,22 +1,22 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.max.lef
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.max.lef
|
||||
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
|
||||
The LEF parser will ignore this statement.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.max.lef at line 930.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.max.lef at line 930.
|
||||
|
||||
[INFO ODB-0223] Created 13 technology layers
|
||||
[INFO ODB-0224] Created 25 technology vias
|
||||
[INFO ODB-0225] Created 441 library cells
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.max.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.max.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0128] Design: caravel_clocking
|
||||
[INFO ODB-0130] Created 17 pins.
|
||||
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
|
||||
[INFO ODB-0132] Created 2 special nets and 2622 connections.
|
||||
[INFO ODB-0133] Created 330 nets and 1176 connections.
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.max.calibre'...
|
||||
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
|
||||
[INFO RCX-0029] Defined extraction corner X
|
||||
|
@ -29,12 +29,12 @@ Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs
|
|||
[INFO RCX-0043] 3159 wires to be extracted
|
||||
[INFO RCX-0442] 54% completion -- 1727 wires have been extracted
|
||||
[INFO RCX-0442] 100% completion -- 3159 wires have been extracted
|
||||
[INFO RCX-0045] Extract 330 nets, 2238 rsegs, 2238 caps, 3586 ccs
|
||||
[INFO RCX-0045] Extract 330 nets, 2238 rsegs, 2238 caps, 3595 ccs
|
||||
[INFO RCX-0015] Finished extracting caravel_clocking.
|
||||
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_max/caravel_clocking.spef...
|
||||
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.spef...
|
||||
Setting global connections for newly added cells...
|
||||
[WARNING] Did not save OpenROAD database!
|
||||
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_max/caravel_clocking.spef...
|
||||
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.spef...
|
||||
[INFO RCX-0016] Writing SPEF ...
|
||||
[INFO RCX-0443] 330 nets finished
|
||||
[INFO RCX-0017] Finished writing SPEF ...
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.odb
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
|
||||
min_report
|
||||
|
||||
===========================================================================
|
||||
|
@ -132,13 +132,13 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.29 16.55 v clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_net10 (net)
|
||||
0.06 0.00 16.55 v clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.38 16.93 v clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.38 16.94 v clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.21 core_clk (net)
|
||||
0.23 0.01 16.95 v _412__9/A (sky130_fd_sc_hd__inv_4)
|
||||
0.06 0.11 17.06 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net39 (net)
|
||||
0.06 0.00 17.06 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.06 0.67 17.72 v _419_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.06 0.67 17.73 v _419_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[2] (net)
|
||||
0.06 0.00 17.73 v _418_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
17.73 data arrival time
|
||||
|
@ -311,7 +311,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1.61 data required time
|
||||
-2.25 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.64 slack (MET)
|
||||
0.63 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _432_ (rising edge-triggered flip-flop clocked by pll_clk')
|
||||
|
@ -418,7 +418,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1.62 data required time
|
||||
-2.45 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.83 slack (MET)
|
||||
0.82 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _427_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -858,7 +858,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.12 0.00 1.49 ^ _440_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.33 0.82 2.31 ^ _440_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
5 0.02 divider.even_0.counter[1] (net)
|
||||
0.33 0.00 2.32 ^ _376_/A1 (sky130_fd_sc_hd__mux2_1)
|
||||
0.33 0.00 2.31 ^ _376_/A1 (sky130_fd_sc_hd__mux2_1)
|
||||
0.09 0.34 2.65 ^ _376_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _102_ (net)
|
||||
0.09 0.00 2.65 ^ _440_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
|
@ -1115,7 +1115,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.12 0.00 1.49 ^ _439_/CLK (sky130_fd_sc_hd__dfstp_2)
|
||||
0.14 0.78 2.27 v _439_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
7 0.03 divider.even_0.counter[0] (net)
|
||||
0.14 0.00 2.28 v _253_/A (sky130_fd_sc_hd__clkinv_2)
|
||||
0.14 0.00 2.27 v _253_/A (sky130_fd_sc_hd__clkinv_2)
|
||||
0.05 0.09 2.37 ^ _253_/Y (sky130_fd_sc_hd__clkinv_2)
|
||||
1 0.00 _057_ (net)
|
||||
0.05 0.00 2.37 ^ _228_/A1 (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -1124,8 +1124,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.00 2.58 ^ _375_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.10 0.24 2.82 ^ _375_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 _101_ (net)
|
||||
0.10 0.00 2.83 ^ _439_/D (sky130_fd_sc_hd__dfstp_2)
|
||||
2.83 data arrival time
|
||||
0.10 0.00 2.82 ^ _439_/D (sky130_fd_sc_hd__dfstp_2)
|
||||
2.82 data arrival time
|
||||
|
||||
0.00 0.00 clock pll_clk (rise edge)
|
||||
0.00 0.00 clock source latency
|
||||
|
@ -1144,7 +1144,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1.63 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
1.63 data required time
|
||||
-2.83 data arrival time
|
||||
-2.82 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
1.20 slack (MET)
|
||||
|
||||
|
@ -1168,10 +1168,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.29 1.48 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.10 0.00 1.48 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.27 0.85 2.33 v _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.26 0.85 2.33 v _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.27 0.00 2.33 v _372_/A2 (sky130_fd_sc_hd__o31a_1)
|
||||
0.07 0.59 2.92 v _372_/X (sky130_fd_sc_hd__o31a_1)
|
||||
0.26 0.00 2.33 v _372_/A2 (sky130_fd_sc_hd__o31a_1)
|
||||
0.07 0.59 2.91 v _372_/X (sky130_fd_sc_hd__o31a_1)
|
||||
1 0.00 _099_ (net)
|
||||
0.07 0.00 2.92 v _437_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
2.92 data arrival time
|
||||
|
@ -1195,7 +1195,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1.68 data required time
|
||||
-2.92 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
1.24 slack (MET)
|
||||
1.23 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _457_ (rising edge-triggered flip-flop clocked by pll_clk90')
|
||||
|
@ -1216,7 +1216,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.17 0.00 4.85 v clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.08 0.32 5.17 v clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.08 0.00 5.17 v _415__2/A (sky130_fd_sc_hd__inv_4)
|
||||
0.08 0.00 5.18 v _415__2/A (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.08 5.25 ^ _415__2/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.01 net32 (net)
|
||||
0.04 0.00 5.25 ^ _457_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -1474,7 +1474,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.11 0.30 1.48 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.11 0.00 1.49 ^ _449_/CLK (sky130_fd_sc_hd__dfxtp_1)
|
||||
0.08 0.59 2.07 v _449_/Q (sky130_fd_sc_hd__dfxtp_1)
|
||||
0.08 0.59 2.08 v _449_/Q (sky130_fd_sc_hd__dfxtp_1)
|
||||
1 0.01 divider2.odd_0.old_N[0] (net)
|
||||
0.08 0.00 2.08 v _389_/C (sky130_fd_sc_hd__nand3_1)
|
||||
0.15 0.17 2.24 ^ _389_/Y (sky130_fd_sc_hd__nand3_1)
|
||||
|
@ -1605,10 +1605,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.11 0.00 1.64 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.20 1.84 clock uncertainty
|
||||
-0.16 1.69 clock reconvergence pessimism
|
||||
-0.07 1.61 library hold time
|
||||
1.61 data required time
|
||||
-0.07 1.62 library hold time
|
||||
1.62 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
1.61 data required time
|
||||
1.62 data required time
|
||||
-2.59 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.98 slack (MET)
|
||||
|
@ -3083,7 +3083,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.00 0.78 ^ _441_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.12 0.37 1.15 ^ _441_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
3 0.01 divider.even_0.counter[2] (net)
|
||||
0.12 0.00 1.15 ^ _378_/B1 (sky130_fd_sc_hd__o31a_1)
|
||||
0.12 0.00 1.16 ^ _378_/B1 (sky130_fd_sc_hd__o31a_1)
|
||||
0.07 0.15 1.31 ^ _378_/X (sky130_fd_sc_hd__o31a_1)
|
||||
1 0.01 _187_ (net)
|
||||
0.07 0.00 1.31 ^ _379_/B (sky130_fd_sc_hd__or2_1)
|
||||
|
@ -3137,7 +3137,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7 0.03 divider.even_0.counter[0] (net)
|
||||
0.08 0.00 1.18 v _375_/A1 (sky130_fd_sc_hd__mux2_1)
|
||||
0.07 0.30 1.48 v _375_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _101_ (net)
|
||||
1 0.01 _101_ (net)
|
||||
0.07 0.00 1.48 v _439_/D (sky130_fd_sc_hd__dfstp_2)
|
||||
1.48 data arrival time
|
||||
|
||||
|
@ -3362,10 +3362,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.00 5.11 v _458_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.20 5.31 clock uncertainty
|
||||
-0.17 5.14 clock reconvergence pessimism
|
||||
0.08 5.22 library hold time
|
||||
5.22 data required time
|
||||
0.08 5.23 library hold time
|
||||
5.23 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
5.22 data required time
|
||||
5.23 data required time
|
||||
-5.57 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.34 slack (MET)
|
||||
|
@ -3595,7 +3595,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.12 0.40 5.38 v _455_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
5 0.02 divider2.odd_0.counter2[1] (net)
|
||||
0.12 0.00 5.38 v _284_/C (sky130_fd_sc_hd__nand3b_1)
|
||||
0.07 0.13 5.51 ^ _284_/Y (sky130_fd_sc_hd__nand3b_1)
|
||||
0.07 0.12 5.51 ^ _284_/Y (sky130_fd_sc_hd__nand3b_1)
|
||||
1 0.01 _141_ (net)
|
||||
0.07 0.00 5.51 ^ _285_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.04 0.06 5.57 v _285_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -3771,7 +3771,7 @@ Fanout Cap Slew Delay Time Description
|
|||
8 0.05 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.07 0.00 0.86 ^ _463_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.20 1.06 clock uncertainty
|
||||
-0.08 0.97 clock reconvergence pessimism
|
||||
-0.08 0.98 clock reconvergence pessimism
|
||||
-0.04 0.94 library hold time
|
||||
0.94 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
|
@ -3912,8 +3912,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.00 1.18 v _406_/A1 (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.30 1.47 v _406_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _118_ (net)
|
||||
0.06 0.00 1.47 v _465_/D (sky130_fd_sc_hd__dfstp_2)
|
||||
1.47 data arrival time
|
||||
0.06 0.00 1.48 v _465_/D (sky130_fd_sc_hd__dfstp_2)
|
||||
1.48 data arrival time
|
||||
|
||||
0.00 0.00 clock pll_clk90 (rise edge)
|
||||
0.00 0.00 clock source latency
|
||||
|
@ -3932,7 +3932,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.97 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
0.97 data required time
|
||||
-1.47 data arrival time
|
||||
-1.48 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.50 slack (MET)
|
||||
|
||||
|
@ -4120,10 +4120,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.02 0.00 14.73 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.20 14.93 clock uncertainty
|
||||
-0.21 14.72 clock reconvergence pessimism
|
||||
-0.01 14.70 library hold time
|
||||
14.70 data required time
|
||||
-0.01 14.71 library hold time
|
||||
14.71 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
14.70 data required time
|
||||
14.71 data required time
|
||||
-14.71 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.01 slack (MET)
|
||||
|
@ -4171,8 +4171,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.03 0.00 14.60 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.02 0.21 14.80 v _419_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[2] (net)
|
||||
0.02 0.00 14.80 v _418_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
14.80 data arrival time
|
||||
0.02 0.00 14.81 v _418_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
14.81 data arrival time
|
||||
|
||||
12.50 12.50 clock ext_clk' (rise edge)
|
||||
0.00 12.50 clock source latency
|
||||
|
@ -4212,7 +4212,7 @@ Fanout Cap Slew Delay Time Description
|
|||
14.71 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
14.71 data required time
|
||||
-14.80 data arrival time
|
||||
-14.81 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.09 slack (MET)
|
||||
|
||||
|
@ -4231,7 +4231,7 @@ Fanout Cap Slew Delay Time Description
|
|||
5.00 0.00 0.00 ^ input2/A (sky130_fd_sc_hd__clkbuf_1)
|
||||
0.14 -0.26 -0.26 ^ input2/X (sky130_fd_sc_hd__clkbuf_1)
|
||||
1 0.01 net2 (net)
|
||||
0.14 0.00 -0.26 ^ _349_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.14 0.00 -0.25 ^ _349_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.05 0.07 -0.18 v _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.05 0.00 -0.18 v output11/A (sky130_fd_sc_hd__buf_12)
|
||||
|
@ -5972,8 +5972,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.03 0.00 0.49 ^ _398_/A2 (sky130_fd_sc_hd__o31ai_1)
|
||||
0.05 0.05 0.54 v _398_/Y (sky130_fd_sc_hd__o31ai_1)
|
||||
1 0.01 _114_ (net)
|
||||
0.05 0.00 0.54 v _461_/D (sky130_fd_sc_hd__dfrtp_4)
|
||||
0.54 data arrival time
|
||||
0.05 0.00 0.55 v _461_/D (sky130_fd_sc_hd__dfrtp_4)
|
||||
0.55 data arrival time
|
||||
|
||||
0.00 0.00 clock pll_clk90 (rise edge)
|
||||
0.00 0.00 clock source latency
|
||||
|
@ -5992,7 +5992,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
0.29 data required time
|
||||
-0.54 data arrival time
|
||||
-0.55 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.26 slack (MET)
|
||||
|
||||
|
@ -6098,7 +6098,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.72 18.04 v _417_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[0] (net)
|
||||
0.05 0.00 18.04 v _349_/B (sky130_fd_sc_hd__nor2_1)
|
||||
0.49 0.43 18.47 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
0.49 0.42 18.47 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.49 0.00 18.47 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.37 0.58 19.04 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
|
@ -6744,15 +6744,15 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.10 0.00 1.64 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.43 1.42 3.06 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.43 1.42 3.05 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.43 0.00 3.06 ^ _329_/B (sky130_fd_sc_hd__or3_1)
|
||||
0.13 0.38 3.44 ^ _329_/X (sky130_fd_sc_hd__or3_1)
|
||||
1 0.01 _163_ (net)
|
||||
0.13 0.00 3.44 ^ _331_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.07 0.12 3.56 v _331_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
0.07 0.12 3.55 v _331_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
1 0.00 _055_ (net)
|
||||
0.07 0.00 3.56 v _226_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.07 0.00 3.55 v _226_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.65 4.21 v _226_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _056_ (net)
|
||||
0.11 0.00 4.21 v _227_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -6786,7 +6786,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.82 data required time
|
||||
-5.52 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
2.30 slack (MET)
|
||||
2.31 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _437_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -6808,10 +6808,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.10 0.00 1.64 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.43 1.42 3.06 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.43 1.42 3.05 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.43 0.00 3.06 ^ _303_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.14 0.28 3.33 v _303_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
0.14 0.27 3.33 v _303_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.02 _031_ (net)
|
||||
0.14 0.00 3.33 v _224_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.75 4.08 v _224_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -6869,10 +6869,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.10 0.00 1.64 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.43 1.42 3.06 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.43 1.42 3.05 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.43 0.00 3.06 ^ _303_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.14 0.28 3.33 v _303_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
0.14 0.27 3.33 v _303_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.02 _031_ (net)
|
||||
0.14 0.00 3.33 v _222_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.10 0.74 4.07 v _222_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -6908,7 +6908,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.82 data required time
|
||||
-5.07 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
2.74 slack (MET)
|
||||
2.75 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _439_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -7040,15 +7040,15 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.10 0.00 1.64 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.43 1.42 3.06 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.43 1.42 3.05 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.43 0.00 3.06 ^ _302_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.12 0.26 3.31 v _302_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 _150_ (net)
|
||||
0.12 0.00 3.31 v _403_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.44 0.20 3.52 ^ _403_/Y (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.44 0.20 3.51 ^ _403_/Y (sky130_fd_sc_hd__o2111ai_1)
|
||||
2 0.01 _201_ (net)
|
||||
0.44 0.00 3.52 ^ _404_/A1 (sky130_fd_sc_hd__a21oi_1)
|
||||
0.44 0.00 3.51 ^ _404_/A1 (sky130_fd_sc_hd__a21oi_1)
|
||||
0.14 0.27 3.78 v _404_/Y (sky130_fd_sc_hd__a21oi_1)
|
||||
1 0.00 _202_ (net)
|
||||
0.14 0.00 3.78 v _405_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -7076,7 +7076,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.95 data required time
|
||||
-3.96 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
3.99 slack (MET)
|
||||
4.00 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _440_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -7408,7 +7408,7 @@ Fanout Cap Slew Delay Time Description
|
|||
24 0.09 net22 (net)
|
||||
0.32 0.02 3.08 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.21 0.52 3.60 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.05 net21 (net)
|
||||
12 0.06 net21 (net)
|
||||
0.21 0.00 3.60 v _306_/A (sky130_fd_sc_hd__or2_1)
|
||||
0.10 0.58 4.18 v _306_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _000_ (net)
|
||||
|
@ -7469,7 +7469,7 @@ Fanout Cap Slew Delay Time Description
|
|||
24 0.09 net22 (net)
|
||||
0.32 0.02 3.08 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.21 0.52 3.60 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.05 net21 (net)
|
||||
12 0.06 net21 (net)
|
||||
0.21 0.00 3.60 v _306_/A (sky130_fd_sc_hd__or2_1)
|
||||
0.10 0.58 4.18 v _306_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _000_ (net)
|
||||
|
@ -7530,7 +7530,7 @@ Fanout Cap Slew Delay Time Description
|
|||
24 0.09 net22 (net)
|
||||
0.32 0.02 3.08 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.21 0.52 3.60 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.05 net21 (net)
|
||||
12 0.06 net21 (net)
|
||||
0.21 0.00 3.60 v _306_/A (sky130_fd_sc_hd__or2_1)
|
||||
0.10 0.58 4.18 v _306_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _000_ (net)
|
||||
|
@ -7599,7 +7599,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.14 0.85 4.53 v _238_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 _019_ (net)
|
||||
0.14 0.00 4.53 v _272_/A (sky130_fd_sc_hd__inv_2)
|
||||
0.05 0.10 4.63 ^ _272_/Y (sky130_fd_sc_hd__inv_2)
|
||||
0.05 0.10 4.64 ^ _272_/Y (sky130_fd_sc_hd__inv_2)
|
||||
1 0.00 _134_ (net)
|
||||
0.05 0.00 4.64 ^ _394_/A1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.10 0.14 4.77 v _394_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -7713,7 +7713,7 @@ Fanout Cap Slew Delay Time Description
|
|||
24 0.09 net22 (net)
|
||||
0.32 0.02 3.08 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.21 0.52 3.60 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.05 net21 (net)
|
||||
12 0.06 net21 (net)
|
||||
0.21 0.00 3.60 v _283_/B1 (sky130_fd_sc_hd__a31oi_2)
|
||||
0.43 0.48 4.09 ^ _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
3 0.02 _140_ (net)
|
||||
|
@ -7745,7 +7745,7 @@ Fanout Cap Slew Delay Time Description
|
|||
5.00 data required time
|
||||
-4.32 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.68 slack (MET)
|
||||
0.67 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _453_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
|
@ -7788,7 +7788,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.17 0.00 4.85 v clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.08 0.32 5.17 v clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.08 0.00 5.17 v _415__2/A (sky130_fd_sc_hd__inv_4)
|
||||
0.08 0.00 5.18 v _415__2/A (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.08 5.25 ^ _415__2/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.01 net32 (net)
|
||||
0.04 0.00 5.25 ^ _457_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -7903,8 +7903,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.31 0.00 4.99 ^ _398_/B1 (sky130_fd_sc_hd__o31ai_1)
|
||||
0.20 0.31 5.30 v _398_/Y (sky130_fd_sc_hd__o31ai_1)
|
||||
1 0.01 _114_ (net)
|
||||
0.20 0.00 5.30 v _461_/D (sky130_fd_sc_hd__dfrtp_4)
|
||||
5.30 data arrival time
|
||||
0.20 0.00 5.31 v _461_/D (sky130_fd_sc_hd__dfrtp_4)
|
||||
5.31 data arrival time
|
||||
|
||||
6.67 6.67 clock pll_clk90 (rise edge)
|
||||
0.00 6.67 clock source latency
|
||||
|
@ -7923,7 +7923,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.76 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
7.76 data required time
|
||||
-5.30 data arrival time
|
||||
-5.31 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
2.46 slack (MET)
|
||||
|
||||
|
@ -8013,7 +8013,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.26 0.00 3.02 ^ _305_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.32 0.38 3.40 v _305_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
3 0.02 _032_ (net)
|
||||
0.32 0.00 3.40 v _246_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.32 0.00 3.41 v _246_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.11 0.83 4.23 v _246_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _016_ (net)
|
||||
0.11 0.00 4.23 v _407_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -8182,7 +8182,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.32 0.38 3.40 v _305_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
3 0.02 _032_ (net)
|
||||
0.32 0.00 3.40 v _381_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.22 0.34 3.74 ^ _381_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
0.22 0.34 3.75 ^ _381_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _188_ (net)
|
||||
0.22 0.00 3.75 ^ _383_/A (sky130_fd_sc_hd__nand2_1)
|
||||
0.09 0.16 3.91 v _383_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
|
@ -9008,9 +9008,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.07 0.00 0.86 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.28 0.71 1.56 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.27 0.70 1.56 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.28 0.00 1.56 ^ _329_/B (sky130_fd_sc_hd__or3_1)
|
||||
0.27 0.00 1.56 ^ _329_/B (sky130_fd_sc_hd__or3_1)
|
||||
0.08 0.20 1.76 ^ _329_/X (sky130_fd_sc_hd__or3_1)
|
||||
1 0.01 _163_ (net)
|
||||
0.08 0.00 1.76 ^ _331_/A (sky130_fd_sc_hd__nand2_1)
|
||||
|
@ -9078,9 +9078,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.31 0.36 1.69 ^ _303_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.02 _031_ (net)
|
||||
0.31 0.00 1.69 ^ _224_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.37 2.07 v _224_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.37 2.06 v _224_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _054_ (net)
|
||||
0.05 0.00 2.07 v _225_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.00 2.06 v _225_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.07 0.31 2.38 v _225_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 _010_ (net)
|
||||
0.07 0.00 2.38 v _371_/B1_N (sky130_fd_sc_hd__o21bai_1)
|
||||
|
@ -9265,7 +9265,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1 0.00 _004_ (net)
|
||||
0.06 0.00 2.01 v _375_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.07 0.31 2.32 v _375_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _101_ (net)
|
||||
1 0.01 _101_ (net)
|
||||
0.07 0.00 2.32 v _439_/D (sky130_fd_sc_hd__dfstp_2)
|
||||
2.32 data arrival time
|
||||
|
||||
|
@ -9310,9 +9310,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.07 0.00 0.86 ^ _437_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.28 0.71 1.56 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.27 0.70 1.56 ^ _437_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider.odd_0.counter[1] (net)
|
||||
0.28 0.00 1.56 ^ _302_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.27 0.00 1.56 ^ _302_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.08 0.12 1.68 v _302_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 _150_ (net)
|
||||
0.08 0.00 1.68 v _403_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
|
@ -9346,7 +9346,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.26 data required time
|
||||
-2.03 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
5.22 slack (MET)
|
||||
5.23 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _440_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -9933,7 +9933,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.27 0.29 2.18 ^ _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
3 0.02 _140_ (net)
|
||||
0.27 0.00 2.18 ^ _392_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.06 0.10 2.29 v _392_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
0.06 0.11 2.29 v _392_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _107_ (net)
|
||||
0.06 0.00 2.29 v _454_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
2.29 data arrival time
|
||||
|
@ -10164,7 +10164,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.13 0.00 1.37 ^ _262_/A (sky130_fd_sc_hd__clkinv_4)
|
||||
0.05 0.08 1.44 v _262_/Y (sky130_fd_sc_hd__clkinv_4)
|
||||
3 0.01 _071_ (net)
|
||||
0.05 0.00 1.44 v _308_/C (sky130_fd_sc_hd__nor3_2)
|
||||
0.05 0.00 1.45 v _308_/C (sky130_fd_sc_hd__nor3_2)
|
||||
0.26 0.22 1.67 ^ _308_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.01 _035_ (net)
|
||||
0.26 0.00 1.67 ^ _241_/S (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -10348,7 +10348,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.37 2.05 v _245_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _015_ (net)
|
||||
0.06 0.00 2.05 v _406_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.31 2.36 v _406_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.31 2.37 v _406_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _118_ (net)
|
||||
0.06 0.00 2.37 v _465_/D (sky130_fd_sc_hd__dfstp_2)
|
||||
2.37 data arrival time
|
||||
|
@ -10515,8 +10515,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 0.00 1.58 v _390_/A1 (sky130_fd_sc_hd__o22a_1)
|
||||
0.06 0.28 1.86 v _390_/X (sky130_fd_sc_hd__o22a_1)
|
||||
1 0.01 _106_ (net)
|
||||
0.06 0.00 1.86 v _453_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
1.86 data arrival time
|
||||
0.06 0.00 1.87 v _453_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
1.87 data arrival time
|
||||
|
||||
6.67 6.67 clock pll_clk90 (rise edge)
|
||||
0.00 6.67 clock source latency
|
||||
|
@ -10535,7 +10535,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.21 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
7.21 data required time
|
||||
-1.86 data arrival time
|
||||
-1.87 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
5.35 slack (MET)
|
||||
|
||||
|
@ -10561,10 +10561,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.00 0.86 ^ _465_/CLK (sky130_fd_sc_hd__dfstp_2)
|
||||
0.16 0.65 1.51 ^ _465_/Q (sky130_fd_sc_hd__dfstp_2)
|
||||
7 0.03 divider2.even_0.counter[0] (net)
|
||||
0.16 0.00 1.51 ^ _408_/C (sky130_fd_sc_hd__nor4_1)
|
||||
0.16 0.00 1.52 ^ _408_/C (sky130_fd_sc_hd__nor4_1)
|
||||
0.08 0.08 1.59 v _408_/Y (sky130_fd_sc_hd__nor4_1)
|
||||
1 0.00 _203_ (net)
|
||||
0.08 0.00 1.59 v _410_/A (sky130_fd_sc_hd__or2_1)
|
||||
0.08 0.00 1.60 v _410_/A (sky130_fd_sc_hd__or2_1)
|
||||
0.05 0.26 1.85 v _410_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _120_ (net)
|
||||
0.05 0.00 1.85 v _467_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
|
@ -11289,7 +11289,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.00 0.43 v _303_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.23 0.25 0.68 ^ _303_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.02 _031_ (net)
|
||||
0.23 0.00 0.69 ^ _226_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.23 0.00 0.68 ^ _226_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.04 0.20 0.89 v _226_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _056_ (net)
|
||||
0.04 0.00 0.89 v _227_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -11415,7 +11415,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.04 0.20 0.88 v _222_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _052_ (net)
|
||||
0.04 0.00 0.88 v _223_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.04 0.15 1.04 v _223_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.04 0.15 1.03 v _223_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _009_ (net)
|
||||
0.04 0.00 1.04 v _369_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.07 0.05 1.09 ^ _369_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -12139,7 +12139,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.21 0.23 0.64 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.09 net22 (net)
|
||||
0.21 0.02 0.66 ^ fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.14 0.21 0.87 ^ fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.14 0.22 0.87 ^ fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.06 net21 (net)
|
||||
0.14 0.00 0.88 ^ _238_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.22 1.09 v _238_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -12200,7 +12200,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.21 0.23 0.64 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.09 net22 (net)
|
||||
0.21 0.02 0.66 ^ fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.14 0.21 0.87 ^ fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.14 0.22 0.87 ^ fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.06 net21 (net)
|
||||
0.14 0.00 0.88 ^ _391_/A_N (sky130_fd_sc_hd__nand3b_1)
|
||||
0.06 0.09 0.97 ^ _391_/Y (sky130_fd_sc_hd__nand3b_1)
|
||||
|
@ -12258,7 +12258,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.21 0.23 0.64 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.09 net22 (net)
|
||||
0.21 0.02 0.66 ^ fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.14 0.21 0.87 ^ fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.14 0.22 0.87 ^ fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.06 net21 (net)
|
||||
0.14 0.00 0.88 ^ _283_/B1 (sky130_fd_sc_hd__a31oi_2)
|
||||
0.11 0.05 0.93 v _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
|
@ -12374,7 +12374,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.21 0.23 0.64 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.09 net22 (net)
|
||||
0.21 0.02 0.66 ^ fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.14 0.21 0.87 ^ fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.14 0.22 0.87 ^ fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.06 net21 (net)
|
||||
0.14 0.00 0.88 ^ _244_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.06 0.23 1.11 v _244_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -12923,7 +12923,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.72 18.04 v _417_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[0] (net)
|
||||
0.05 0.00 18.04 v _349_/B (sky130_fd_sc_hd__nor2_1)
|
||||
0.49 0.43 18.47 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
0.49 0.42 18.47 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.49 0.00 18.47 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.37 0.58 19.04 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
|
@ -13031,7 +13031,7 @@ Fanout Cap Slew Delay Time Description
|
|||
24 0.09 net22 (net)
|
||||
0.32 0.02 3.08 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.21 0.52 3.60 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.05 net21 (net)
|
||||
12 0.06 net21 (net)
|
||||
0.21 0.00 3.60 v _306_/A (sky130_fd_sc_hd__or2_1)
|
||||
0.10 0.58 4.18 v _306_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _000_ (net)
|
||||
|
@ -13538,7 +13538,7 @@ Fanout Cap Slew Delay Time Description
|
|||
24 0.09 net22 (net)
|
||||
0.32 0.02 3.08 v fanout21/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.21 0.52 3.60 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.05 net21 (net)
|
||||
12 0.06 net21 (net)
|
||||
0.21 0.00 3.60 v _306_/A (sky130_fd_sc_hd__or2_1)
|
||||
0.10 0.58 4.18 v _306_/X (sky130_fd_sc_hd__or2_1)
|
||||
1 0.00 _000_ (net)
|
||||
|
@ -13897,7 +13897,7 @@ Group Internal Switching Leakage Total
|
|||
Power Power Power Power (Watts)
|
||||
----------------------------------------------------------------
|
||||
Sequential 2.90e-04 3.72e-05 8.44e-07 3.28e-04 26.3%
|
||||
Combinational 5.04e-04 4.13e-04 1.43e-06 9.18e-04 73.7%
|
||||
Combinational 5.04e-04 4.13e-04 1.43e-06 9.19e-04 73.7%
|
||||
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
----------------------------------------------------------------
|
||||
|
@ -13941,10 +13941,10 @@ area_report_end
|
|||
Setting global connections for newly added cells...
|
||||
[WARNING] Did not save OpenROAD database!
|
||||
Writing SDF files for all corners...
|
||||
Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_max/caravel_clocking.ff.sdf...
|
||||
Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_max/caravel_clocking.ss.sdf...
|
||||
Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_max/caravel_clocking.tt.sdf...
|
||||
Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.ff.sdf...
|
||||
Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.ss.sdf...
|
||||
Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.tt.sdf...
|
||||
Writing timing models for all corners...
|
||||
Writing timing models for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_max/caravel_clocking.ff.lib...
|
||||
Writing timing models for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_max/caravel_clocking.ss.lib...
|
||||
Writing timing models for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_max/caravel_clocking.tt.lib...
|
||||
Writing timing models for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.ff.lib...
|
||||
Writing timing models for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.ss.lib...
|
||||
Writing timing models for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_max/caravel_clocking.tt.lib...
|
||||
|
|
|
@ -1,22 +1,22 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
|
||||
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
|
||||
The LEF parser will ignore this statement.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef at line 930.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef at line 930.
|
||||
|
||||
[INFO ODB-0223] Created 13 technology layers
|
||||
[INFO ODB-0224] Created 25 technology vias
|
||||
[INFO ODB-0225] Created 441 library cells
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0128] Design: caravel_clocking
|
||||
[INFO ODB-0130] Created 17 pins.
|
||||
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
|
||||
[INFO ODB-0132] Created 2 special nets and 2622 connections.
|
||||
[INFO ODB-0133] Created 330 nets and 1176 connections.
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/rules.openrcx.sky130A.nom.calibre'...
|
||||
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
|
||||
[INFO RCX-0029] Defined extraction corner X
|
||||
|
@ -29,12 +29,12 @@ Using RCX ruleset '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs
|
|||
[INFO RCX-0043] 3159 wires to be extracted
|
||||
[INFO RCX-0442] 54% completion -- 1727 wires have been extracted
|
||||
[INFO RCX-0442] 100% completion -- 3159 wires have been extracted
|
||||
[INFO RCX-0045] Extract 330 nets, 1759 rsegs, 1759 caps, 3493 ccs
|
||||
[INFO RCX-0045] Extract 330 nets, 1759 rsegs, 1759 caps, 3501 ccs
|
||||
[INFO RCX-0015] Finished extracting caravel_clocking.
|
||||
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.spef...
|
||||
Writing result to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.spef...
|
||||
Setting global connections for newly added cells...
|
||||
[WARNING] Did not save OpenROAD database!
|
||||
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.spef...
|
||||
Writing extracted parasitics to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.spef...
|
||||
[INFO RCX-0016] Writing SPEF ...
|
||||
[INFO RCX-0443] 330 nets finished
|
||||
[INFO RCX-0017] Finished writing SPEF ...
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.odb
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
|
||||
min_report
|
||||
|
||||
===========================================================================
|
||||
|
@ -35,7 +35,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.32 15.36 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.36 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.22 15.58 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.22 15.59 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.04 0.00 15.59 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.16 0.66 16.25 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -44,9 +44,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.28 16.53 v clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_net10 (net)
|
||||
0.05 0.00 16.53 v clkbuf_1_1__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.24 16.77 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.24 16.78 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_1_1__leaf_net10 (net)
|
||||
0.06 0.00 16.77 v _411__8/A (sky130_fd_sc_hd__inv_4)
|
||||
0.06 0.00 16.78 v _411__8/A (sky130_fd_sc_hd__inv_4)
|
||||
0.03 0.06 16.83 ^ _411__8/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net38 (net)
|
||||
0.03 0.00 16.83 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -69,7 +69,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.24 0.83 15.31 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.24 0.00 15.31 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.66 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.67 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.67 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.25 15.91 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -88,7 +88,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1 0.00 net37 (net)
|
||||
0.04 0.00 17.30 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.20 17.50 clock uncertainty
|
||||
-0.45 17.04 clock reconvergence pessimism
|
||||
-0.45 17.05 clock reconvergence pessimism
|
||||
-0.01 17.03 library hold time
|
||||
17.03 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
|
@ -123,7 +123,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.32 15.36 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.36 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.22 15.58 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.22 15.59 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.04 0.00 15.59 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.16 0.66 16.25 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -134,7 +134,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.00 16.53 v clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.39 16.92 v clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.21 core_clk (net)
|
||||
0.23 0.01 16.92 v _412__9/A (sky130_fd_sc_hd__inv_4)
|
||||
0.23 0.01 16.93 v _412__9/A (sky130_fd_sc_hd__inv_4)
|
||||
0.06 0.11 17.04 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net39 (net)
|
||||
0.06 0.00 17.04 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -157,7 +157,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.24 0.83 15.31 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.24 0.00 15.31 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.66 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.67 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.67 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.25 15.91 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -574,55 +574,6 @@ Fanout Cap Slew Delay Time Description
|
|||
0.84 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _435_ (falling edge-triggered flip-flop clocked by pll_clk)
|
||||
Endpoint: _435_ (falling edge-triggered flip-flop clocked by pll_clk)
|
||||
Path Group: pll_clk
|
||||
Path Type: min
|
||||
Corner: ss
|
||||
|
||||
Fanout Cap Slew Delay Time Description
|
||||
-----------------------------------------------------------------------------
|
||||
3.33 3.33 clock pll_clk (fall edge)
|
||||
0.00 3.33 clock source latency
|
||||
5.00 0.00 3.33 v pll_clk (in)
|
||||
2 0.02 pll_clk (net)
|
||||
5.00 0.00 3.33 v clkbuf_0_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.16 1.52 4.85 v clkbuf_0_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk (net)
|
||||
0.16 0.00 4.85 v clkbuf_1_0__f_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.09 0.32 5.17 v clkbuf_1_0__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
11 0.05 clknet_1_0__leaf_pll_clk (net)
|
||||
0.09 0.00 5.17 v _435_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.30 0.91 6.08 ^ _435_/Q (sky130_fd_sc_hd__dfrtn_1)
|
||||
4 0.02 divider.odd_0.initial_begin[2] (net)
|
||||
0.30 0.00 6.08 ^ _368_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.07 0.30 6.38 ^ _368_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _097_ (net)
|
||||
0.07 0.00 6.38 ^ _435_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
6.38 data arrival time
|
||||
|
||||
3.33 3.33 clock pll_clk (fall edge)
|
||||
0.00 3.33 clock source latency
|
||||
5.00 0.00 3.33 v pll_clk (in)
|
||||
2 0.02 pll_clk (net)
|
||||
5.00 0.00 3.33 v clkbuf_0_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.16 1.68 5.01 v clkbuf_0_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk (net)
|
||||
0.16 0.00 5.01 v clkbuf_1_0__f_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.09 0.35 5.36 v clkbuf_1_0__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
11 0.05 clknet_1_0__leaf_pll_clk (net)
|
||||
0.09 0.00 5.37 v _435_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.20 5.57 clock uncertainty
|
||||
-0.19 5.37 clock reconvergence pessimism
|
||||
0.15 5.53 library hold time
|
||||
5.53 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
5.53 data required time
|
||||
-6.38 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.86 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _428_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
Endpoint: _428_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
Path Group: pll_clk
|
||||
|
@ -672,6 +623,55 @@ Fanout Cap Slew Delay Time Description
|
|||
0.86 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _435_ (falling edge-triggered flip-flop clocked by pll_clk)
|
||||
Endpoint: _435_ (falling edge-triggered flip-flop clocked by pll_clk)
|
||||
Path Group: pll_clk
|
||||
Path Type: min
|
||||
Corner: ss
|
||||
|
||||
Fanout Cap Slew Delay Time Description
|
||||
-----------------------------------------------------------------------------
|
||||
3.33 3.33 clock pll_clk (fall edge)
|
||||
0.00 3.33 clock source latency
|
||||
5.00 0.00 3.33 v pll_clk (in)
|
||||
2 0.02 pll_clk (net)
|
||||
5.00 0.00 3.33 v clkbuf_0_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.16 1.52 4.85 v clkbuf_0_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk (net)
|
||||
0.16 0.00 4.85 v clkbuf_1_0__f_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.09 0.32 5.17 v clkbuf_1_0__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
11 0.05 clknet_1_0__leaf_pll_clk (net)
|
||||
0.09 0.00 5.17 v _435_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.30 0.91 6.08 ^ _435_/Q (sky130_fd_sc_hd__dfrtn_1)
|
||||
4 0.02 divider.odd_0.initial_begin[2] (net)
|
||||
0.30 0.00 6.08 ^ _368_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.07 0.30 6.38 ^ _368_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _097_ (net)
|
||||
0.07 0.00 6.38 ^ _435_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
6.38 data arrival time
|
||||
|
||||
3.33 3.33 clock pll_clk (fall edge)
|
||||
0.00 3.33 clock source latency
|
||||
5.00 0.00 3.33 v pll_clk (in)
|
||||
2 0.02 pll_clk (net)
|
||||
5.00 0.00 3.33 v clkbuf_0_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.16 1.68 5.01 v clkbuf_0_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk (net)
|
||||
0.16 0.00 5.01 v clkbuf_1_0__f_pll_clk/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.09 0.35 5.36 v clkbuf_1_0__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
11 0.05 clknet_1_0__leaf_pll_clk (net)
|
||||
0.09 0.00 5.37 v _435_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.20 5.57 clock uncertainty
|
||||
-0.19 5.37 clock reconvergence pessimism
|
||||
0.15 5.53 library hold time
|
||||
5.53 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
5.53 data required time
|
||||
-6.38 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.86 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _464_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
Endpoint: _464_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
Path Group: pll_clk
|
||||
|
@ -1222,7 +1222,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.04 0.00 5.24 ^ _457_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.14 0.73 5.97 v _457_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
3 0.01 divider2.odd_0.out_counter2 (net)
|
||||
0.14 0.00 5.97 v _248_/A (sky130_fd_sc_hd__inv_2)
|
||||
0.14 0.00 5.98 v _248_/A (sky130_fd_sc_hd__inv_2)
|
||||
0.08 0.12 6.10 ^ _248_/Y (sky130_fd_sc_hd__inv_2)
|
||||
2 0.01 _122_ (net)
|
||||
0.08 0.00 6.10 ^ _282_/A1 (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -1330,7 +1330,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 0.90 6.06 ^ _458_/Q (sky130_fd_sc_hd__dfrtn_1)
|
||||
4 0.02 divider2.odd_0.initial_begin[0] (net)
|
||||
0.29 0.00 6.06 ^ _395_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.08 0.30 6.36 ^ _395_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.08 0.30 6.37 ^ _395_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _111_ (net)
|
||||
0.08 0.00 6.37 ^ _458_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
6.37 data arrival time
|
||||
|
@ -1375,10 +1375,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.16 0.00 4.85 v clkbuf_1_0__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.08 0.31 5.16 v clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.08 0.00 5.16 v _460_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.08 0.00 5.17 v _460_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.32 0.92 6.08 ^ _460_/Q (sky130_fd_sc_hd__dfrtn_1)
|
||||
4 0.02 divider2.odd_0.initial_begin[2] (net)
|
||||
0.32 0.00 6.08 ^ _396_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.32 0.00 6.09 ^ _396_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.07 0.30 6.39 ^ _396_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _113_ (net)
|
||||
0.07 0.00 6.39 ^ _460_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
|
@ -1785,7 +1785,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.16 0.00 4.85 v clkbuf_1_0__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.08 0.31 5.16 v clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.08 0.00 5.16 v _454_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.08 0.00 5.17 v _454_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
0.39 0.97 6.14 ^ _454_/Q (sky130_fd_sc_hd__dfrtn_1)
|
||||
6 0.03 divider2.odd_0.counter2[0] (net)
|
||||
0.39 0.00 6.14 ^ _391_/C (sky130_fd_sc_hd__nand3b_1)
|
||||
|
@ -4011,7 +4011,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.14 0.43 1.20 v _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.14 0.00 1.20 v _400_/A2 (sky130_fd_sc_hd__o31a_1)
|
||||
0.04 0.31 1.50 v _400_/X (sky130_fd_sc_hd__o31a_1)
|
||||
0.04 0.30 1.50 v _400_/X (sky130_fd_sc_hd__o31a_1)
|
||||
1 0.01 _115_ (net)
|
||||
0.04 0.00 1.50 v _462_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
1.50 data arrival time
|
||||
|
@ -4063,7 +4063,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.19 13.92 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.08 0.00 13.92 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.03 0.12 14.03 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.03 0.12 14.04 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 14.04 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.08 14.12 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -4151,7 +4151,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.19 13.92 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.08 0.00 13.92 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.03 0.12 14.03 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.03 0.12 14.04 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 14.04 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.08 14.12 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -5471,10 +5471,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.00 0.13 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.20 0.33 clock uncertainty
|
||||
-0.01 0.32 clock reconvergence pessimism
|
||||
-0.02 0.29 library hold time
|
||||
0.29 data required time
|
||||
-0.02 0.30 library hold time
|
||||
0.30 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
0.29 data required time
|
||||
0.30 data required time
|
||||
-0.45 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.15 slack (MET)
|
||||
|
@ -6077,7 +6077,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.24 0.83 15.31 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.24 0.00 15.31 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.66 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.67 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.67 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.25 15.91 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -6095,16 +6095,16 @@ Fanout Cap Slew Delay Time Description
|
|||
0.04 0.07 17.30 ^ _266__7/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net37 (net)
|
||||
0.04 0.00 17.30 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.05 0.72 18.01 v _417_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.05 0.72 18.02 v _417_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[0] (net)
|
||||
0.05 0.00 18.01 v _349_/B (sky130_fd_sc_hd__nor2_1)
|
||||
0.05 0.00 18.02 v _349_/B (sky130_fd_sc_hd__nor2_1)
|
||||
0.48 0.42 18.43 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.48 0.00 18.43 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.37 0.58 19.01 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.37 0.01 19.02 ^ resetb_sync (out)
|
||||
19.02 data arrival time
|
||||
0.37 0.01 19.03 ^ resetb_sync (out)
|
||||
19.03 data arrival time
|
||||
|
||||
25.00 25.00 clock ext_clk (rise edge)
|
||||
0.00 25.00 clock network delay (propagated)
|
||||
|
@ -6114,9 +6114,9 @@ Fanout Cap Slew Delay Time Description
|
|||
19.80 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
19.80 data required time
|
||||
-19.02 data arrival time
|
||||
-19.03 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.78 slack (MET)
|
||||
0.77 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _419_ (rising edge-triggered flip-flop clocked by ext_clk')
|
||||
|
@ -6141,7 +6141,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.24 0.83 15.31 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.24 0.00 15.31 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.66 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.67 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.67 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.25 15.91 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -6153,12 +6153,12 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.32 16.96 v clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_net10 (net)
|
||||
0.05 0.00 16.96 v clkbuf_1_0__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.43 17.38 v clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.23 0.43 17.39 v clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.21 core_clk (net)
|
||||
0.23 0.01 17.39 v _412__9/A (sky130_fd_sc_hd__inv_4)
|
||||
0.06 0.12 17.51 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
0.06 0.12 17.52 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net39 (net)
|
||||
0.06 0.00 17.51 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.06 0.00 17.52 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.08 1.12 18.63 ^ _419_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[2] (net)
|
||||
0.08 0.00 18.63 ^ _418_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -6181,7 +6181,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.32 40.36 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 40.36 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.22 40.58 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.22 40.59 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.04 0.00 40.59 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.16 0.66 41.25 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -6190,9 +6190,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.28 41.53 v clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_net10 (net)
|
||||
0.05 0.00 41.53 v clkbuf_1_1__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.24 41.77 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.24 41.78 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_1_1__leaf_net10 (net)
|
||||
0.06 0.00 41.77 v _411__8/A (sky130_fd_sc_hd__inv_4)
|
||||
0.06 0.00 41.78 v _411__8/A (sky130_fd_sc_hd__inv_4)
|
||||
0.03 0.06 41.83 ^ _411__8/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net38 (net)
|
||||
0.03 0.00 41.83 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -6229,7 +6229,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.24 0.83 15.31 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.24 0.00 15.31 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.66 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.67 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.67 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.25 15.91 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -6269,7 +6269,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.32 40.36 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 40.36 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.22 40.58 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.22 40.59 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
1 0.01 clknet_1_0__leaf__037_ (net)
|
||||
0.04 0.00 40.59 v _210_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.16 0.66 41.25 v _210_/X (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -6278,7 +6278,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.05 0.28 41.53 v clkbuf_0_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_net10 (net)
|
||||
0.05 0.00 41.53 v clkbuf_1_1__f_net10/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.24 41.77 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.24 41.78 v clkbuf_1_1__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_1_1__leaf_net10 (net)
|
||||
0.06 0.00 41.78 v _266__7/A (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.06 41.84 ^ _266__7/Y (sky130_fd_sc_hd__inv_4)
|
||||
|
@ -6600,7 +6600,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.74 data required time
|
||||
-4.34 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.40 slack (MET)
|
||||
0.39 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _428_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -6762,10 +6762,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.14 0.38 5.22 v _373_/Y (sky130_fd_sc_hd__o21bai_1)
|
||||
1 0.01 _185_ (net)
|
||||
0.14 0.00 5.22 v _374_/B1 (sky130_fd_sc_hd__o31a_1)
|
||||
0.10 0.24 5.47 v _374_/X (sky130_fd_sc_hd__o31a_1)
|
||||
0.10 0.24 5.46 v _374_/X (sky130_fd_sc_hd__o31a_1)
|
||||
1 0.00 _100_ (net)
|
||||
0.10 0.00 5.47 v _438_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
5.47 data arrival time
|
||||
0.10 0.00 5.46 v _438_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
5.46 data arrival time
|
||||
|
||||
6.67 6.67 clock pll_clk (rise edge)
|
||||
0.00 6.67 clock source latency
|
||||
|
@ -6784,7 +6784,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.82 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
7.82 data required time
|
||||
-5.47 data arrival time
|
||||
-5.46 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
2.35 slack (MET)
|
||||
|
||||
|
@ -7045,17 +7045,17 @@ Fanout Cap Slew Delay Time Description
|
|||
0.42 0.00 3.04 ^ _302_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.12 0.25 3.28 v _302_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 _150_ (net)
|
||||
0.12 0.00 3.29 v _403_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.43 0.20 3.48 ^ _403_/Y (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.12 0.00 3.28 v _403_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.43 0.19 3.48 ^ _403_/Y (sky130_fd_sc_hd__o2111ai_1)
|
||||
2 0.01 _201_ (net)
|
||||
0.43 0.00 3.48 ^ _404_/A1 (sky130_fd_sc_hd__a21oi_1)
|
||||
0.13 0.26 3.74 v _404_/Y (sky130_fd_sc_hd__a21oi_1)
|
||||
1 0.00 _202_ (net)
|
||||
0.13 0.00 3.74 v _405_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.21 0.17 3.92 ^ _405_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
0.21 0.17 3.91 ^ _405_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _117_ (net)
|
||||
0.21 0.00 3.92 ^ _464_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
3.92 data arrival time
|
||||
0.21 0.00 3.91 ^ _464_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
3.91 data arrival time
|
||||
|
||||
6.67 6.67 clock pll_clk (rise edge)
|
||||
0.00 6.67 clock source latency
|
||||
|
@ -7074,7 +7074,7 @@ Fanout Cap Slew Delay Time Description
|
|||
7.95 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
7.95 data required time
|
||||
-3.92 data arrival time
|
||||
-3.91 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
4.03 slack (MET)
|
||||
|
||||
|
@ -7205,12 +7205,12 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_1__f_pll_clk/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
13 0.05 clknet_1_1__leaf_pll_clk (net)
|
||||
0.10 0.00 1.63 ^ _425_/CLK (sky130_fd_sc_hd__dfxtp_1)
|
||||
0.16 0.74 2.38 ^ _425_/Q (sky130_fd_sc_hd__dfxtp_1)
|
||||
0.16 0.74 2.37 ^ _425_/Q (sky130_fd_sc_hd__dfxtp_1)
|
||||
2 0.01 divider.odd_0.old_N[1] (net)
|
||||
0.16 0.00 2.38 ^ _356_/A_N (sky130_fd_sc_hd__nand2b_1)
|
||||
0.10 0.22 2.60 ^ _356_/Y (sky130_fd_sc_hd__nand2b_1)
|
||||
0.10 0.22 2.59 ^ _356_/Y (sky130_fd_sc_hd__nand2b_1)
|
||||
1 0.00 _175_ (net)
|
||||
0.10 0.00 2.60 ^ _358_/A (sky130_fd_sc_hd__nand3_1)
|
||||
0.10 0.00 2.59 ^ _358_/A (sky130_fd_sc_hd__nand3_1)
|
||||
0.14 0.17 2.77 v _358_/Y (sky130_fd_sc_hd__nand3_1)
|
||||
1 0.00 _177_ (net)
|
||||
0.14 0.00 2.77 v _362_/B1 (sky130_fd_sc_hd__o22a_1)
|
||||
|
@ -7440,7 +7440,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.70 data required time
|
||||
-5.71 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
-1.00 slack (VIOLATED)
|
||||
-1.01 slack (VIOLATED)
|
||||
|
||||
|
||||
Startpoint: _453_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
|
@ -7492,8 +7492,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.16 0.00 4.85 v clkbuf_1_0__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.08 0.31 5.16 v clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.08 0.00 5.16 v _460_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
-0.20 4.96 clock uncertainty
|
||||
0.08 0.00 5.17 v _460_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
-0.20 4.97 clock uncertainty
|
||||
0.12 5.09 clock reconvergence pessimism
|
||||
-0.38 4.71 library setup time
|
||||
4.71 data required time
|
||||
|
@ -7653,7 +7653,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.20 0.00 2.44 ^ fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.41 0.59 3.02 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
24 0.09 net22 (net)
|
||||
0.41 0.01 3.03 ^ _234_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.41 0.01 3.04 ^ _234_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.20 0.97 4.01 v _234_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 _017_ (net)
|
||||
0.20 0.00 4.01 v _270_/A (sky130_fd_sc_hd__inv_2)
|
||||
|
@ -7675,8 +7675,8 @@ Fanout Cap Slew Delay Time Description
|
|||
0.16 0.00 4.85 v clkbuf_1_0__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.08 0.31 5.16 v clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.08 0.00 5.16 v _454_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
-0.20 4.96 clock uncertainty
|
||||
0.08 0.00 5.17 v _454_/CLK_N (sky130_fd_sc_hd__dfrtn_1)
|
||||
-0.20 4.97 clock uncertainty
|
||||
0.12 5.09 clock reconvergence pessimism
|
||||
-0.38 4.71 library setup time
|
||||
4.71 data required time
|
||||
|
@ -7684,7 +7684,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.71 data required time
|
||||
-4.27 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.44 slack (MET)
|
||||
0.43 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _453_ (rising edge-triggered flip-flop clocked by pll_clk90)
|
||||
|
@ -7715,7 +7715,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.20 0.50 3.55 v fanout21/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
12 0.05 net21 (net)
|
||||
0.20 0.00 3.55 v _283_/B1 (sky130_fd_sc_hd__a31oi_2)
|
||||
0.41 0.46 4.01 ^ _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
0.41 0.47 4.01 ^ _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
3 0.02 _140_ (net)
|
||||
0.41 0.00 4.01 ^ _285_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.09 0.23 4.24 v _285_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -7822,9 +7822,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.10 0.00 1.63 ^ _462_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.44 1.43 3.05 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.44 1.42 3.05 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.44 0.00 3.06 ^ _345_/A (sky130_fd_sc_hd__or3_1)
|
||||
0.44 0.00 3.05 ^ _345_/A (sky130_fd_sc_hd__or3_1)
|
||||
0.10 0.37 3.42 ^ _345_/X (sky130_fd_sc_hd__or3_1)
|
||||
1 0.01 _169_ (net)
|
||||
0.10 0.00 3.42 ^ _347_/A (sky130_fd_sc_hd__nand2_1)
|
||||
|
@ -7886,9 +7886,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.10 0.00 1.63 ^ _462_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.44 1.43 3.05 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.44 1.42 3.05 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.44 0.00 3.06 ^ _308_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.44 0.00 3.05 ^ _308_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.13 0.26 3.32 v _308_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.01 _035_ (net)
|
||||
0.13 0.00 3.32 v _239_/S (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -7914,7 +7914,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 1.18 7.85 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.18 0.00 7.85 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.14 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.15 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 8.15 ^ _461_/CLK (sky130_fd_sc_hd__dfrtp_4)
|
||||
-0.20 7.95 clock uncertainty
|
||||
|
@ -7947,9 +7947,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.10 0.00 1.63 ^ _462_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.44 1.43 3.05 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.44 1.42 3.05 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.44 0.00 3.06 ^ _308_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.44 0.00 3.05 ^ _308_/A (sky130_fd_sc_hd__nor3_2)
|
||||
0.13 0.26 3.32 v _308_/Y (sky130_fd_sc_hd__nor3_2)
|
||||
3 0.01 _035_ (net)
|
||||
0.13 0.00 3.32 v _241_/S (sky130_fd_sc_hd__mux2_1)
|
||||
|
@ -8030,7 +8030,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 1.18 7.85 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.18 0.00 7.85 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.14 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.15 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 8.15 ^ _466_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
-0.20 7.95 clock uncertainty
|
||||
|
@ -8085,7 +8085,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 1.18 7.85 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.18 0.00 7.85 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.14 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.15 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 8.15 ^ _465_/CLK (sky130_fd_sc_hd__dfstp_2)
|
||||
-0.20 7.95 clock uncertainty
|
||||
|
@ -8118,9 +8118,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.32 1.63 ^ clkbuf_1_0__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
8 0.04 clknet_1_0__leaf_pll_clk90 (net)
|
||||
0.10 0.00 1.63 ^ _462_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.44 1.43 3.05 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.44 1.42 3.05 ^ _462_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
6 0.03 divider2.odd_0.counter[1] (net)
|
||||
0.44 0.00 3.06 ^ _307_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.44 0.00 3.05 ^ _307_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.14 0.28 3.33 v _307_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 _152_ (net)
|
||||
0.14 0.00 3.33 v _350_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
|
@ -8143,7 +8143,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 1.18 7.85 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.18 0.00 7.85 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.14 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.15 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 8.15 ^ _422_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 7.95 clock uncertainty
|
||||
|
@ -8195,7 +8195,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 1.18 7.85 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.18 0.00 7.85 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.14 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.15 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 8.15 ^ _467_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
-0.20 7.95 clock uncertainty
|
||||
|
@ -8250,7 +8250,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 1.18 7.85 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.18 0.00 7.85 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.14 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.15 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 8.15 ^ _452_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 7.95 clock uncertainty
|
||||
|
@ -8302,7 +8302,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.18 1.18 7.85 ^ clkbuf_0_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0_pll_clk90 (net)
|
||||
0.18 0.00 7.85 ^ clkbuf_1_1__f_pll_clk90/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.14 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.10 0.29 8.15 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.10 0.00 8.15 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
-0.20 7.95 clock uncertainty
|
||||
|
@ -8365,7 +8365,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 0.25 16.24 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.29 0.00 16.24 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.54 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.55 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.24 0.01 16.56 ^ resetb_sync (out)
|
||||
16.56 data arrival time
|
||||
|
@ -8420,9 +8420,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.14 0.24 15.69 v clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.21 core_clk (net)
|
||||
0.14 0.01 15.69 v _412__9/A (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.07 15.76 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.07 15.77 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net39 (net)
|
||||
0.04 0.00 15.76 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.04 0.00 15.77 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.05 0.52 16.28 ^ _419_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[2] (net)
|
||||
0.05 0.00 16.28 ^ _418_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -8461,7 +8461,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1 0.00 net38 (net)
|
||||
0.02 0.00 40.33 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 40.13 clock uncertainty
|
||||
0.28 40.41 clock reconvergence pessimism
|
||||
0.28 40.42 clock reconvergence pessimism
|
||||
-0.06 40.36 library setup time
|
||||
40.36 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
|
@ -8550,10 +8550,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.02 0.00 40.34 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 40.14 clock uncertainty
|
||||
0.30 40.43 clock reconvergence pessimism
|
||||
-0.06 40.37 library setup time
|
||||
40.37 data required time
|
||||
-0.06 40.38 library setup time
|
||||
40.38 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
40.37 data required time
|
||||
40.38 data required time
|
||||
-16.15 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
24.23 slack (MET)
|
||||
|
@ -9147,7 +9147,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.06 0.00 2.34 v _369_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.10 0.08 2.41 ^ _369_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _183_ (net)
|
||||
0.10 0.00 2.42 ^ _370_/B1 (sky130_fd_sc_hd__o31ai_1)
|
||||
0.10 0.00 2.41 ^ _370_/B1 (sky130_fd_sc_hd__o31ai_1)
|
||||
0.05 0.08 2.49 v _370_/Y (sky130_fd_sc_hd__o31ai_1)
|
||||
1 0.00 _098_ (net)
|
||||
0.05 0.00 2.49 v _436_/D (sky130_fd_sc_hd__dfrtp_2)
|
||||
|
@ -9310,9 +9310,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.11 1.66 v _302_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.01 _150_ (net)
|
||||
0.08 0.00 1.66 v _403_/D1 (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.27 0.13 1.80 ^ _403_/Y (sky130_fd_sc_hd__o2111ai_1)
|
||||
0.27 0.13 1.79 ^ _403_/Y (sky130_fd_sc_hd__o2111ai_1)
|
||||
2 0.01 _201_ (net)
|
||||
0.27 0.00 1.80 ^ _404_/A1 (sky130_fd_sc_hd__a21oi_1)
|
||||
0.27 0.00 1.79 ^ _404_/A1 (sky130_fd_sc_hd__a21oi_1)
|
||||
0.08 0.11 1.91 v _404_/Y (sky130_fd_sc_hd__a21oi_1)
|
||||
1 0.00 _202_ (net)
|
||||
0.08 0.00 1.91 v _405_/B1 (sky130_fd_sc_hd__o21ai_1)
|
||||
|
@ -9741,10 +9741,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.36 2.47 v _207_/X (sky130_fd_sc_hd__mux2_1)
|
||||
3 0.01 _002_ (net)
|
||||
0.10 0.00 2.47 v _396_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.34 2.80 v _396_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.34 2.81 v _396_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _113_ (net)
|
||||
0.05 0.00 2.80 v _460_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
2.80 data arrival time
|
||||
0.05 0.00 2.81 v _460_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
2.81 data arrival time
|
||||
|
||||
3.33 3.33 clock pll_clk90 (fall edge)
|
||||
0.00 3.33 clock source latency
|
||||
|
@ -9763,7 +9763,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.64 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
4.64 data required time
|
||||
-2.80 data arrival time
|
||||
-2.81 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
1.84 slack (MET)
|
||||
|
||||
|
@ -9851,7 +9851,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.07 0.00 0.86 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.12 0.41 1.26 ^ _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.12 0.41 1.27 ^ _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.12 0.00 1.27 ^ fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.26 0.33 1.60 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
|
@ -10098,7 +10098,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.31 2.12 v _243_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 _076_ (net)
|
||||
0.07 0.00 2.12 v _244_/A0 (sky130_fd_sc_hd__mux2_1)
|
||||
0.08 0.34 2.46 v _244_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.08 0.34 2.45 v _244_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.01 _022_ (net)
|
||||
0.08 0.00 2.46 v _401_/B1_N (sky130_fd_sc_hd__o21bai_1)
|
||||
0.08 0.19 2.65 v _401_/Y (sky130_fd_sc_hd__o21bai_1)
|
||||
|
@ -10709,7 +10709,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.19 38.92 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.08 0.00 38.92 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.03 0.12 39.03 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.03 0.12 39.04 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 39.04 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.08 39.12 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -10797,7 +10797,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.19 38.92 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.08 0.00 38.92 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.03 0.12 39.03 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.03 0.12 39.04 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.03 0.00 39.04 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.02 0.08 39.12 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -10816,7 +10816,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1 0.00 net37 (net)
|
||||
0.02 0.00 39.50 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 39.30 clock uncertainty
|
||||
0.21 39.51 clock reconvergence pessimism
|
||||
0.21 39.52 clock reconvergence pessimism
|
||||
-0.03 39.48 library setup time
|
||||
39.48 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
|
@ -11314,7 +11314,7 @@ Fanout Cap Slew Delay Time Description
|
|||
6.52 data required time
|
||||
-1.19 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
5.32 slack (MET)
|
||||
5.33 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _437_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -11745,13 +11745,13 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.23 0.36 ^ _425_/Q (sky130_fd_sc_hd__dfxtp_1)
|
||||
2 0.01 divider.odd_0.old_N[1] (net)
|
||||
0.07 0.00 0.36 ^ _356_/A_N (sky130_fd_sc_hd__nand2b_1)
|
||||
0.05 0.08 0.44 ^ _356_/Y (sky130_fd_sc_hd__nand2b_1)
|
||||
0.05 0.08 0.43 ^ _356_/Y (sky130_fd_sc_hd__nand2b_1)
|
||||
1 0.00 _175_ (net)
|
||||
0.05 0.00 0.44 ^ _358_/A (sky130_fd_sc_hd__nand3_1)
|
||||
0.04 0.04 0.48 v _358_/Y (sky130_fd_sc_hd__nand3_1)
|
||||
1 0.00 _177_ (net)
|
||||
0.04 0.00 0.48 v _362_/B1 (sky130_fd_sc_hd__o22a_1)
|
||||
0.03 0.12 0.60 v _362_/X (sky130_fd_sc_hd__o22a_1)
|
||||
0.03 0.12 0.59 v _362_/X (sky130_fd_sc_hd__o22a_1)
|
||||
1 0.01 _090_ (net)
|
||||
0.03 0.00 0.60 v _428_/D (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.60 data arrival time
|
||||
|
@ -12253,8 +12253,8 @@ Fanout Cap Slew Delay Time Description
|
|||
12 0.06 net21 (net)
|
||||
0.13 0.00 0.84 ^ _283_/B1 (sky130_fd_sc_hd__a31oi_2)
|
||||
0.10 0.05 0.89 v _283_/Y (sky130_fd_sc_hd__a31oi_2)
|
||||
3 0.01 _140_ (net)
|
||||
0.10 0.00 0.89 v _285_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
3 0.02 _140_ (net)
|
||||
0.10 0.00 0.90 v _285_/A2 (sky130_fd_sc_hd__o21ai_1)
|
||||
0.08 0.11 1.00 ^ _285_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.00 _108_ (net)
|
||||
0.08 0.00 1.00 ^ _455_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -12499,7 +12499,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.13 0.08 1.08 ^ _397_/Y (sky130_fd_sc_hd__o21ai_1)
|
||||
1 0.01 _198_ (net)
|
||||
0.13 0.00 1.08 ^ _398_/B1 (sky130_fd_sc_hd__o31ai_1)
|
||||
0.07 0.05 1.14 v _398_/Y (sky130_fd_sc_hd__o31ai_1)
|
||||
0.07 0.05 1.13 v _398_/Y (sky130_fd_sc_hd__o31ai_1)
|
||||
1 0.01 _114_ (net)
|
||||
0.07 0.00 1.14 v _461_/D (sky130_fd_sc_hd__dfrtp_4)
|
||||
1.14 data arrival time
|
||||
|
@ -12548,7 +12548,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.29 0.42 v _466_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
5 0.02 divider2.even_0.counter[1] (net)
|
||||
0.08 0.00 0.42 v _304_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.11 0.13 0.55 ^ _304_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
0.11 0.12 0.55 ^ _304_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
2 0.01 _151_ (net)
|
||||
0.11 0.00 0.55 ^ _305_/B (sky130_fd_sc_hd__nand2_1)
|
||||
0.09 0.10 0.65 v _305_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
|
@ -12606,7 +12606,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.29 0.42 v _466_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
5 0.02 divider2.even_0.counter[1] (net)
|
||||
0.08 0.00 0.42 v _304_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.11 0.13 0.55 ^ _304_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
0.11 0.12 0.55 ^ _304_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
2 0.01 _151_ (net)
|
||||
0.11 0.00 0.55 ^ _305_/B (sky130_fd_sc_hd__nand2_1)
|
||||
0.09 0.10 0.65 v _305_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
|
@ -12722,7 +12722,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.08 0.29 0.42 v _466_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
5 0.02 divider2.even_0.counter[1] (net)
|
||||
0.08 0.00 0.42 v _304_/A (sky130_fd_sc_hd__nor2_1)
|
||||
0.11 0.13 0.55 ^ _304_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
0.11 0.12 0.55 ^ _304_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
2 0.01 _151_ (net)
|
||||
0.11 0.00 0.55 ^ _305_/B (sky130_fd_sc_hd__nand2_1)
|
||||
0.09 0.10 0.65 v _305_/Y (sky130_fd_sc_hd__nand2_1)
|
||||
|
@ -12893,7 +12893,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.24 0.83 15.31 v _209_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.02 _037_ (net)
|
||||
0.24 0.00 15.31 v clkbuf_0__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.66 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.06 0.36 15.67 v clkbuf_0__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.02 clknet_0__037_ (net)
|
||||
0.06 0.00 15.67 v clkbuf_1_0__f__037_/A (sky130_fd_sc_hd__clkbuf_16)
|
||||
0.04 0.25 15.91 v clkbuf_1_0__f__037_/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
|
@ -12911,16 +12911,16 @@ Fanout Cap Slew Delay Time Description
|
|||
0.04 0.07 17.30 ^ _266__7/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net37 (net)
|
||||
0.04 0.00 17.30 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.05 0.72 18.01 v _417_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
0.05 0.72 18.02 v _417_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[0] (net)
|
||||
0.05 0.00 18.01 v _349_/B (sky130_fd_sc_hd__nor2_1)
|
||||
0.05 0.00 18.02 v _349_/B (sky130_fd_sc_hd__nor2_1)
|
||||
0.48 0.42 18.43 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.48 0.00 18.43 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.37 0.58 19.01 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.37 0.01 19.02 ^ resetb_sync (out)
|
||||
19.02 data arrival time
|
||||
0.37 0.01 19.03 ^ resetb_sync (out)
|
||||
19.03 data arrival time
|
||||
|
||||
25.00 25.00 clock ext_clk (rise edge)
|
||||
0.00 25.00 clock network delay (propagated)
|
||||
|
@ -12930,9 +12930,9 @@ Fanout Cap Slew Delay Time Description
|
|||
19.80 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
19.80 data required time
|
||||
-19.02 data arrival time
|
||||
-19.03 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
0.78 slack (MET)
|
||||
0.77 slack (MET)
|
||||
|
||||
|
||||
Startpoint: _428_ (rising edge-triggered flip-flop clocked by pll_clk)
|
||||
|
@ -13054,7 +13054,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.70 data required time
|
||||
-5.71 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
-1.00 slack (VIOLATED)
|
||||
-1.01 slack (VIOLATED)
|
||||
|
||||
|
||||
|
||||
|
@ -13106,7 +13106,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 0.25 16.24 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.29 0.00 16.24 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.54 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.55 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.24 0.01 16.56 ^ resetb_sync (out)
|
||||
16.56 data arrival time
|
||||
|
@ -13561,7 +13561,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.70 data required time
|
||||
-5.71 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
-1.00 slack (VIOLATED)
|
||||
-1.01 slack (VIOLATED)
|
||||
|
||||
|
||||
|
||||
|
@ -13774,14 +13774,14 @@ wns_report
|
|||
===========================================================================
|
||||
report_wns
|
||||
============================================================================
|
||||
wns -1.00
|
||||
wns -1.01
|
||||
wns_report_end
|
||||
worst_slack
|
||||
|
||||
===========================================================================
|
||||
report_worst_slack -max (Setup)
|
||||
============================================================================
|
||||
worst slack -1.00
|
||||
worst slack -1.01
|
||||
|
||||
===========================================================================
|
||||
report_worst_slack -min (Hold)
|
||||
|
@ -13802,7 +13802,7 @@ No launch/capture paths found.
|
|||
Clock ext_clk
|
||||
Latency CRPR Skew
|
||||
_419_/CLK ^
|
||||
5.01
|
||||
5.02
|
||||
_418_/CLK ^
|
||||
4.33 -0.43 0.26
|
||||
|
||||
|
@ -13829,7 +13829,7 @@ No launch/capture paths found.
|
|||
Clock ext_clk
|
||||
Latency CRPR Skew
|
||||
_419_/CLK ^
|
||||
3.26
|
||||
3.27
|
||||
_418_/CLK ^
|
||||
2.83 -0.28 0.15
|
||||
|
||||
|
@ -13893,7 +13893,7 @@ Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
|||
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
----------------------------------------------------------------
|
||||
Total 7.94e-04 4.39e-04 2.28e-06 1.23e-03 100.0%
|
||||
64.3% 35.5% 0.2%
|
||||
64.3% 35.6% 0.2%
|
||||
|
||||
======================= Typical Corner ===================================
|
||||
|
||||
|
@ -13914,12 +13914,12 @@ Total 1.01e-03 5.61e-04 1.63e-09 1.57e-03 100.0%
|
|||
Group Internal Switching Leakage Total
|
||||
Power Power Power Power (Watts)
|
||||
----------------------------------------------------------------
|
||||
Sequential 4.33e-04 5.39e-05 7.10e-10 4.87e-04 25.8%
|
||||
Sequential 4.33e-04 5.39e-05 7.10e-10 4.86e-04 25.8%
|
||||
Combinational 7.92e-04 6.09e-04 3.07e-09 1.40e-03 74.2%
|
||||
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
|
||||
----------------------------------------------------------------
|
||||
Total 1.23e-03 6.63e-04 3.78e-09 1.89e-03 100.0%
|
||||
Total 1.22e-03 6.63e-04 3.78e-09 1.89e-03 100.0%
|
||||
64.9% 35.1% 0.0%
|
||||
power_report_end
|
||||
area_report
|
||||
|
@ -13932,10 +13932,10 @@ area_report_end
|
|||
Setting global connections for newly added cells...
|
||||
[WARNING] Did not save OpenROAD database!
|
||||
Writing SDF files for all corners...
|
||||
Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.ff.sdf...
|
||||
Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.ss.sdf...
|
||||
Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.tt.sdf...
|
||||
Writing SDF for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.ff.sdf...
|
||||
Writing SDF for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.ss.sdf...
|
||||
Writing SDF for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.tt.sdf...
|
||||
Writing timing models for all corners...
|
||||
Writing timing models for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.ff.lib...
|
||||
Writing timing models for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.ss.lib...
|
||||
Writing timing models for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.tt.lib...
|
||||
Writing timing models for the ff corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.ff.lib...
|
||||
Writing timing models for the ss corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.ss.lib...
|
||||
Writing timing models for the tt corner to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.tt.lib...
|
||||
|
|
|
@ -8,7 +8,7 @@ No launch/capture paths found.
|
|||
Clock ext_clk
|
||||
Latency CRPR Skew
|
||||
_419_/CLK ^
|
||||
3.26
|
||||
3.27
|
||||
_418_/CLK ^
|
||||
2.83 -0.28 0.15
|
||||
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.odb
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
|
||||
min_report
|
||||
|
||||
===========================================================================
|
||||
|
@ -765,7 +765,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 0.25 16.24 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.29 0.00 16.24 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.54 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.55 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.24 0.01 16.56 ^ resetb_sync (out)
|
||||
16.56 data arrival time
|
||||
|
@ -819,9 +819,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.14 0.24 15.69 v clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.21 core_clk (net)
|
||||
0.14 0.01 15.69 v _412__9/A (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.07 15.76 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.07 15.77 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net39 (net)
|
||||
0.04 0.00 15.76 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.04 0.00 15.77 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.05 0.52 16.28 ^ _419_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[2] (net)
|
||||
0.05 0.00 16.28 ^ _418_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -860,7 +860,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1 0.00 net38 (net)
|
||||
0.02 0.00 40.33 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 40.13 clock uncertainty
|
||||
0.28 40.41 clock reconvergence pessimism
|
||||
0.28 40.42 clock reconvergence pessimism
|
||||
-0.06 40.36 library setup time
|
||||
40.36 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
|
@ -948,10 +948,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.02 0.00 40.34 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 40.14 clock uncertainty
|
||||
0.30 40.43 clock reconvergence pessimism
|
||||
-0.06 40.37 library setup time
|
||||
40.37 data required time
|
||||
-0.06 40.38 library setup time
|
||||
40.38 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
40.37 data required time
|
||||
40.38 data required time
|
||||
-16.15 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
24.23 slack (MET)
|
||||
|
@ -1353,10 +1353,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.36 2.47 v _207_/X (sky130_fd_sc_hd__mux2_1)
|
||||
3 0.01 _002_ (net)
|
||||
0.10 0.00 2.47 v _396_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.34 2.80 v _396_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.34 2.81 v _396_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _113_ (net)
|
||||
0.05 0.00 2.80 v _460_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
2.80 data arrival time
|
||||
0.05 0.00 2.81 v _460_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
2.81 data arrival time
|
||||
|
||||
3.33 3.33 clock pll_clk90 (fall edge)
|
||||
0.00 3.33 clock source latency
|
||||
|
@ -1375,7 +1375,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.64 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
4.64 data required time
|
||||
-2.80 data arrival time
|
||||
-2.81 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
1.84 slack (MET)
|
||||
|
||||
|
@ -1461,7 +1461,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.07 0.00 0.86 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.12 0.41 1.26 ^ _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.12 0.41 1.27 ^ _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.12 0.00 1.27 ^ fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.26 0.33 1.60 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
|
@ -1611,7 +1611,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 0.25 16.24 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.29 0.00 16.24 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.54 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.55 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.24 0.01 16.56 ^ resetb_sync (out)
|
||||
16.56 data arrival time
|
||||
|
@ -1858,7 +1858,7 @@ No launch/capture paths found.
|
|||
Clock ext_clk
|
||||
Latency CRPR Skew
|
||||
_419_/CLK ^
|
||||
3.26
|
||||
3.27
|
||||
_418_/CLK ^
|
||||
2.83 -0.28 0.15
|
||||
|
||||
|
@ -1902,5 +1902,5 @@ Design area 4243 u^2 88% utilization.
|
|||
area_report_end
|
||||
Setting global connections for newly added cells...
|
||||
[WARNING] Did not save OpenROAD database!
|
||||
Writing SDF to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.sdf...
|
||||
Writing timing model to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/mca/process_corner_nom/caravel_clocking.lib...
|
||||
Writing SDF to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.sdf...
|
||||
Writing timing model to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/mca/process_corner_nom/caravel_clocking.lib...
|
||||
|
|
|
@ -47,7 +47,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 0.25 16.24 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.29 0.00 16.24 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.54 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.55 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.24 0.01 16.56 ^ resetb_sync (out)
|
||||
16.56 data arrival time
|
||||
|
@ -101,9 +101,9 @@ Fanout Cap Slew Delay Time Description
|
|||
0.14 0.24 15.69 v clkbuf_1_0__f_net10/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
2 0.21 core_clk (net)
|
||||
0.14 0.01 15.69 v _412__9/A (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.07 15.76 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
0.04 0.07 15.77 ^ _412__9/Y (sky130_fd_sc_hd__inv_4)
|
||||
1 0.00 net39 (net)
|
||||
0.04 0.00 15.76 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.04 0.00 15.77 ^ _419_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
0.05 0.52 16.28 ^ _419_/Q (sky130_fd_sc_hd__dfstp_1)
|
||||
1 0.00 reset_delay[2] (net)
|
||||
0.05 0.00 16.28 ^ _418_/D (sky130_fd_sc_hd__dfstp_1)
|
||||
|
@ -142,7 +142,7 @@ Fanout Cap Slew Delay Time Description
|
|||
1 0.00 net38 (net)
|
||||
0.02 0.00 40.33 ^ _418_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 40.13 clock uncertainty
|
||||
0.28 40.41 clock reconvergence pessimism
|
||||
0.28 40.42 clock reconvergence pessimism
|
||||
-0.06 40.36 library setup time
|
||||
40.36 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
|
@ -230,10 +230,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.02 0.00 40.34 ^ _417_/CLK (sky130_fd_sc_hd__dfstp_1)
|
||||
-0.20 40.14 clock uncertainty
|
||||
0.30 40.43 clock reconvergence pessimism
|
||||
-0.06 40.37 library setup time
|
||||
40.37 data required time
|
||||
-0.06 40.38 library setup time
|
||||
40.38 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
40.37 data required time
|
||||
40.38 data required time
|
||||
-16.15 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
24.23 slack (MET)
|
||||
|
@ -635,10 +635,10 @@ Fanout Cap Slew Delay Time Description
|
|||
0.10 0.36 2.47 v _207_/X (sky130_fd_sc_hd__mux2_1)
|
||||
3 0.01 _002_ (net)
|
||||
0.10 0.00 2.47 v _396_/S (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.34 2.80 v _396_/X (sky130_fd_sc_hd__mux2_1)
|
||||
0.05 0.34 2.81 v _396_/X (sky130_fd_sc_hd__mux2_1)
|
||||
1 0.00 _113_ (net)
|
||||
0.05 0.00 2.80 v _460_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
2.80 data arrival time
|
||||
0.05 0.00 2.81 v _460_/D (sky130_fd_sc_hd__dfrtn_1)
|
||||
2.81 data arrival time
|
||||
|
||||
3.33 3.33 clock pll_clk90 (fall edge)
|
||||
0.00 3.33 clock source latency
|
||||
|
@ -657,7 +657,7 @@ Fanout Cap Slew Delay Time Description
|
|||
4.64 data required time
|
||||
-----------------------------------------------------------------------------
|
||||
4.64 data required time
|
||||
-2.80 data arrival time
|
||||
-2.81 data arrival time
|
||||
-----------------------------------------------------------------------------
|
||||
1.84 slack (MET)
|
||||
|
||||
|
@ -743,7 +743,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.07 0.20 0.85 ^ clkbuf_1_1__f_pll_clk90/X (sky130_fd_sc_hd__clkbuf_16)
|
||||
12 0.05 clknet_1_1__leaf_pll_clk90 (net)
|
||||
0.07 0.00 0.86 ^ _453_/CLK (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.12 0.41 1.26 ^ _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
0.12 0.41 1.27 ^ _453_/Q (sky130_fd_sc_hd__dfrtp_1)
|
||||
2 0.01 divider2.odd_0.rst_pulse (net)
|
||||
0.12 0.00 1.27 ^ fanout22/A (sky130_fd_sc_hd__clkbuf_4)
|
||||
0.26 0.33 1.60 ^ fanout22/X (sky130_fd_sc_hd__clkbuf_4)
|
||||
|
|
|
@ -47,7 +47,7 @@ Fanout Cap Slew Delay Time Description
|
|||
0.29 0.25 16.24 ^ _349_/Y (sky130_fd_sc_hd__nor2_1)
|
||||
1 0.02 net11 (net)
|
||||
0.29 0.00 16.24 ^ output11/A (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.54 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
0.24 0.31 16.55 ^ output11/X (sky130_fd_sc_hd__buf_12)
|
||||
1 0.20 resetb_sync (net)
|
||||
0.24 0.01 16.56 ^ resetb_sync (out)
|
||||
16.56 data arrival time
|
||||
|
|
|
@ -1,24 +1,24 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
|
||||
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
|
||||
The LEF parser will ignore this statement.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef at line 930.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef at line 930.
|
||||
|
||||
[INFO ODB-0223] Created 13 technology layers
|
||||
[INFO ODB-0224] Created 25 technology vias
|
||||
[INFO ODB-0225] Created 441 library cells
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0128] Design: caravel_clocking
|
||||
[INFO ODB-0130] Created 17 pins.
|
||||
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
|
||||
[INFO ODB-0132] Created 2 special nets and 2622 connections.
|
||||
[INFO ODB-0133] Created 330 nets and 1176 connections.
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
[INFO]: Setting RC values...
|
||||
[INFO PSM-0002] Output voltage file is specified as: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/27-irdrop.rpt.
|
||||
[INFO PSM-0002] Output voltage file is specified as: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/27-irdrop.rpt.
|
||||
[WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
|
||||
[WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
|
||||
[WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
|
||||
|
@ -32,6 +32,6 @@ To avoid this warning in the future, remove this statement from the LEF file wit
|
|||
[INFO PSM-0040] All PDN stripes on net VPWR are connected.
|
||||
########## IR report #################
|
||||
Worstcase voltage: 1.80e+00 V
|
||||
Average IR drop : 3.56e-10 V
|
||||
Worstcase IR drop: 5.93e-10 V
|
||||
Average IR drop : 3.58e-10 V
|
||||
Worstcase IR drop: 5.83e-10 V
|
||||
######################################
|
||||
|
|
|
@ -75,4 +75,4 @@ Reading "sky130_fd_sc_hd__buf_12".
|
|||
Reading "sky130_fd_sc_hd__buf_2".
|
||||
Reading "sky130_fd_sc_hd__conb_1".
|
||||
Reading "caravel_clocking".
|
||||
[INFO]: Wrote /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/gds_ptrs.mag including GDS pointers.
|
||||
[INFO]: Wrote /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/gds_ptrs.mag including GDS pointers.
|
||||
|
|
|
@ -41,7 +41,7 @@ LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ig
|
|||
LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
|
||||
LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
|
||||
LEF read: Processed 797 lines.
|
||||
Reading DEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def.
|
||||
Reading DEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def.
|
||||
This action cannot be undone.
|
||||
Processed 4 vias total.
|
||||
Processed 734 subcell instances total.
|
||||
|
|
|
@ -44,184 +44,184 @@ LEF read: Processed 797 lines.
|
|||
caravel_clocking: 10000 rects
|
||||
caravel_clocking: 20000 rects
|
||||
[INFO]: Writing abstract LEF
|
||||
Generating LEF output /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/caravel_clocking.lef for cell caravel_clocking:
|
||||
Generating LEF output /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.lef for cell caravel_clocking:
|
||||
Diagnostic: Write LEF header for cell caravel_clocking
|
||||
Diagnostic: Writing LEF output for cell caravel_clocking
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_16" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__clkbuf_16.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_16" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkbuf_16.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_16.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_12" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__buf_12.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_12" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__buf_12.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_12.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__clkbuf_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkbuf_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__clkbuf_4.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkbuf_4.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_4.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__buf_4.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__buf_4.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_4.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__buf_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__buf_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__buf_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__clkbuf_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkbuf_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkbuf_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__dfrtp_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfrtp_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__dfstp_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfstp_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfstp_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__dfstp_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfstp_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfstp_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__dfrtp_4.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfrtp_4.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_4.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtn_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__dfrtn_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtn_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfrtn_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtn_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfxtp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__dfxtp_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfxtp_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfxtp_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfxtp_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__dfrtp_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__dfrtp_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__dfrtp_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__conb_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__conb_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__conb_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__conb_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__conb_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__inv_4.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__inv_4.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_4.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__or2_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__or2_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or2_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o31a_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o31a_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31a_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor4_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nor4_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor4_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor4_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor4_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__mux2_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__mux2_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__mux2_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o21ai_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o21ai_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21ai_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__a21oi_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a21oi_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21oi_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o2111ai_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o2111ai_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111ai_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21bai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o21bai_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21bai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o21bai_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21bai_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o31ai_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31ai_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o31ai_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o31ai_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nand3b_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand3b_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3b_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o22a_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o22a_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o22a_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nand3_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand3_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand3_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nand2b_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand2b_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2b_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nand2_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand2_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand2_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nand4b_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4b_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nand4b_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nand4b_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__and2_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__and2_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nor2_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor2_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor2_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__xnor2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__xnor2_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__xnor2_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__xnor2_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__xnor2_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__or3_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__or3_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__or3_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__a21o_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a21o_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a21o_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o21a_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o21a_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nor3_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor3_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__a31o_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a31o_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31o_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__and2b_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__and2b_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__and2b_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nor3_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor3_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31oi_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__a31oi_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31oi_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a31oi_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a31oi_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a41oi_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__a41oi_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a41oi_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__a41oi_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__a41oi_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o2111a_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111a_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o2111a_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111a_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3b_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__nor3b_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3b_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__nor3b_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__nor3b_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__inv_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__inv_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__inv_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o2111ai_4.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o2111ai_4.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o2111ai_4.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__o21a_4.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__o21a_4.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__o21a_4.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__clkinv_4.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkinv_4.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_4.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__clkinv_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__clkinv_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__clkinv_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__tapvpwrvgnd_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__tapvpwrvgnd_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__tapvpwrvgnd_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_3" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__decap_3.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_3" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__decap_3.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_3.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__fill_1.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_1" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__fill_1.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_1.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__fill_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__fill_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__fill_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__fill_2.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_8" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__decap_8.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_8" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__decap_8.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_8.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_ef_sc_hd__decap_12" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_ef_sc_hd__decap_12.mag.
|
||||
Warning: Parent cell lists instance of "sky130_ef_sc_hd__decap_12" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_ef_sc_hd__decap_12.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_ef_sc_hd__decap_12.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__decap_4.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_4" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__decap_4.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_4.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_6" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__decap_6.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__decap_6" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__decap_6.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__decap_6.mag.
|
||||
The discovered version will be used.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/sky130_fd_sc_hd__diode_2.mag.
|
||||
Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/sky130_fd_sc_hd__diode_2.mag.
|
||||
The cell exists in the search paths at /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.ref/sky130_fd_sc_hd/maglef/sky130_fd_sc_hd__diode_2.mag.
|
||||
The discovered version will be used.
|
||||
Diagnostic: Scale value is 0.005000
|
||||
|
|
|
@ -12,7 +12,7 @@ The following types are not handled by extraction and will be treated as non-ele
|
|||
Scaled tech values by 2 / 1 to match internal grid scaling
|
||||
Loading sky130A Device Generator Menu ...
|
||||
Using technology "sky130A", version 1.0.341-2-gde752ec
|
||||
Reading LEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/caravel_clocking.lef.
|
||||
Reading LEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.lef.
|
||||
This action cannot be undone.
|
||||
LEF read: Processed 240 lines.
|
||||
[INFO]: DONE GENERATING MAGLEF VIEW
|
||||
|
|
|
@ -41,7 +41,7 @@ LEF read, Line 253 (Message): Unknown keyword "DENSITYCHECKSTEP" in LEF file; ig
|
|||
LEF read, Line 290 (Message): Unknown keyword "ANTENNAMODEL" in LEF file; ignoring.
|
||||
LEF read, Line 291 (Message): Unknown keyword "ANTENNADIFFSIDEAREARATIO" in LEF file; ignoring.
|
||||
LEF read: Processed 797 lines.
|
||||
Reading DEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def.
|
||||
Reading DEF data from file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def.
|
||||
This action cannot be undone.
|
||||
Processed 4 vias total.
|
||||
Processed 734 subcell instances total.
|
||||
|
|
|
@ -1,22 +1,22 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef
|
||||
[INFO ODB-0222] Reading LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
|
||||
[WARNING ODB-0220] WARNING (LEFPARS-2036): SOURCE statement is obsolete in version 5.6 and later.
|
||||
The LEF parser will ignore this statement.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef at line 930.
|
||||
To avoid this warning in the future, remove this statement from the LEF file with version 5.6 or later. See file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef at line 930.
|
||||
|
||||
[INFO ODB-0223] Created 13 technology layers
|
||||
[INFO ODB-0224] Created 25 technology vias
|
||||
[INFO ODB-0225] Created 441 library cells
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/merged.nom.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0226] Finished LEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/merged.nom.lef
|
||||
[INFO ODB-0127] Reading DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0128] Design: caravel_clocking
|
||||
[INFO ODB-0130] Created 17 pins.
|
||||
[INFO ODB-0131] Created 734 components and 3799 component-terminals.
|
||||
[INFO ODB-0132] Created 2 special nets and 2622 connections.
|
||||
[INFO ODB-0133] Created 330 nets and 1176 connections.
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.def
|
||||
[INFO ODB-0134] Finished DEF file: /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.def
|
||||
Top-level design name: caravel_clocking
|
||||
Found default power net 'VPWR'
|
||||
Found default ground net 'VGND'
|
||||
|
|
|
@ -1,8 +1,8 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.odb
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
|
||||
Setting global connections for newly added cells...
|
||||
[WARNING] Did not save OpenROAD database!
|
||||
Writing netlist to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/29-caravel_clocking.nl.v...
|
||||
Writing powered netlist to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/29-caravel_clocking.pnl.v...
|
||||
Writing netlist to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.nl.v...
|
||||
Writing powered netlist to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.pnl.v...
|
||||
|
|
|
@ -2,8 +2,8 @@ Netgen 1.5.234 compiled on Sun Oct 9 10:24:01 UTC 2022
|
|||
Warning: netgen command 'format' use fully-qualified name '::netgen::format'
|
||||
Warning: netgen command 'global' use fully-qualified name '::netgen::global'
|
||||
Generating JSON file result
|
||||
Reading netlist file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/caravel_clocking.spice
|
||||
Reading netlist file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/29-caravel_clocking.pnl.v
|
||||
Reading netlist file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.spice
|
||||
Reading netlist file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/29-caravel_clocking.pnl.v
|
||||
Warning: A case-insensitive file has been read and so the verilog file must be treated case-insensitive to match.
|
||||
Creating placeholder cell definition for module sky130_fd_sc_hd__mux2_1.
|
||||
Creating placeholder cell definition for module sky130_fd_sc_hd__clkinv_4.
|
||||
|
@ -65,8 +65,8 @@ Creating placeholder cell definition for module sky130_fd_sc_hd__decap_4.
|
|||
Creating placeholder cell definition for module sky130_fd_sc_hd__fill_1.
|
||||
Creating placeholder cell definition for module sky130_fd_sc_hd__decap_6.
|
||||
Reading setup file /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/netgen/sky130A_setup.tcl
|
||||
Comparison output logged to file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/logs/signoff/32-caravel_clocking.lef.log
|
||||
Logging to file "/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/logs/signoff/32-caravel_clocking.lef.log" enabled
|
||||
Comparison output logged to file /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/signoff/32-caravel_clocking.lef.log
|
||||
Logging to file "/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/signoff/32-caravel_clocking.lef.log" enabled
|
||||
Circuit sky130_fd_sc_hd__tapvpwrvgnd_1 contains no devices.
|
||||
Circuit sky130_fd_sc_hd__fill_1 contains no devices.
|
||||
Circuit sky130_fd_sc_hd__a41oi_1 contains no devices.
|
||||
|
@ -387,5 +387,5 @@ Circuit 1 contains 333 nets, Circuit 2 contains 333 nets.
|
|||
Final result:
|
||||
Circuits match uniquely.
|
||||
.
|
||||
Logging to file "/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/logs/signoff/32-caravel_clocking.lef.log" disabled
|
||||
Logging to file "/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/logs/signoff/32-caravel_clocking.lef.log" disabled
|
||||
LVS Done.
|
||||
|
|
|
@ -82,6 +82,6 @@ Loading DRC CIF style.
|
|||
No errors found.
|
||||
[INFO]: COUNT: 0
|
||||
[INFO]: Should be divided by 3 or 4
|
||||
[INFO]: DRC Checking DONE (/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/drc.rpt)
|
||||
[INFO]: Saving mag view with DRC errors (/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/signoff/caravel_clocking.drc.mag)
|
||||
[INFO]: DRC Checking DONE (/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/drc.rpt)
|
||||
[INFO]: Saving mag view with DRC errors (/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/signoff/caravel_clocking.drc.mag)
|
||||
[INFO]: Saved
|
||||
|
|
|
@ -1,6 +1,6 @@
|
|||
OpenROAD 4174c3ad802d2ac1d04d387d2c4b883903f6647e
|
||||
This program is licensed under the BSD-3 license. See the LICENSE file for details.
|
||||
Components of this program may be licensed under more restrictive licenses which must be honored.
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/results/routing/caravel_clocking.odb
|
||||
Reading /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/results/routing/caravel_clocking.odb
|
||||
[INFO ANT-0002] Found 0 net violations.
|
||||
[INFO ANT-0001] Found 0 pin violations.
|
||||
|
|
|
@ -1,17 +1,17 @@
|
|||
CVC: Circuit Validation Check Version 1.1.0
|
||||
CVC: Log output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/caravel_clocking.rpt
|
||||
CVC: Error output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/caravel_clocking.rpt.error.gz
|
||||
CVC: Debug output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/caravel_clocking.rpt.debug.gz
|
||||
CVC: Start: Thu Oct 13 17:51:27 2022
|
||||
CVC: Log output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt
|
||||
CVC: Error output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt.error.gz
|
||||
CVC: Debug output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt.debug.gz
|
||||
CVC: Start: Fri Oct 14 12:17:17 2022
|
||||
|
||||
Using the following parameters for CVC (Circuit Validation Check) from /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/cvcrc
|
||||
CVC_TOP = 'caravel_clocking'
|
||||
CVC_NETLIST = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/caravel_clocking.cdl'
|
||||
CVC_NETLIST = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.cdl'
|
||||
CVC_MODE = 'caravel_clocking'
|
||||
CVC_MODEL_FILE = '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/models'
|
||||
CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/caravel_clocking.power'
|
||||
CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.power'
|
||||
CVC_FUSE_FILE = ''
|
||||
CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/caravel_clocking.rpt'
|
||||
CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt'
|
||||
CVC_REPORT_TITLE = 'CVC $CVC_TOP'
|
||||
CVC_CIRCUIT_ERROR_LIMIT = '100'
|
||||
CVC_SEARCH_LIMIT = '100'
|
||||
|
@ -45,10 +45,10 @@ End of parameters
|
|||
|
||||
CVC: Reading device model settings...
|
||||
CVC: Reading power settings...
|
||||
CVC: Parsing netlist /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/caravel_clocking.cdl
|
||||
CVC: Parsing netlist /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.cdl
|
||||
|
||||
Cdl fixed data size 26808
|
||||
Usage CDL: Time: 0 Memory: 7052 I/O: 8 Swap: 0
|
||||
Usage CDL: Time: 0 Memory: 7008 I/O: 8 Swap: 0
|
||||
CVC: Counting and linking...
|
||||
Fatal error:could not find subcircuit: XFILLER_0_14(sky130_ef_sc_hd__decap_12) in caravel_clocking
|
||||
|
||||
|
|
|
@ -1,17 +1,17 @@
|
|||
CVC: Log output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/caravel_clocking.rpt
|
||||
CVC: Error output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/caravel_clocking.rpt.error.gz
|
||||
CVC: Debug output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/caravel_clocking.rpt.debug.gz
|
||||
CVC: Log output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt
|
||||
CVC: Error output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt.error.gz
|
||||
CVC: Debug output to /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt.debug.gz
|
||||
CVC: Circuit Validation Check Version 1.1.0
|
||||
CVC: Start: Thu Oct 13 17:51:27 2022
|
||||
CVC: Start: Fri Oct 14 12:17:17 2022
|
||||
|
||||
Using the following parameters for CVC (Circuit Validation Check) from /home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/cvcrc
|
||||
CVC_TOP = 'caravel_clocking'
|
||||
CVC_NETLIST = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/caravel_clocking.cdl'
|
||||
CVC_NETLIST = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.cdl'
|
||||
CVC_MODE = 'caravel_clocking'
|
||||
CVC_MODEL_FILE = '/home/kareem_farid/caravel/deps/openlane-new/pdk/sky130A/libs.tech/openlane/cvc/models'
|
||||
CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/caravel_clocking.power'
|
||||
CVC_POWER_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.power'
|
||||
CVC_FUSE_FILE = ''
|
||||
CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/reports/signoff/caravel_clocking.rpt'
|
||||
CVC_REPORT_FILE = '/home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/reports/signoff/caravel_clocking.rpt'
|
||||
CVC_REPORT_TITLE = 'CVC $CVC_TOP'
|
||||
CVC_CIRCUIT_ERROR_LIMIT = '100'
|
||||
CVC_SEARCH_LIMIT = '100'
|
||||
|
@ -45,7 +45,7 @@ End of parameters
|
|||
|
||||
CVC: Reading device model settings...
|
||||
CVC: Reading power settings...
|
||||
CVC: Parsing netlist /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_13_10_49/tmp/signoff/caravel_clocking.cdl
|
||||
CVC: Parsing netlist /home/kareem_farid/caravel/openlane/caravel_clocking/runs/22_10_14_05_15/tmp/signoff/caravel_clocking.cdl
|
||||
Cdl fixed data size 26808
|
||||
Usage CDL: Time: 0 Memory: 7052 I/O: 8 Swap: 0
|
||||
Usage CDL: Time: 0 Memory: 7008 I/O: 8 Swap: 0
|
||||
CVC: Counting and linking...
|
||||
|
|
|
@ -1,6 +1,6 @@
|
|||
###############################################################################
|
||||
# Created by write_sdc
|
||||
# Thu Oct 13 17:49:58 2022
|
||||
# Fri Oct 14 12:15:45 2022
|
||||
###############################################################################
|
||||
current_design caravel_clocking
|
||||
###############################################################################
|
||||
|
|
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
Loading…
Reference in New Issue