diff --git a/scripts/signoff_automation.py b/scripts/signoff_automation.py index f6b80b44..5394880f 100644 --- a/scripts/signoff_automation.py +++ b/scripts/signoff_automation.py @@ -16,7 +16,7 @@ def build_caravel_caravan(caravel_root, mcw_root, pdk_root, log_dir, pdk_env, de os.environ["CARAVEL_ROOT"] = caravel_root os.environ["MCW_ROOT"] = mcw_root os.environ["PDK_ROOT"] = pdk_root - os.environ["PDK"] = pdk_env + os.environ["PDK"] = pdk_envg os.environ["DESIGN"] = design gpio_defaults_cmd = ["python3", f"scripts/gen_gpio_defaults.py"] @@ -526,13 +526,15 @@ if __name__ == "__main__": antenna = args.antenna if sta: - os.environ["CHIP"] = "caravel" - os.environ["CHIP_CORE"] = "caravel_core" + if not os.getenv("CHIP"): + os.environ["CHIP"] = "caravel" + if not os.getenv("CHIP_CORE"): + os.environ["CHIP_CORE"] = "caravel_core" os.environ["DEBUG"] = "0" if (design == "mgmt_core_wrapper" or design == "RAM128" or design == "RAM256" or design == "gf180_ram_512x8_wrapper"): signoff_dir = os.path.join(mcw_root, "signoff") - elif (design == "user_project_wrapper" or design == "user_proj_example" or design == "user_project"): + elif (design == "user_project_wrapper" or design == "user_proj_example" or design == "user_project" or design == "user_analog_project_wrapper"): uprj_root = os.getenv("UPRJ_ROOT") signoff_dir = os.path.join(uprj_root, "signoff") @@ -668,7 +670,7 @@ if __name__ == "__main__": timestr, upw ) - elif (design == "user_project_wrapper" or design == "user_proj_example" or design == "user_project"): + elif (design == "user_project_wrapper" or design == "user_proj_example" or design == "user_project" or design == "user_analog_project_wrapper"): sta_p = run_sta( uprj_root, f"{caravel_root}/scripts/pt_libs", @@ -720,7 +722,7 @@ if __name__ == "__main__": timestr, upw ) - elif (design == "user_project_wrapper" or design == "user_proj_example" or design == "user_project"): + elif (design == "user_project_wrapper" or design == "user_proj_example" or design == "user_project" or design == "user_analog_project_wrapper"): sta_p = run_sta( uprj_root, f"{caravel_root}/scripts/pt_libs", diff --git a/scripts/sky130_spef_mapping.tcl b/scripts/sky130_spef_mapping.tcl index 4c4227f3..57608216 100644 --- a/scripts/sky130_spef_mapping.tcl +++ b/scripts/sky130_spef_mapping.tcl @@ -7,10 +7,13 @@ if {$design == "user_project_wrapper"} { if {$::env(UPW)} { # user_project_wrapper spefs # update the path to match the spefs path - set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef - + if {$design == "caravan_core"} { + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } else { + set spef_mapping(mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + } # add spefs of modules instantiated in user_project_wrapper/user_analog_project_wrapper here - set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_proj_example/openlane-signoff/spef/user_proj_example.${rc_corner}.spef + # set spef_mapping(mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_proj_example/openlane-signoff/spef/user_proj_example.${rc_corner}.spef } #caravel litex macros @@ -19,7 +22,11 @@ if {$design == "user_project_wrapper"} { set spef_mapping(\soc.core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef set spef_mapping(clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef - set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + if {$design == "caravan_core"} { + set spef_mapping(housekeeping_alt) $::env(CARAVEL_ROOT)/signoff/housekeeping_alt/openlane-signoff/spef/housekeeping_alt.${rc_corner}.spef + } else { + set spef_mapping(housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + } set spef_mapping(gpio_buf) $::env(CARAVEL_ROOT)/signoff/mprj_io_buffer/openlane-signoff/spef/mprj_io_buffer.${rc_corner}.spef set spef_mapping(rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/spef/xres_buf.${rc_corner}.spef @@ -118,13 +125,21 @@ if {$design == "user_project_wrapper"} { if {$::env(UPW)} { # user_project_wrapper spefs # update the path to match the spefs path - set spef_mapping(chip_core/mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + if {$design == "caravan"} { + set spef_mapping(chip_core/mprj) $::env(UPRJ_ROOT)/signoff/user_analog_project_wrapper/openlane-signoff/spef/user_analog_project_wrapper.${rc_corner}.spef + } else { + set spef_mapping(chip_core/mprj) $::env(UPRJ_ROOT)/signoff/user_project_wrapper/openlane-signoff/spef/user_project_wrapper.${rc_corner}.spef + } # add spefs of modules instantiated in user_project_wrapper/user_analog_project_wrapper here - set spef_mapping(chip_core/mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_proj_example/openlane-signoff/spef/user_proj_example.${rc_corner}.spef + # set spef_mapping(chip_core/mprj/mprj) $::env(UPRJ_ROOT)/signoff/user_proj_example/openlane-signoff/spef/user_proj_example.${rc_corner}.spef } - set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/openlane-signoff/spef/chip_io.${rc_corner}.spef + if {$design == "caravan"} { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io_alt/openlane-signoff/spef/chip_io_alt.${rc_corner}.spef + } else { + set spef_mapping(padframe) $::env(CARAVEL_ROOT)/signoff/chip_io/openlane-signoff/spef/chip_io.${rc_corner}.spef + } set spef_mapping(padframe/\constant_value_inst[0]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef set spef_mapping(padframe/\constant_value_inst[1]) $::env(CARAVEL_ROOT)/signoff/constant_block/openlane-signoff/spef/constant_block.${rc_corner}.spef @@ -142,7 +157,11 @@ if {$design == "user_project_wrapper"} { set spef_mapping(chip_core/\soc.core.RAM128) $::env(MCW_ROOT)/signoff/RAM128/openlane-signoff/spef/RAM128.${rc_corner}.spef set spef_mapping(chip_core/clock_ctrl) $::env(CARAVEL_ROOT)/signoff/caravel_clocking/openlane-signoff/spef/caravel_clocking.${rc_corner}.spef - set spef_mapping(chip_core/housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + if {$design == "caravan"} { + set spef_mapping(chip_core/housekeeping_alt) $::env(CARAVEL_ROOT)/signoff/housekeeping_alt/openlane-signoff/spef/housekeeping_alt.${rc_corner}.spef + } else { + set spef_mapping(chip_core/housekeeping) $::env(CARAVEL_ROOT)/signoff/housekeeping/openlane-signoff/spef/housekeeping.${rc_corner}.spef + } set spef_mapping(chip_core/gpio_buf) $::env(CARAVEL_ROOT)/signoff/mprj_io_buffer/openlane-signoff/spef/mprj_io_buffer.${rc_corner}.spef set spef_mapping(chip_core/rstb_level) $::env(CARAVEL_ROOT)/signoff/xres_buf/openlane-signoff/spef/xres_buf.${rc_corner}.spef diff --git a/signoff/caravan/caravan.sdc b/signoff/caravan/caravan.sdc index 5cc57bfe..c51a6c80 100644 --- a/signoff/caravan/caravan.sdc +++ b/signoff/caravan/caravan.sdc @@ -1,206 +1,408 @@ -### Caravan Signoff SDC -### Rev 2 -### Date: 28/10/2022 +### Caravan new Signoff SDC +### Rev 1 +### Date: 25/5/2023 + +### Caravel new Signoff SDC +### Rev 1 +### Date: 12/2/2023 + +# IO 4 mode is either SCK or GPIO (hkspi) +set io_4_mode SCK + +puts "\[INFO\]: IO[4] is set as: $io_4_mode" +# IOs mode is either OUT or IN (GPIOs) +set ios_mode OUT +puts "\[INFO\]: GPIOs mode is set as: $ios_mode" + +# IO ports to user's project wrapper are assumed to be asynchronous. If they're synchronous to the clock, update the variable IO_SYNC to 1 +set ::env(IO_SYNC) 0 ## MASTER CLOCKS -create_clock -name clk -period 25 [get_ports {clock}] +set clk_period 25 +create_clock -name clk -period $clk_period [get_ports {clock}] +puts "\[INFO\]: System clock period: $clk_period" -create_clock -name hkspi_clk -period 100 [get_pins {housekeeping/mgmt_gpio_in[4]} ] -create_clock -name hk_serial_clk -period 50 [get_pins {housekeeping/serial_clock}] -create_clock -name hk_serial_load -period 1000 [get_pins {housekeeping/serial_load}] -# hk_serial_clk period is x2 core clock +create_clock -name hk_serial_clk -period 100 [get_pins {chip_core/housekeeping_alt/serial_clock}] +create_clock -name hk_serial_load -period 1000 [get_pins {chip_core/housekeeping_alt/serial_load}] +set_clock_uncertainty 0.1000 [get_clocks {clk hk_serial_clk hk_serial_load}] +set_propagated_clock [get_clocks {clk hk_serial_clk hk_serial_load}] -set_clock_uncertainty 0.1000 [get_clocks {clk hkspi_clk hk_serial_clk hk_serial_load}] +set min_clk_tran 1 +set max_clk_tran 1.5 +puts "\[INFO\]: Clock transition range: $min_clk_tran : $max_clk_tran" -set_clock_groups \ +# Add clock transition +set_input_transition -min $min_clk_tran [get_ports {clock}] +set_input_transition -max $max_clk_tran [get_ports {clock}] + +if {$io_4_mode == "SCK"} { + # deassert hkspi_disable + set_case_analysis 0 [get_pins {chip_core/housekeeping_alt/_7257_/Q}] + # dessert CSB + set_case_analysis 0 [get_ports {mprj_io[3]} ] + + create_clock -name hkspi_clk -period 100 [get_ports {mprj_io[4]} ] + set_clock_uncertainty 0.1000 [get_clocks {hkspi_clk}] + set_propagated_clock [get_clocks {hkspi_clk}] + set_clock_groups \ -name clock_group \ -logically_exclusive \ -group [get_clocks {clk}]\ -group [get_clocks {hk_serial_clk}]\ -group [get_clocks {hk_serial_load}]\ -group [get_clocks {hkspi_clk}] +} elseif {$io_4_mode == "GPIO"} { + # assert hkspi_disable + set_case_analysis 1 [get_pins {chip_core/housekeeping_alt/_7257_/Q}] + set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {hk_serial_clk}]\ + -group [get_clocks {hk_serial_load}]\ +} +# Add case analysis for clock pad DM[2]==1'b0 & DM[1]==1'b0 & DM[0]==1'b1 to be input +set_case_analysis 0 [get_pins padframe/clock_pad/DM[2]] +set_case_analysis 0 [get_pins padframe/clock_pad/DM[1]] +set_case_analysis 1 [get_pins padframe/clock_pad/DM[0]] +set_case_analysis 0 [get_pins padframe/clock_pad/INP_DIS] +# hk_serial_clk period is x2 core clock # clock <-> hk_serial_clk/load no paths # future note: CDC stuff # clock <-> hkspi_clk no paths with careful methods (clock is off) -set_propagated_clock [get_clocks {clk}] -set_propagated_clock [get_clocks {hk_serial_clk}] -set_propagated_clock [get_clocks {hk_serial_load}] -set_propagated_clock [get_clocks {hkspi_clk}] +# Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled +set_case_analysis 0 [get_pins chip_core/housekeeping_alt/_4161_/S] +set_case_analysis 0 [get_pins chip_core/housekeeping_alt/_4162_/S] -## INPUT/OUTPUT DELAYS set input_delay_value 4 set output_delay_value 4 -puts "\[INFO\]: Setting output delay to: $output_delay_value" puts "\[INFO\]: Setting input delay to: $input_delay_value" - -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {gpio}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[0]}] - -#set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[1]}] - -set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[2]}] -set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[3]}] - -#set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[4]}] - -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[5]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[6]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[7]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[8]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[9]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[10]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[11]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[12]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[13]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[14]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[15]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[16]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[17]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[18]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[19]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[20]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[21]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[22]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[23]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[24]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[25]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[26]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[27]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[28]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[29]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[30]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[31]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[32]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[33]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[34]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[35]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[36]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[37]}] - -set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_csb}] -set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_clk}] -set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_io0}] -set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_io1}] - -# set_output_delay $output_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[1]}] - -set_max_fanout 12 [current_design] -# synthesis max fanout should be less than 12 (7 maybe) - -## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled -set_case_analysis 0 [get_pins housekeeping/_3936_/S] -set_case_analysis 0 [get_pins housekeeping/_3937_/S] - -# Add case analysis for pads DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 to be outputs - -set_case_analysis 1 [get_pins padframe/*_pad*/DM[2]] -set_case_analysis 1 [get_pins padframe/*_pad*/DM[1]] -set_case_analysis 0 [get_pins padframe/*_pad*/DM[0]] -set_case_analysis 0 [get_pins padframe/*_pad*/SLOW] -set_case_analysis 0 [get_pins padframe/*_pad*/ANALOG_EN] - -# the following pads are set as inputs -set_case_analysis 0 [get_pins padframe/*area1_io_pad[4]/DM[2]] -set_case_analysis 0 [get_pins padframe/*area1_io_pad[4]/DM[1]] -set_case_analysis 1 [get_pins padframe/*area1_io_pad[4]/DM[0]] - -set_case_analysis 0 [get_pins padframe/*area1_io_pad[2]/DM[2]] -set_case_analysis 0 [get_pins padframe/*area1_io_pad[2]/DM[1]] -set_case_analysis 1 [get_pins padframe/*area1_io_pad[2]/DM[0]] - - -set_case_analysis 0 [get_pins padframe/clock_pad/DM[2]] -set_case_analysis 0 [get_pins padframe/clock_pad/DM[1]] -set_case_analysis 1 [get_pins padframe/clock_pad/DM[0]] - -## FALSE PATHS (ASYNCHRONOUS INPUTS) -set_false_path -from [get_ports {resetb}] - -# set_false_path -from [get_ports mprj_io[*]] -through [get_pins housekeeping/mgmt_gpio_in[*]] -# reset_path -from [get_ports mprj_io[4]] -# reset_path -from [get_ports mprj_io[2]] -#reset_path is not supported in PT read_sdc ^ - -set_false_path -from [get_ports mprj_io[0]] -through [get_pins housekeeping/mgmt_gpio_in[0]] -set_false_path -from [get_ports mprj_io[1]] -through [get_pins housekeeping/mgmt_gpio_in[1]] -set_false_path -from [get_ports mprj_io[3]] -through [get_pins housekeeping/mgmt_gpio_in[3]] -set_false_path -from [get_ports mprj_io[5]] -through [get_pins housekeeping/mgmt_gpio_in[5]] -set_false_path -from [get_ports mprj_io[6]] -through [get_pins housekeeping/mgmt_gpio_in[6]] -set_false_path -from [get_ports mprj_io[7]] -through [get_pins housekeeping/mgmt_gpio_in[7]] -set_false_path -from [get_ports mprj_io[8]] -through [get_pins housekeeping/mgmt_gpio_in[8]] -set_false_path -from [get_ports mprj_io[9]] -through [get_pins housekeeping/mgmt_gpio_in[9]] -set_false_path -from [get_ports mprj_io[10]] -through [get_pins housekeeping/mgmt_gpio_in[10]] -set_false_path -from [get_ports mprj_io[11]] -through [get_pins housekeeping/mgmt_gpio_in[11]] -set_false_path -from [get_ports mprj_io[12]] -through [get_pins housekeeping/mgmt_gpio_in[12]] -set_false_path -from [get_ports mprj_io[13]] -through [get_pins housekeeping/mgmt_gpio_in[13]] -set_false_path -from [get_ports mprj_io[14]] -through [get_pins housekeeping/mgmt_gpio_in[14]] -set_false_path -from [get_ports mprj_io[15]] -through [get_pins housekeeping/mgmt_gpio_in[15]] -set_false_path -from [get_ports mprj_io[16]] -through [get_pins housekeeping/mgmt_gpio_in[16]] -set_false_path -from [get_ports mprj_io[17]] -through [get_pins housekeeping/mgmt_gpio_in[17]] -set_false_path -from [get_ports mprj_io[18]] -through [get_pins housekeeping/mgmt_gpio_in[18]] -set_false_path -from [get_ports mprj_io[19]] -through [get_pins housekeeping/mgmt_gpio_in[19]] -set_false_path -from [get_ports mprj_io[20]] -through [get_pins housekeeping/mgmt_gpio_in[20]] -set_false_path -from [get_ports mprj_io[21]] -through [get_pins housekeeping/mgmt_gpio_in[21]] -set_false_path -from [get_ports mprj_io[22]] -through [get_pins housekeeping/mgmt_gpio_in[22]] -set_false_path -from [get_ports mprj_io[23]] -through [get_pins housekeeping/mgmt_gpio_in[23]] -set_false_path -from [get_ports mprj_io[24]] -through [get_pins housekeeping/mgmt_gpio_in[24]] -set_false_path -from [get_ports mprj_io[25]] -through [get_pins housekeeping/mgmt_gpio_in[25]] -set_false_path -from [get_ports mprj_io[26]] -through [get_pins housekeeping/mgmt_gpio_in[26]] -set_false_path -from [get_ports mprj_io[27]] -through [get_pins housekeeping/mgmt_gpio_in[27]] -set_false_path -from [get_ports mprj_io[28]] -through [get_pins housekeeping/mgmt_gpio_in[28]] -set_false_path -from [get_ports mprj_io[29]] -through [get_pins housekeeping/mgmt_gpio_in[29]] -set_false_path -from [get_ports mprj_io[30]] -through [get_pins housekeeping/mgmt_gpio_in[30]] -set_false_path -from [get_ports mprj_io[31]] -through [get_pins housekeeping/mgmt_gpio_in[31]] -set_false_path -from [get_ports mprj_io[32]] -through [get_pins housekeeping/mgmt_gpio_in[32]] -set_false_path -from [get_ports mprj_io[33]] -through [get_pins housekeeping/mgmt_gpio_in[33]] -set_false_path -from [get_ports mprj_io[34]] -through [get_pins housekeeping/mgmt_gpio_in[34]] -set_false_path -from [get_ports mprj_io[35]] -through [get_pins housekeeping/mgmt_gpio_in[35]] -set_false_path -from [get_ports mprj_io[36]] -through [get_pins housekeeping/mgmt_gpio_in[36]] -set_false_path -from [get_ports mprj_io[37]] -through [get_pins housekeeping/mgmt_gpio_in[37]] - -set_false_path -from [get_ports mprj_io[*]] -through [get_pins housekeeping/mgmt_gpio_out[*]] -set_false_path -from [get_ports mprj_io[*]] -through [get_pins housekeeping/mgmt_gpio_oeb[*]] -set_false_path -from [get_ports gpio] - -# add loads for output ports (pads) -set min_cap 5 -set max_cap 10 -puts "\[INFO\]: Cap load range: $min_cap : $max_cap" -# set_load 10 [all_outputs] -set_load -min $min_cap [all_outputs] -set_load -max $max_cap [all_outputs] - -#add input transition for the inputs ports (pads) -# set_input_transition 2 [all_inputs] -#add exception for power pads as 2ns on them results in max_tran violations (false viol) -# set_input_transition 2 [remove_from_collection [all_inputs] [get_ports v*]] -# remove_from_collection is not supported in PT read_sdc ^ -# set_input_transition 2 [all_inputs] -# set_input_transition 0 [get_ports v*] +puts "\[INFO\]: Setting output delay to: $output_delay_value" set min_in_tran 1 set max_in_tran 4 puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" -set_input_transition -min $min_in_tran [all_inputs] -set_input_transition -min 0 [get_ports v*] -set_input_transition -max $max_in_tran [all_inputs] -set_input_transition -max 0 [get_ports v*] -# check ocv table (not provided) -- maybe try 8% +# 10 too high --> 4:7 +set min_cap 4 +set max_cap 7 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" + +if {$ios_mode == "IN"} { + # Add case analysis for pads DM[2]==1'b0 & DM[1]==1'b0 & DM[0]==1'b1 to be inputs + set_case_analysis 0 [get_pins padframe/*mprj*/DM[2]] + set_case_analysis 0 [get_pins padframe/*mprj*/DM[1]] + set_case_analysis 1 [get_pins padframe/*mprj*/DM[0]] + set_case_analysis 0 [get_pins padframe/*mprj*/INP_DIS] + + # Add input transition + set_input_transition -min $min_in_tran [get_ports {mprj_io[*]}] + set_input_transition -max $max_in_tran [get_ports {mprj_io[*]}] + + ## INPUT DELAYS + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[0]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[5]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[6]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[7]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[8]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[9]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[10]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[11]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[12]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[13]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[14]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[15]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[16]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[17]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[18]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[19]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[20]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[21]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[22]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[23]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[24]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[25]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[26]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[27]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[28]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[29]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[30]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[31]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[32]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[33]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[34]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[35]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[36]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[37]}] + + if {$io_4_mode == "SCK"} { + # Add clock transition + set_input_transition -min $min_clk_tran [get_ports {mprj_io[4]}] + set_input_transition -max $max_clk_tran [get_ports {mprj_io[4]}] + # SDO output + set_case_analysis 1 [get_pins padframe/\mprj_pads.area1_io_pad[1]/DM[2]] + set_case_analysis 1 [get_pins padframe/\mprj_pads.area1_io_pad[1]/DM[1]] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[1]/DM[0]] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[1]/OE_N] + set_output_delay $output_delay_value -clock [get_clocks {hkspi_clk}] [get_ports {mprj_io[1]}] + set_load -min $min_cap [get_ports {mprj_io[1]}] + set_load -max $max_cap [get_ports {mprj_io[1]}] + set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] [get_ports {mprj_io[2]}] + set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] [get_ports {mprj_io[3]}] + + if { $::env(IO_SYNC) } { + set_false_path -from [get_ports mprj_io[*]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_out[*]] + set_false_path -from [get_ports mprj_io[*]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_oeb[*]] + set_false_path -from [get_ports mprj_io[0]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[0]] + set_false_path -from [get_ports mprj_io[5]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[5]] + set_false_path -from [get_ports mprj_io[6]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[6]] + set_false_path -from [get_ports mprj_io[7]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[7]] + set_false_path -from [get_ports mprj_io[8]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[8]] + set_false_path -from [get_ports mprj_io[9]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[9]] + set_false_path -from [get_ports mprj_io[10]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[10]] + set_false_path -from [get_ports mprj_io[11]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[11]] + set_false_path -from [get_ports mprj_io[12]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[12]] + set_false_path -from [get_ports mprj_io[13]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[13]] + set_false_path -from [get_ports mprj_io[14]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[14]] + set_false_path -from [get_ports mprj_io[15]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[15]] + set_false_path -from [get_ports mprj_io[16]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[16]] + set_false_path -from [get_ports mprj_io[17]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[17]] + set_false_path -from [get_ports mprj_io[18]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[18]] + set_false_path -from [get_ports mprj_io[19]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[19]] + set_false_path -from [get_ports mprj_io[20]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[20]] + set_false_path -from [get_ports mprj_io[21]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[21]] + set_false_path -from [get_ports mprj_io[22]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[22]] + set_false_path -from [get_ports mprj_io[23]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[23]] + set_false_path -from [get_ports mprj_io[24]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[24]] + set_false_path -from [get_ports mprj_io[25]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[25]] + set_false_path -from [get_ports mprj_io[26]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[26]] + set_false_path -from [get_ports mprj_io[27]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[27]] + set_false_path -from [get_ports mprj_io[28]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[28]] + set_false_path -from [get_ports mprj_io[29]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[29]] + set_false_path -from [get_ports mprj_io[30]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[30]] + set_false_path -from [get_ports mprj_io[31]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[31]] + set_false_path -from [get_ports mprj_io[32]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[32]] + set_false_path -from [get_ports mprj_io[33]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[33]] + set_false_path -from [get_ports mprj_io[34]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[34]] + set_false_path -from [get_ports mprj_io[35]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[35]] + set_false_path -from [get_ports mprj_io[36]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[36]] + set_false_path -from [get_ports mprj_io[37]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[37]] + } else { + set_false_path -from [get_ports mprj_io[0]] + set_false_path -from [get_ports mprj_io[5]] + set_false_path -from [get_ports mprj_io[6]] + set_false_path -from [get_ports mprj_io[7]] + set_false_path -from [get_ports mprj_io[8]] + set_false_path -from [get_ports mprj_io[9]] + set_false_path -from [get_ports mprj_io[10]] + set_false_path -from [get_ports mprj_io[11]] + set_false_path -from [get_ports mprj_io[12]] + set_false_path -from [get_ports mprj_io[13]] + set_false_path -from [get_ports mprj_io[14]] + set_false_path -from [get_ports mprj_io[15]] + set_false_path -from [get_ports mprj_io[16]] + set_false_path -from [get_ports mprj_io[17]] + set_false_path -from [get_ports mprj_io[18]] + set_false_path -from [get_ports mprj_io[19]] + set_false_path -from [get_ports mprj_io[20]] + set_false_path -from [get_ports mprj_io[21]] + set_false_path -from [get_ports mprj_io[22]] + set_false_path -from [get_ports mprj_io[23]] + set_false_path -from [get_ports mprj_io[24]] + set_false_path -from [get_ports mprj_io[25]] + set_false_path -from [get_ports mprj_io[26]] + set_false_path -from [get_ports mprj_io[27]] + set_false_path -from [get_ports mprj_io[28]] + set_false_path -from [get_ports mprj_io[29]] + set_false_path -from [get_ports mprj_io[30]] + set_false_path -from [get_ports mprj_io[31]] + set_false_path -from [get_ports mprj_io[32]] + set_false_path -from [get_ports mprj_io[33]] + set_false_path -from [get_ports mprj_io[34]] + set_false_path -from [get_ports mprj_io[35]] + set_false_path -from [get_ports mprj_io[36]] + set_false_path -from [get_ports mprj_io[37]] + } + + } elseif {$io_4_mode == "GPIO"} { + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[1]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[2]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[3]}] + set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[4]}] + + if { $::env(IO_SYNC) } { + set_false_path -from [get_ports mprj_io[*]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_out[*]] + set_false_path -from [get_ports mprj_io[*]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_oeb[*]] + set_false_path -from [get_ports mprj_io[*]] -through [get_pins chip_core/housekeeping_alt/mgmt_gpio_in[*]] + } else { + # set_false_path -from [get_ports mprj_io[*]] + } + } +} elseif {$ios_mode == "OUT"} { + # Add case analysis for pads DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 to be outputs + set_case_analysis 1 [get_pins padframe/*mprj*/DM[2]] + set_case_analysis 1 [get_pins padframe/*mprj*/DM[1]] + set_case_analysis 0 [get_pins padframe/*mprj*/DM[0]] + set_case_analysis 0 [get_pins padframe/*mprj*/OE_N] + + # add loads for output ports (pads) + set_load -min $min_cap [get_ports {mprj_io[*]}] + set_load -max $max_cap [get_ports {mprj_io[*]}] + + ## OUTPUT DELAYS + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[0]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[5]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[6]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[7]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[8]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[9]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[10]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[11]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[12]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[13]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[14]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[15]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[16]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[17]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[18]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[19]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[20]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[21]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[22]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[23]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[24]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[25]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[26]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[27]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[28]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[29]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[30]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[31]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[32]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[33]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[34]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[35]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[36]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[37]}] + if {$io_4_mode == "SCK"} { + # SCK, CSB, SDI are inputs + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[4]/DM[2]] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[4]/DM[1]] + set_case_analysis 1 [get_pins padframe/\mprj_pads.area1_io_pad[4]/DM[0]] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[4]/INP_DIS] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[3]/DM[2]] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[3]/DM[1]] + set_case_analysis 1 [get_pins padframe/\mprj_pads.area1_io_pad[3]/DM[0]] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[3]/INP_DIS] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[2]/DM[2]] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[2]/DM[1]] + set_case_analysis 1 [get_pins padframe/\mprj_pads.area1_io_pad[2]/DM[0]] + set_case_analysis 0 [get_pins padframe/\mprj_pads.area1_io_pad[2]/INP_DIS] + set_output_delay $output_delay_value -clock [get_clocks {hkspi_clk}] [get_ports {mprj_io[1]}] + set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] [get_ports {mprj_io[2]}] + set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] [get_ports {mprj_io[3]}] + set_input_transition -min $min_in_tran [get_ports {mprj_io[2] mprj_io[2]}] + set_input_transition -max $max_in_tran [get_ports {mprj_io[3] mprj_io[3]}] + if { !($::env(IO_SYNC)) } { + set_false_path -to [get_ports mprj_io[0]] + set_false_path -to [get_ports mprj_io[5]] + set_false_path -to [get_ports mprj_io[6]] + set_false_path -to [get_ports mprj_io[7]] + set_false_path -to [get_ports mprj_io[8]] + set_false_path -to [get_ports mprj_io[9]] + set_false_path -to [get_ports mprj_io[10]] + set_false_path -to [get_ports mprj_io[11]] + set_false_path -to [get_ports mprj_io[12]] + set_false_path -to [get_ports mprj_io[13]] + set_false_path -to [get_ports mprj_io[14]] + set_false_path -to [get_ports mprj_io[15]] + set_false_path -to [get_ports mprj_io[16]] + set_false_path -to [get_ports mprj_io[17]] + set_false_path -to [get_ports mprj_io[18]] + set_false_path -to [get_ports mprj_io[19]] + set_false_path -to [get_ports mprj_io[20]] + set_false_path -to [get_ports mprj_io[21]] + set_false_path -to [get_ports mprj_io[22]] + set_false_path -to [get_ports mprj_io[23]] + set_false_path -to [get_ports mprj_io[24]] + set_false_path -to [get_ports mprj_io[25]] + set_false_path -to [get_ports mprj_io[26]] + set_false_path -to [get_ports mprj_io[27]] + set_false_path -to [get_ports mprj_io[28]] + set_false_path -to [get_ports mprj_io[29]] + set_false_path -to [get_ports mprj_io[30]] + set_false_path -to [get_ports mprj_io[31]] + set_false_path -to [get_ports mprj_io[32]] + set_false_path -to [get_ports mprj_io[33]] + set_false_path -to [get_ports mprj_io[34]] + set_false_path -to [get_ports mprj_io[35]] + set_false_path -to [get_ports mprj_io[36]] + set_false_path -to [get_ports mprj_io[37]] + } + } elseif {$io_4_mode == "GPIO"} { + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[1]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[2]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[3]}] + set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[4]}] + if { !($::env(IO_SYNC)) } { + set_false_path -to [get_ports mprj_io[*]] + } + } +} + +# flash_* are output except for io1 +set_case_analysis 1 [get_pins padframe/flash_*pad/DM[2]] +set_case_analysis 1 [get_pins padframe/flash_*pad/DM[1]] +set_case_analysis 0 [get_pins padframe/flash_*pad/DM[0]] +set_case_analysis 0 [get_pins padframe/flash_*pad/INP_DIS] +set_case_analysis 0 [get_pins padframe/flash_io1_pad/DM[2]] +set_case_analysis 0 [get_pins padframe/flash_io1_pad/DM[1]] +set_case_analysis 1 [get_pins padframe/flash_io1_pad/DM[0]] +set_case_analysis 0 [get_pins padframe/flash_io1_pad/OE_N] + +#flash interface input transition from the datasheet +set flash_min_tran 4 +set flash_max_tran 6 +puts "\[INFO\]: Flash interface transition range: $flash_min_tran : $flash_max_tran" +set_input_transition -min $flash_min_tran [get_ports {flash_io1}] +set_input_transition -max $flash_max_tran [get_ports {flash_io1}] + +set flash_min_cap 6 +set flash_max_cap 8 +puts "\[INFO\]: Flash interface cap load range: $flash_min_cap : $flash_max_cap" +set_load -min $min_cap [get_ports {flash_csb flash_clk flash_io0}] +set_load -max $max_cap [get_ports {flash_csb flash_clk flash_io0}] + +set flash_in_delay 4 +set flash_out_delay 4 +puts "\[INFO\]: Flash interface delay: input $flash_in_delay output $flash_out_delay" +set_output_delay $flash_out_delay -clock [get_clocks {clk}] -add_delay [get_ports {flash_csb}] +set_output_delay $flash_out_delay -clock [get_clocks {clk}] -add_delay [get_ports {flash_clk}] +set_output_delay $flash_out_delay -clock [get_clocks {clk}] -add_delay [get_ports {flash_io0}] +set_input_delay $flash_in_delay -clock [get_clocks {clk}] -add_delay [get_ports {flash_io1}] + +# gpio_pad is set as input pad +set_case_analysis 0 [get_pins padframe/gpio_pad/DM[2]] +set_case_analysis 0 [get_pins padframe/gpio_pad/DM[1]] +set_case_analysis 1 [get_pins padframe/gpio_pad/DM[0]] +set_case_analysis 0 [get_pins padframe/gpio_pad/INP_DIS] +set_input_transition -min $min_in_tran [get_ports {gpio}] +set_input_transition -max $max_in_tran [get_ports {gpio}] + +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {gpio}] + +# Maximum Fanout soft constraint +set_max_fanout 18 [current_design] +# synthesis max fanout is 18 + +## FALSE PATHS (ASYNCHRONOUS I/Os) +set_false_path -from [get_ports resetb] +set_false_path -from [get_ports gpio] + +# check ocv table (not provided) set derate 0.0375 puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" set_timing_derate -early [expr 1-$derate] set_timing_derate -late [expr 1+$derate] - -# add max_tran constraint as the default max_tran of the ss hd SCL is 10 so the violations are not caught in ss corners -# apply the constraint to hd cells at the ss corner only -# if {$::env(PROC_CORNER) == "s"} { -# set max_tran 1.5 -# set_max_transition $max_tran [get_pins -of_objects [get_cells -filter {ref_name=~sky130_fd_sc_hd*}]] -# set_max_transition $max_tran [get_pins -of_objects [get_cells */* -filter {ref_name=~sky130_fd_sc_hd*}]] -# set_max_transition $max_tran [get_pins -of_objects [get_cells */*/* -filter {ref_name=~sky130_fd_sc_hd*}]] -# puts "\[INFO\]: Setting maximum transition of HD cells in slow process corner to: $max_tran" -# } -# -filter not supported in PT read_sdc ^ \ No newline at end of file diff --git a/signoff/caravan/primetime/lib/ff/caravan.max.lib b/signoff/caravan/primetime/lib/ff/caravan.max.lib new file mode 100644 index 00000000..179d64ea --- /dev/null +++ b/signoff/caravan/primetime/lib/ff/caravan.max.lib @@ -0,0 +1,4508 @@ + +library("caravan.max") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 05:05:20 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.9500 ; + nom_temperature : -40.0000 ; + operating_conditions( "sky130_fd_sc_hd__ff_1.95v_-40C" ) { + process : 1.0000 ; + voltage : 1.9500 ; + temperature : -40.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__ff_1.95v_-40C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.669067, 4.669067, 4.594390, 4.569499, 4.519714",\ + "5.148621, 5.148621, 5.073945, 5.049053, 4.999268",\ + "5.628174, 5.628174, 5.553498, 5.528606, 5.478821",\ + "6.268858, 6.268858, 6.194182, 6.169290, 6.119505",\ + "6.522691, 6.522691, 6.448015, 6.423123, 6.373338"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.417832, 4.417832, 4.343155, 4.318264, 4.268479",\ + "4.979362, 4.979362, 4.904685, 4.879794, 4.830009",\ + "5.540891, 5.540891, 5.466215, 5.441323, 5.391538",\ + "6.291093, 6.291093, 6.216417, 6.191525, 6.141740",\ + "6.642999, 6.642999, 6.568323, 6.543431, 6.493646"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.090611, 1.090611, 1.127949, 1.165287, 1.239964",\ + "1.261396, 1.261396, 1.298734, 1.336072, 1.410749",\ + "1.432181, 1.432181, 1.469519, 1.506857, 1.581534",\ + "1.660350, 1.660350, 1.697688, 1.735026, 1.809702",\ + "1.831483, 1.831483, 1.868821, 1.906159, 1.980836"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.699070, 0.699070, 0.736408, 0.773746, 0.848423",\ + "0.286403, 0.286403, 0.323741, 0.361079, 0.435755",\ + "-0.126263, -0.126263, -0.088926, -0.051587, 0.023089",\ + "-0.677586, -0.677586, -0.640248, -0.602910, -0.528234",\ + "-0.868455, -0.868455, -0.831117, -0.793779, -0.719102"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.729696, 1.317047, 0.904398, 0.353099, 0.162137",\ + "2.209249, 1.796600, 1.383951, 0.832652, 0.641690",\ + "2.688802, 2.276153, 1.863504, 1.312206, 1.121243",\ + "3.329485, 2.916836, 2.504187, 1.952889, 1.761927",\ + "3.583318, 3.170669, 2.758020, 2.206722, 2.015759"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.157594, 1.744945, 1.332296, 0.780998, 0.590036",\ + "2.719122, 2.306473, 1.893824, 1.342526, 1.151564",\ + "3.280651, 2.868002, 2.455353, 1.904055, 1.713093",\ + "4.030852, 3.618204, 3.205555, 2.654256, 2.463294",\ + "4.382759, 3.970110, 3.557462, 3.006163, 2.815201"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.067096, -0.505581, 0.055934, 0.806118, 1.158025",\ + "-0.896311, -0.334796, 0.226719, 0.976903, 1.328810",\ + "-0.725526, -0.164011, 0.397504, 1.147688, 1.499595",\ + "-0.497357, 0.064158, 0.625673, 1.375857, 1.727764",\ + "-0.326224, 0.235291, 0.796806, 1.546990, 1.898897"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.578260, -1.016745, -0.455230, 0.294954, 0.646861",\ + "-1.990927, -1.429412, -0.867898, -0.117713, 0.234194",\ + "-2.403594, -1.842079, -1.280564, -0.530379, -0.178473",\ + "-2.954917, -2.393402, -1.831887, -1.081703, -0.729796",\ + "-3.145786, -2.584271, -2.022756, -1.272572, -0.920665"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.754749, 4.754749, 4.680073, 4.655181, 4.605396",\ + "5.234310, 5.234310, 5.159634, 5.134742, 5.084957",\ + "5.713870, 5.713870, 5.639194, 5.614302, 5.564517",\ + "6.354563, 6.354563, 6.279886, 6.254994, 6.205210",\ + "6.608396, 6.608396, 6.533719, 6.508827, 6.459043"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.384048, 4.384048, 4.309372, 4.284480, 4.234695",\ + "4.945570, 4.945570, 4.870893, 4.846002, 4.796217",\ + "5.507091, 5.507091, 5.432414, 5.407522, 5.357738",\ + "6.257283, 6.257283, 6.182607, 6.157715, 6.107930",\ + "6.609189, 6.609189, 6.534513, 6.509621, 6.459836"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.553159, 0.553159, 0.590497, 0.627835, 0.702511",\ + "0.723940, 0.723940, 0.761278, 0.798616, 0.873292",\ + "0.894721, 0.894721, 0.932059, 0.969397, 1.044073",\ + "1.122885, 1.122885, 1.160223, 1.197561, 1.272237",\ + "1.294022, 1.294022, 1.331360, 1.368698, 1.443374"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.093127, 0.093127, 0.130465, 0.167803, 0.242480",\ + "-0.319529, -0.319529, -0.282191, -0.244853, -0.170177",\ + "-0.732185, -0.732185, -0.694847, -0.657509, -0.582832",\ + "-1.283495, -1.283495, -1.246157, -1.208819, -1.134142",\ + "-1.474419, -1.474419, -1.437081, -1.399742, -1.325066"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.040579, 1.627930, 1.215281, 0.663983, 0.473021",\ + "2.520141, 2.107492, 1.694843, 1.143544, 0.952582",\ + "2.999701, 2.587052, 2.174403, 1.623104, 1.432142",\ + "3.640393, 3.227744, 2.815095, 2.263797, 2.072835",\ + "3.894227, 3.481578, 3.068929, 2.517630, 2.326668"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.341072, 1.928423, 1.515774, 0.964475, 0.773513",\ + "2.902591, 2.489942, 2.077293, 1.525995, 1.335033",\ + "3.464112, 3.051463, 2.638814, 2.087515, 1.896553",\ + "4.214304, 3.801655, 3.389006, 2.837708, 2.646745",\ + "4.566211, 4.153562, 3.740913, 3.189614, 2.998652"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.253203, -0.691688, -0.130173, 0.620012, 0.971918",\ + "-1.082422, -0.520907, 0.040608, 0.790793, 1.142699",\ + "-0.911641, -0.350126, 0.211389, 0.961574, 1.313480",\ + "-0.683477, -0.121962, 0.439553, 1.189738, 1.541644",\ + "-0.512340, 0.049175, 0.610690, 1.360875, 1.712781"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.830584, -1.269069, -0.707554, 0.042630, 0.394537",\ + "-2.243240, -1.681726, -1.120211, -0.370026, -0.018120",\ + "-2.655896, -2.094381, -1.532866, -0.782682, -0.430775",\ + "-3.207206, -2.645691, -2.084177, -1.333992, -0.982085",\ + "-3.398130, -2.836615, -2.275100, -1.524916, -1.173009"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.822272, 4.822272, 4.747595, 4.722703, 4.672919",\ + "5.301821, 5.301821, 5.227145, 5.202253, 5.152468",\ + "5.781371, 5.781371, 5.706694, 5.681802, 5.632018",\ + "6.422049, 6.422049, 6.347373, 6.322481, 6.272696",\ + "6.675882, 6.675882, 6.601205, 6.576313, 6.526529"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.570874, 4.570874, 4.496197, 4.471305, 4.421521",\ + "5.132408, 5.132408, 5.057731, 5.032839, 4.983055",\ + "5.693941, 5.693941, 5.619264, 5.594372, 5.544588",\ + "6.444147, 6.444147, 6.369470, 6.344578, 6.294794",\ + "6.796054, 6.796054, 6.721378, 6.696486, 6.646701"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.953269, 0.953269, 0.990607, 1.027946, 1.102622",\ + "1.124056, 1.124056, 1.161394, 1.198732, 1.273409",\ + "1.294843, 1.294843, 1.332181, 1.369519, 1.444195",\ + "1.523013, 1.523013, 1.560351, 1.597689, 1.672366",\ + "1.694145, 1.694145, 1.731483, 1.768821, 1.843497"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.718734, 0.718734, 0.756072, 0.793410, 0.868086",\ + "0.306062, 0.306062, 0.343399, 0.380738, 0.455414",\ + "-0.106611, -0.106611, -0.069273, -0.031935, 0.042742",\ + "-0.657942, -0.657942, -0.620604, -0.583266, -0.508589",\ + "-0.848782, -0.848782, -0.811444, -0.774106, -0.699429"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.845537, 1.432888, 1.020239, 0.468941, 0.277979",\ + "2.325087, 1.912438, 1.499789, 0.948490, 0.757528",\ + "2.804636, 2.391988, 1.979339, 1.428040, 1.237078",\ + "3.445313, 3.032665, 2.620016, 2.068717, 1.877755",\ + "3.699147, 3.286498, 2.873849, 2.322550, 2.131588"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.968898, 1.556249, 1.143600, 0.592302, 0.401340",\ + "2.530431, 2.117782, 1.705133, 1.153834, 0.962872",\ + "3.091964, 2.679315, 2.266666, 1.715367, 1.524405",\ + "3.842170, 3.429521, 3.016872, 2.465574, 2.274612",\ + "4.194077, 3.781429, 3.368780, 2.817481, 2.626519"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.182898, -0.621383, -0.059868, 0.690316, 1.042223",\ + "-1.012111, -0.450596, 0.110919, 0.861103, 1.213010",\ + "-0.841325, -0.279810, 0.281705, 1.031890, 1.383796",\ + "-0.613154, -0.051639, 0.509876, 1.260061, 1.611967",\ + "-0.442022, 0.119493, 0.681008, 1.431192, 1.783099"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.506284, -0.944769, -0.383254, 0.366930, 0.718837",\ + "-1.918956, -1.357441, -0.795926, -0.045742, 0.306165",\ + "-2.331629, -1.770114, -1.208599, -0.458415, -0.106508",\ + "-2.882959, -2.321444, -1.759929, -1.009745, -0.657838",\ + "-3.073799, -2.512284, -1.950769, -1.200585, -0.848678"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.761643, 4.761643, 4.686967, 4.662075, 4.612290",\ + "5.241217, 5.241217, 5.166540, 5.141648, 5.091864",\ + "5.720791, 5.720791, 5.646114, 5.621222, 5.571438",\ + "6.361501, 6.361501, 6.286824, 6.261932, 6.212148",\ + "6.615334, 6.615334, 6.540657, 6.515765, 6.465981"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.519802, 4.519802, 4.445126, 4.420234, 4.370449",\ + "5.081310, 5.081310, 5.006634, 4.981742, 4.931957",\ + "5.642819, 5.642819, 5.568143, 5.543251, 5.493466",\ + "6.392994, 6.392994, 6.318317, 6.293426, 6.243641",\ + "6.744900, 6.744900, 6.670223, 6.645331, 6.595547"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.675205, 0.675205, 0.712543, 0.749881, 0.824558",\ + "0.845980, 0.845980, 0.883318, 0.920656, 0.995333",\ + "1.016755, 1.016755, 1.054093, 1.091431, 1.166108",\ + "1.244911, 1.244911, 1.282248, 1.319587, 1.394263",\ + "1.416054, 1.416054, 1.453392, 1.490730, 1.565407"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.546129, 0.546129, 0.583467, 0.620805, 0.695482",\ + "0.133491, 0.133491, 0.170828, 0.208167, 0.282843",\ + "-0.279148, -0.279148, -0.241810, -0.204472, -0.129795",\ + "-0.830433, -0.830433, -0.793095, -0.755757, -0.681080",\ + "-1.021448, -1.021448, -0.984110, -0.946772, -0.872096"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.905624, 1.492975, 1.080326, 0.529027, 0.338065",\ + "2.385197, 1.972548, 1.559899, 1.008600, 0.817638",\ + "2.864769, 2.452121, 2.039471, 1.488173, 1.297211",\ + "3.505480, 3.092831, 2.680182, 2.128883, 1.937921",\ + "3.759313, 3.346664, 2.934015, 2.382716, 2.191754"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.113481, 1.700832, 1.288182, 0.736884, 0.545922",\ + "2.674988, 2.262339, 1.849690, 1.298392, 1.107430",\ + "3.236496, 2.823848, 2.411198, 1.859900, 1.668938",\ + "3.986670, 3.574022, 3.161372, 2.610074, 2.419112",\ + "4.338576, 3.925927, 3.513278, 2.961980, 2.771018"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.135308, -0.573793, -0.012278, 0.737907, 1.089813",\ + "-0.964533, -0.403018, 0.158497, 0.908682, 1.260588",\ + "-0.793758, -0.232243, 0.329272, 1.079457, 1.431363",\ + "-0.565602, -0.004087, 0.557428, 1.307612, 1.659519",\ + "-0.394459, 0.167056, 0.728571, 1.478755, 1.830662"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.367781, -0.806266, -0.244751, 0.505433, 0.857340",\ + "-1.780420, -1.218905, -0.657390, 0.092794, 0.444701",\ + "-2.193058, -1.631543, -1.070028, -0.319844, 0.032063",\ + "-2.744343, -2.182828, -1.621313, -0.871129, -0.519222",\ + "-2.935358, -2.373843, -1.812328, -1.062144, -0.710237"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.659899, 4.659899, 4.585222, 4.560330, 4.510546",\ + "5.139483, 5.139483, 5.064807, 5.039915, 4.990130",\ + "5.619065, 5.619065, 5.544389, 5.519497, 5.469712",\ + "6.259791, 6.259791, 6.185115, 6.160223, 6.110438",\ + "6.513624, 6.513624, 6.438948, 6.414056, 6.364271"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.415220, 4.415220, 4.340544, 4.315652, 4.265867",\ + "4.976723, 4.976723, 4.902046, 4.877154, 4.827370",\ + "5.538226, 5.538226, 5.463550, 5.438658, 5.388873",\ + "6.288395, 6.288395, 6.213718, 6.188827, 6.139042",\ + "6.640301, 6.640301, 6.565624, 6.540732, 6.490948"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.728913, 0.728913, 0.766251, 0.803589, 0.878265",\ + "0.899697, 0.899697, 0.937035, 0.974373, 1.049049",\ + "1.070480, 1.070480, 1.107818, 1.145157, 1.219833",\ + "1.298648, 1.298648, 1.335986, 1.373324, 1.448001",\ + "1.469777, 1.469777, 1.507115, 1.544453, 1.619129"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.148010, 0.148010, 0.185348, 0.222686, 0.297363",\ + "-0.264685, -0.264685, -0.227347, -0.190009, -0.115333",\ + "-0.677381, -0.677381, -0.640043, -0.602705, -0.528029",\ + "-1.228742, -1.228742, -1.191404, -1.154066, -1.079390",\ + "-1.420186, -1.420186, -1.382848, -1.345510, -1.270834"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.980613, 1.567964, 1.155315, 0.604017, 0.413054",\ + "2.460196, 2.047547, 1.634898, 1.083600, 0.892637",\ + "2.939780, 2.527131, 2.114481, 1.563183, 1.372221",\ + "3.580504, 3.167855, 2.755206, 2.203907, 2.012945",\ + "3.834338, 3.421689, 3.009040, 2.457741, 2.266779"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.487554, 2.074905, 1.662256, 1.110957, 0.919995",\ + "3.049057, 2.636408, 2.223759, 1.672460, 1.481498",\ + "3.610559, 3.197910, 2.785261, 2.233963, 2.043000",\ + "4.360726, 3.948078, 3.535429, 2.984130, 2.793168",\ + "4.712633, 4.299984, 3.887335, 3.336036, 3.145074"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.113205, -0.551690, 0.009825, 0.760009, 1.111916",\ + "-0.942421, -0.380906, 0.180609, 0.930793, 1.282700",\ + "-0.771637, -0.210122, 0.351393, 1.101577, 1.453484",\ + "-0.543470, 0.018045, 0.579560, 1.329745, 1.681651",\ + "-0.372341, 0.189174, 0.750689, 1.500873, 1.852780"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.829774, -1.268259, -0.706744, 0.043440, 0.395347",\ + "-2.242470, -1.680955, -1.119440, -0.369255, -0.017349",\ + "-2.655166, -2.093651, -1.532136, -0.781951, -0.430045",\ + "-3.206527, -2.645012, -2.083497, -1.333312, -0.981406",\ + "-3.397971, -2.836456, -2.274941, -1.524756, -1.172850"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.745144, 4.745144, 4.670467, 4.645576, 4.595791",\ + "5.224724, 5.224724, 5.150047, 5.125155, 5.075371",\ + "5.704302, 5.704302, 5.629625, 5.604733, 5.554949",\ + "6.345019, 6.345019, 6.270343, 6.245451, 6.195666",\ + "6.598852, 6.598852, 6.524176, 6.499284, 6.449499"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.474428, 4.474428, 4.399752, 4.374860, 4.325075",\ + "5.035933, 5.035933, 4.961256, 4.936364, 4.886580",\ + "5.597435, 5.597435, 5.522758, 5.497867, 5.448082",\ + "6.347602, 6.347602, 6.272925, 6.248034, 6.198249",\ + "6.699507, 6.699507, 6.624830, 6.599938, 6.550154"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.839622, 0.839622, 0.876960, 0.914298, 0.988974",\ + "1.010398, 1.010398, 1.047736, 1.085074, 1.159750",\ + "1.181173, 1.181173, 1.218511, 1.255850, 1.330526",\ + "1.409330, 1.409330, 1.446668, 1.484006, 1.558682",\ + "1.580471, 1.580471, 1.617809, 1.655147, 1.729823"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.455590, 0.455590, 0.492928, 0.530266, 0.604942",\ + "0.042944, 0.042944, 0.080282, 0.117620, 0.192296",\ + "-0.369702, -0.369702, -0.332364, -0.295026, -0.220350",\ + "-0.920996, -0.920996, -0.883658, -0.846320, -0.771644",\ + "-1.112141, -1.112141, -1.074803, -1.037465, -0.962788"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.833947, 1.421298, 1.008649, 0.457350, 0.266388",\ + "2.313525, 1.900876, 1.488227, 0.936928, 0.745966",\ + "2.793103, 2.380454, 1.967805, 1.416507, 1.225545",\ + "3.433820, 3.021171, 2.608522, 2.057224, 1.866262",\ + "3.687653, 3.275004, 2.862355, 2.311057, 2.120095"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.140267, 1.727618, 1.314970, 0.763671, 0.572709",\ + "2.701770, 2.289121, 1.876472, 1.325174, 1.134212",\ + "3.263272, 2.850623, 2.437974, 1.886676, 1.695714",\ + "4.013440, 3.600791, 3.188142, 2.636844, 2.445882",\ + "4.365346, 3.952698, 3.540049, 2.988750, 2.797788"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.977951, -0.416436, 0.145079, 0.895263, 1.247170",\ + "-0.807175, -0.245660, 0.315855, 1.066039, 1.417946",\ + "-0.636399, -0.074884, 0.486630, 1.236815, 1.588722",\ + "-0.408243, 0.153272, 0.714787, 1.464972, 1.816878",\ + "-0.237102, 0.324413, 0.885928, 1.636112, 1.988019"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.442559, -0.881044, -0.319529, 0.430656, 0.782562",\ + "-1.855204, -1.293689, -0.732175, 0.018010, 0.369916",\ + "-2.267850, -1.706335, -1.144820, -0.394636, -0.042729",\ + "-2.819144, -2.257629, -1.696115, -0.945930, -0.594023",\ + "-3.010289, -2.448774, -1.887259, -1.137074, -0.785168"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.064470, 5.064470, 4.989793, 4.964901, 4.915117",\ + "5.544065, 5.544065, 5.469388, 5.444497, 5.394712",\ + "6.023658, 6.023658, 5.948982, 5.924090, 5.874305",\ + "6.664397, 6.664397, 6.589720, 6.564828, 6.515044",\ + "6.918231, 6.918231, 6.843554, 6.818662, 6.768878"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.773939, 4.773939, 4.699262, 4.674370, 4.624586",\ + "5.335443, 5.335443, 5.260767, 5.235875, 5.186090",\ + "5.896947, 5.896947, 5.822271, 5.797379, 5.747594",\ + "6.647116, 6.647116, 6.572440, 6.547548, 6.497763",\ + "6.999022, 6.999022, 6.924345, 6.899454, 6.849669"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.641153, 0.641153, 0.678491, 0.715829, 0.790506",\ + "0.811953, 0.811953, 0.849291, 0.886630, 0.961306",\ + "0.982754, 0.982754, 1.020092, 1.057430, 1.132106",\ + "1.210943, 1.210943, 1.248281, 1.285619, 1.360295",\ + "1.382046, 1.382046, 1.419384, 1.456722, 1.531399"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.065375, 0.065375, 0.102713, 0.140052, 0.214728",\ + "-0.347419, -0.347419, -0.310081, -0.272743, -0.198066",\ + "-0.760213, -0.760213, -0.722875, -0.685537, -0.610860",\ + "-1.311707, -1.311707, -1.274369, -1.237030, -1.162354",\ + "-1.503747, -1.503747, -1.466408, -1.429070, -1.354394"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.303672, 1.891023, 1.478374, 0.927075, 0.736113",\ + "2.783267, 2.370617, 1.957968, 1.406670, 1.215708",\ + "3.262860, 2.850211, 2.437562, 1.886263, 1.695301",\ + "3.903598, 3.490949, 3.078300, 2.527001, 2.336039",\ + "4.157432, 3.744782, 3.332133, 2.780835, 2.589873"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.586829, 2.174180, 1.761530, 1.210232, 1.019270",\ + "3.148332, 2.735683, 2.323034, 1.771735, 1.580773",\ + "3.709837, 3.297188, 2.884539, 2.333241, 2.142278",\ + "4.460007, 4.047358, 3.634709, 3.083410, 2.892448",\ + "4.811913, 4.399264, 3.986615, 3.435316, 3.244354"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.267077, -0.705562, -0.144047, 0.606137, 0.958044",\ + "-1.096277, -0.534762, 0.026753, 0.776937, 1.128844",\ + "-0.925477, -0.363962, 0.197553, 0.947737, 1.299644",\ + "-0.697288, -0.135773, 0.425742, 1.175926, 1.527833",\ + "-0.526184, 0.035331, 0.596845, 1.347030, 1.698936"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.982071, -1.420556, -0.859041, -0.108856, 0.243050",\ + "-2.394865, -1.833350, -1.271836, -0.521651, -0.169744",\ + "-2.807659, -2.246145, -1.684630, -0.934445, -0.582539",\ + "-3.359153, -2.797638, -2.236123, -1.485939, -1.134032",\ + "-3.551193, -2.989679, -2.428164, -1.677979, -1.326073"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.115178, 6.115178, 6.040502, 6.015610, 5.965825",\ + "6.594763, 6.594763, 6.520086, 6.495194, 6.445410",\ + "7.074348, 7.074348, 6.999672, 6.974780, 6.924995",\ + "7.715074, 7.715074, 7.640397, 7.615505, 7.565721",\ + "7.968906, 7.968906, 7.894230, 7.869338, 7.819553"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.761594, 5.761594, 5.686917, 5.662025, 5.612241",\ + "6.323096, 6.323096, 6.248420, 6.223528, 6.173743",\ + "6.884599, 6.884599, 6.809922, 6.785030, 6.735246",\ + "7.634767, 7.634767, 7.560090, 7.535198, 7.485414",\ + "7.986670, 7.986670, 7.911994, 7.887102, 7.837317"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.174281, 0.174281, 0.211619, 0.248957, 0.323634",\ + "0.345068, 0.345068, 0.382406, 0.419744, 0.494420",\ + "0.515854, 0.515854, 0.553191, 0.590530, 0.665206",\ + "0.744024, 0.744024, 0.781362, 0.818700, 0.893377",\ + "0.915149, 0.915149, 0.952487, 0.989825, 1.064502"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.419637, -0.419637, -0.382300, -0.344961, -0.270285",\ + "-0.832347, -0.832347, -0.795009, -0.757671, -0.682994",\ + "-1.245056, -1.245056, -1.207718, -1.170380, -1.095703",\ + "-1.796437, -1.796437, -1.759099, -1.721761, -1.647084",\ + "-1.987965, -1.987965, -1.950627, -1.913289, -1.838612"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.013809, 2.601160, 2.188511, 1.637212, 1.446250",\ + "3.493394, 3.080745, 2.668096, 2.116797, 1.925835",\ + "3.972980, 3.560330, 3.147681, 2.596383, 2.405421",\ + "4.613706, 4.201056, 3.788408, 3.237109, 3.046147",\ + "4.867538, 4.454889, 4.042240, 3.490942, 3.299980"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.495155, 3.082506, 2.669857, 2.118558, 1.927596",\ + "4.056659, 3.644010, 3.231361, 2.680062, 2.489100",\ + "4.618162, 4.205513, 3.792864, 3.241565, 3.050603",\ + "5.368330, 4.955680, 4.543032, 3.991733, 3.800771",\ + "5.720235, 5.307586, 4.894938, 4.343638, 4.152677"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.670241, -1.108726, -0.547211, 0.202974, 0.554880",\ + "-1.499454, -0.937939, -0.376425, 0.373760, 0.725667",\ + "-1.328668, -0.767154, -0.205639, 0.544546, 0.896452",\ + "-1.100498, -0.538983, 0.022532, 0.772716, 1.124623",\ + "-0.929373, -0.367858, 0.193657, 0.943841, 1.295748"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.399465, -1.837950, -1.276435, -0.526251, -0.174344",\ + "-2.812174, -2.250659, -1.689144, -0.938960, -0.587053",\ + "-3.224883, -2.663368, -2.101854, -1.351669, -0.999763",\ + "-3.776264, -3.214749, -2.653234, -1.903050, -1.551143",\ + "-3.967792, -3.406277, -2.844763, -2.094578, -1.742671"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.800011, 4.800011, 4.725335, 4.700443, 4.650658",\ + "5.279593, 5.279593, 5.204916, 5.180025, 5.130240",\ + "5.759175, 5.759175, 5.684498, 5.659606, 5.609822",\ + "6.399897, 6.399897, 6.325221, 6.300329, 6.250544",\ + "6.653729, 6.653729, 6.579052, 6.554161, 6.504376"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.455433, 4.455433, 4.380757, 4.355865, 4.306080",\ + "5.016938, 5.016938, 4.942261, 4.917369, 4.867585",\ + "5.578439, 5.578439, 5.503763, 5.478871, 5.429086",\ + "6.328608, 6.328608, 6.253932, 6.229040, 6.179255",\ + "6.680513, 6.680513, 6.605836, 6.580945, 6.531160"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.435591, 0.435591, 0.472929, 0.510267, 0.584944",\ + "0.606372, 0.606372, 0.643709, 0.681048, 0.755724",\ + "0.777152, 0.777152, 0.814490, 0.851828, 0.926505",\ + "1.005315, 1.005315, 1.042653, 1.079991, 1.154668",\ + "1.176449, 1.176449, 1.213787, 1.251125, 1.325802"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.122434, 0.122434, 0.159772, 0.197110, 0.271787",\ + "-0.290240, -0.290240, -0.252902, -0.215564, -0.140887",\ + "-0.702915, -0.702915, -0.665577, -0.628239, -0.553563",\ + "-1.254248, -1.254248, -1.216910, -1.179572, -1.104895",\ + "-1.445565, -1.445565, -1.408227, -1.370889, -1.296213"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.407031, 1.994382, 1.581733, 1.030434, 0.839472",\ + "2.886612, 2.473963, 2.061314, 1.510015, 1.319053",\ + "3.366193, 2.953544, 2.540895, 1.989597, 1.798635",\ + "4.006914, 3.594265, 3.181616, 2.630317, 2.439355",\ + "4.260746, 3.848097, 3.435448, 2.884149, 2.693187"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.625134, 2.212485, 1.799836, 1.248538, 1.057576",\ + "3.186637, 2.773988, 2.361339, 1.810041, 1.619079",\ + "3.748140, 3.335491, 2.922842, 2.371543, 2.180581",\ + "4.498307, 4.085659, 3.673010, 3.121711, 2.930749",\ + "4.850214, 4.437565, 4.024917, 3.473618, 3.282656"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.722093, -1.160578, -0.599063, 0.151122, 0.503028",\ + "-1.551312, -0.989797, -0.428282, 0.321902, 0.673809",\ + "-1.380532, -0.819016, -0.257502, 0.492683, 0.844589",\ + "-1.152369, -0.590854, -0.029339, 0.720846, 1.072752",\ + "-0.981235, -0.419720, 0.141795, 0.891980, 1.243886"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.154916, -1.593400, -1.031886, -0.281701, 0.070205",\ + "-2.567590, -2.006075, -1.444560, -0.694376, -0.342469",\ + "-2.980265, -2.418750, -1.857235, -1.107050, -0.755144",\ + "-3.531597, -2.970082, -2.408567, -1.658383, -1.306476",\ + "-3.722915, -3.161400, -2.599885, -1.849700, -1.497794"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.792108, 4.792108, 4.717431, 4.692539, 4.642754",\ + "5.271689, 5.271689, 5.197013, 5.172121, 5.122336",\ + "5.751271, 5.751271, 5.676595, 5.651703, 5.601918",\ + "6.391993, 6.391993, 6.317316, 6.292424, 6.242639",\ + "6.645825, 6.645825, 6.571149, 6.546257, 6.496472"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.404642, 4.404642, 4.329966, 4.305074, 4.255289",\ + "4.966146, 4.966146, 4.891469, 4.866577, 4.816792",\ + "5.527649, 5.527649, 5.452972, 5.428081, 5.378295",\ + "6.277818, 6.277818, 6.203141, 6.178249, 6.128464",\ + "6.629723, 6.629723, 6.555046, 6.530154, 6.480369"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.049520, 0.049520, 0.086858, 0.124196, 0.198873",\ + "0.220301, 0.220301, 0.257639, 0.294977, 0.369653",\ + "0.391081, 0.391081, 0.428419, 0.465757, 0.540433",\ + "0.619244, 0.619244, 0.656582, 0.693920, 0.768596",\ + "0.790378, 0.790378, 0.827716, 0.865054, 0.939730"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.317267, -0.317267, -0.279929, -0.242591, -0.167915",\ + "-0.729939, -0.729939, -0.692601, -0.655263, -0.580587",\ + "-1.142612, -1.142612, -1.105274, -1.067936, -0.993260",\ + "-1.693944, -1.693944, -1.656606, -1.619268, -1.544592",\ + "-1.885253, -1.885253, -1.847915, -1.810577, -1.735901"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.978272, 2.565623, 2.152974, 1.601676, 1.410713",\ + "3.457853, 3.045204, 2.632555, 2.081257, 1.890295",\ + "3.937436, 3.524787, 3.112138, 2.560839, 2.369877",\ + "4.578156, 4.165506, 3.752858, 3.201559, 3.010597",\ + "4.831989, 4.419340, 4.006691, 3.455392, 3.264430"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.972139, 2.559490, 2.146841, 1.595542, 1.404580",\ + "3.533641, 3.120992, 2.708344, 2.157045, 1.966083",\ + "4.095144, 3.682495, 3.269846, 2.718548, 2.527586",\ + "4.845313, 4.432664, 4.020015, 3.468717, 3.277755",\ + "5.197219, 4.784570, 4.371921, 3.820622, 3.629660"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.756841, -1.195326, -0.633811, 0.116373, 0.468280",\ + "-1.586061, -1.024546, -0.463031, 0.287154, 0.639060",\ + "-1.415280, -0.853765, -0.292251, 0.457934, 0.809840",\ + "-1.187118, -0.625603, -0.064088, 0.686097, 1.038003",\ + "-1.015984, -0.454469, 0.107046, 0.857231, 1.209137"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.240978, -1.679463, -1.117949, -0.367764, -0.015857",\ + "-2.653651, -2.092136, -1.530621, -0.780437, -0.428530",\ + "-3.066324, -2.504809, -1.943294, -1.193110, -0.841203",\ + "-3.617656, -3.056141, -2.494626, -1.744442, -1.392535",\ + "-3.808965, -3.247450, -2.685935, -1.935750, -1.583844"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.887179, 4.887179, 4.812502, 4.787611, 4.737826",\ + "5.366759, 5.366759, 5.292082, 5.267190, 5.217406",\ + "5.846340, 5.846340, 5.771663, 5.746771, 5.696987",\ + "6.487058, 6.487058, 6.412382, 6.387490, 6.337705",\ + "6.740892, 6.740892, 6.666215, 6.641324, 6.591539"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.575612, 4.575612, 4.500935, 4.476043, 4.426259",\ + "5.137115, 5.137115, 5.062438, 5.037547, 4.987762",\ + "5.698617, 5.698617, 5.623941, 5.599049, 5.549264",\ + "6.448785, 6.448785, 6.374109, 6.349217, 6.299432",\ + "6.800691, 6.800691, 6.726015, 6.701123, 6.651338"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.382447, -0.382447, -0.345110, -0.307771, -0.233095",\ + "-0.211670, -0.211670, -0.174332, -0.136994, -0.062317",\ + "-0.040892, -0.040892, -0.003554, 0.033784, 0.108461",\ + "0.187268, 0.187268, 0.224605, 0.261944, 0.336620",\ + "0.358405, 0.358405, 0.395743, 0.433081, 0.507758"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.557290, -0.557290, -0.519952, -0.482614, -0.407937",\ + "-0.969948, -0.969948, -0.932610, -0.895272, -0.820595",\ + "-1.382605, -1.382605, -1.345268, -1.307929, -1.233253",\ + "-1.933916, -1.933916, -1.896579, -1.859240, -1.784564",\ + "-2.125134, -2.125134, -2.087796, -2.050458, -1.975782"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.254573, 2.841924, 2.429276, 1.877977, 1.687015",\ + "3.734152, 3.321503, 2.908854, 2.357556, 2.166594",\ + "4.213732, 3.801083, 3.388434, 2.837136, 2.646174",\ + "4.854451, 4.441802, 4.029153, 3.477855, 3.286893",\ + "5.108284, 4.695636, 4.282987, 3.731688, 3.540726"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.955649, 3.543000, 3.130352, 2.579053, 2.388091",\ + "4.517153, 4.104504, 3.691855, 3.140556, 2.949594",\ + "5.078656, 4.666007, 4.253358, 3.702060, 3.511098",\ + "5.828823, 5.416174, 5.003525, 4.452227, 4.261265",\ + "6.180730, 5.768081, 5.355432, 4.804133, 4.613172"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.518075, -1.956560, -1.395045, -0.644860, -0.292954",\ + "-2.347297, -1.785782, -1.224267, -0.474082, -0.122176",\ + "-2.176519, -1.615004, -1.053489, -0.303305, 0.048602",\ + "-1.948359, -1.386844, -0.825329, -0.075145, 0.276762",\ + "-1.777221, -1.215706, -0.654191, 0.095993, 0.447900"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.782374, -2.220859, -1.659344, -0.909160, -0.557253",\ + "-3.195032, -2.633517, -2.072002, -1.321817, -0.969911",\ + "-3.607689, -3.046175, -2.484660, -1.734475, -1.382569",\ + "-4.159000, -3.597486, -3.035971, -2.285786, -1.933880",\ + "-4.350218, -3.788703, -3.227189, -2.477004, -2.125098"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.849422, 4.849422, 4.774745, 4.749853, 4.700068",\ + "5.329000, 5.329000, 5.254323, 5.229431, 5.179646",\ + "5.808578, 5.808578, 5.733902, 5.709010, 5.659225",\ + "6.449297, 6.449297, 6.374620, 6.349729, 6.299944",\ + "6.703130, 6.703130, 6.628453, 6.603561, 6.553777"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.593164, 4.593164, 4.518488, 4.493596, 4.443811",\ + "5.154668, 5.154668, 5.079991, 5.055099, 5.005315",\ + "5.716170, 5.716170, 5.641494, 5.616602, 5.566817",\ + "6.466337, 6.466337, 6.391661, 6.366769, 6.316984",\ + "6.818243, 6.818243, 6.743567, 6.718675, 6.668890"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.136653, -0.136653, -0.099315, -0.061977, 0.012700",\ + "0.034124, 0.034124, 0.071462, 0.108800, 0.183477",\ + "0.204901, 0.204901, 0.242238, 0.279577, 0.354253",\ + "0.433058, 0.433058, 0.470396, 0.507734, 0.582411",\ + "0.604198, 0.604198, 0.641536, 0.678874, 0.753551"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.411255, -0.411255, -0.373917, -0.336579, -0.261902",\ + "-0.823905, -0.823905, -0.786567, -0.749229, -0.674552",\ + "-1.236555, -1.236555, -1.199217, -1.161879, -1.087202",\ + "-1.787855, -1.787855, -1.750517, -1.713179, -1.638502",\ + "-1.979022, -1.979022, -1.941684, -1.904346, -1.829669"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.805271, 2.392622, 1.979973, 1.428674, 1.237712",\ + "3.284850, 2.872201, 2.459552, 1.908253, 1.717291",\ + "3.764429, 3.351780, 2.939131, 2.387832, 2.196870",\ + "4.405147, 3.992498, 3.579849, 3.028550, 2.837588",\ + "4.658979, 4.246330, 3.833681, 3.282383, 3.091421"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.215613, 2.802964, 2.390315, 1.839017, 1.648055",\ + "3.777115, 3.364466, 2.951817, 2.400519, 2.209557",\ + "4.338617, 3.925968, 3.513319, 2.962021, 2.771059",\ + "5.088785, 4.676136, 4.263487, 3.712188, 3.521226",\ + "5.440691, 5.028042, 4.615393, 4.064095, 3.873132"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.947166, -1.385651, -0.824136, -0.073951, 0.277955",\ + "-1.776389, -1.214874, -0.653359, 0.096826, 0.448732",\ + "-1.605612, -1.044097, -0.482583, 0.267602, 0.619509",\ + "-1.377455, -0.815940, -0.254425, 0.495759, 0.847666",\ + "-1.206315, -0.644800, -0.083285, 0.666899, 1.018806"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.325165, -1.763650, -1.202136, -0.451951, -0.100044",\ + "-2.737815, -2.176300, -1.614785, -0.864601, -0.512694",\ + "-3.150465, -2.588950, -2.027435, -1.277250, -0.925344",\ + "-3.701765, -3.140250, -2.578735, -1.828550, -1.476644",\ + "-3.892931, -3.331417, -2.769902, -2.019717, -1.667811"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.475907, 4.475907, 4.401230, 4.376338, 4.326554",\ + "4.955489, 4.955489, 4.880812, 4.855920, 4.806136",\ + "5.435070, 5.435070, 5.360393, 5.335501, 5.285717",\ + "6.075789, 6.075789, 6.001112, 5.976221, 5.926436",\ + "6.329622, 6.329622, 6.254945, 6.230053, 6.180269"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.269116, 4.269116, 4.194439, 4.169548, 4.119763",\ + "4.830620, 4.830620, 4.755944, 4.731052, 4.681267",\ + "5.392123, 5.392123, 5.317446, 5.292554, 5.242770",\ + "6.142292, 6.142292, 6.067615, 6.042723, 5.992939",\ + "6.494197, 6.494197, 6.419521, 6.394629, 6.344844"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.403674, -0.403674, -0.366336, -0.328998, -0.254321",\ + "-0.232895, -0.232895, -0.195557, -0.158219, -0.083543",\ + "-0.062116, -0.062116, -0.024778, 0.012560, 0.087236",\ + "0.166045, 0.166045, 0.203383, 0.240721, 0.315397",\ + "0.337181, 0.337181, 0.374519, 0.411857, 0.486533"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.792093, -0.792093, -0.754755, -0.717416, -0.642740",\ + "-1.204756, -1.204756, -1.167418, -1.130080, -1.055404",\ + "-1.617421, -1.617421, -1.580083, -1.542745, -1.468068",\ + "-2.168741, -2.168741, -2.131403, -2.094065, -2.019388",\ + "-2.359997, -2.359997, -2.322659, -2.285320, -2.210644"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.012274, 2.599625, 2.186975, 1.635677, 1.444715",\ + "3.491853, 3.079205, 2.666555, 2.115257, 1.924295",\ + "3.971434, 3.558785, 3.146136, 2.594838, 2.403876",\ + "4.612153, 4.199504, 3.786855, 3.235556, 3.044594",\ + "4.865986, 4.453337, 4.040688, 3.489389, 3.298427"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.587764, 3.175115, 2.762465, 2.211167, 2.020205",\ + "4.149266, 3.736618, 3.323968, 2.772670, 2.581708",\ + "4.710770, 4.298120, 3.885471, 3.334173, 3.143211",\ + "5.460937, 5.048287, 4.635638, 4.084340, 3.893378",\ + "5.812842, 5.400194, 4.987545, 4.436246, 4.245284"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.245792, -1.684277, -1.122762, -0.372577, -0.020671",\ + "-2.075013, -1.513498, -0.951983, -0.201798, 0.150108",\ + "-1.904234, -1.342719, -0.781204, -0.031020, 0.320887",\ + "-1.676073, -1.114558, -0.553043, 0.197141, 0.549048",\ + "-1.504937, -0.943422, -0.381907, 0.368278, 0.720184"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.769877, -2.208362, -1.646847, -0.896662, -0.544756",\ + "-3.182541, -2.621026, -2.059511, -1.309326, -0.957420",\ + "-3.595205, -3.033690, -2.472175, -1.721991, -1.370084",\ + "-4.146525, -3.585010, -3.023495, -2.273311, -1.921404",\ + "-4.337781, -3.776266, -3.214751, -2.464566, -2.112660"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.595220, 4.595220, 4.520543, 4.495651, 4.445867",\ + "5.074790, 5.074790, 5.000113, 4.975222, 4.925437",\ + "5.554359, 5.554359, 5.479683, 5.454791, 5.405006",\ + "6.195065, 6.195065, 6.120388, 6.095496, 6.045712",\ + "6.448897, 6.448897, 6.374221, 6.349329, 6.299544"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.357309, 4.357309, 4.282633, 4.257741, 4.207956",\ + "4.918822, 4.918822, 4.844146, 4.819254, 4.769469",\ + "5.480333, 5.480333, 5.405657, 5.380765, 5.330980",\ + "6.230514, 6.230514, 6.155837, 6.130945, 6.081161",\ + "6.582419, 6.582419, 6.507743, 6.482851, 6.433066"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.066122, 1.066122, 1.103460, 1.140798, 1.215475",\ + "1.236899, 1.236899, 1.274237, 1.311575, 1.386251",\ + "1.407676, 1.407676, 1.445014, 1.482352, 1.557028",\ + "1.635833, 1.635833, 1.673171, 1.710509, 1.785186",\ + "1.806975, 1.806975, 1.844313, 1.881651, 1.956327"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.670795, 0.670795, 0.708133, 0.745471, 0.820148",\ + "0.258152, 0.258152, 0.295490, 0.332828, 0.407505",\ + "-0.154491, -0.154491, -0.117154, -0.079815, -0.005139",\ + "-0.705783, -0.705783, -0.668445, -0.631107, -0.556430",\ + "-0.896772, -0.896772, -0.859435, -0.822096, -0.747420"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.651498, 1.238849, 0.826200, 0.274901, 0.083939",\ + "2.131068, 1.718419, 1.305770, 0.754471, 0.563509",\ + "2.610637, 2.197988, 1.785339, 1.234040, 1.043078",\ + "3.251341, 2.838692, 2.426043, 1.874745, 1.683783",\ + "3.505174, 3.092525, 2.679876, 2.128577, 1.937615"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.057364, 1.644716, 1.232067, 0.680768, 0.489806",\ + "2.618876, 2.206227, 1.793578, 1.242279, 1.051317",\ + "3.180387, 2.767738, 2.355089, 1.803790, 1.612828",\ + "3.930566, 3.517917, 3.105268, 2.553969, 2.363007",\ + "4.282472, 3.869823, 3.457174, 2.905875, 2.714913"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.091558, -0.530043, 0.031472, 0.781657, 1.133563",\ + "-0.920781, -0.359266, 0.202249, 0.952433, 1.304340",\ + "-0.750005, -0.188489, 0.373025, 1.123210, 1.475116",\ + "-0.521847, 0.039669, 0.601183, 1.351368, 1.703274",\ + "-0.350705, 0.210810, 0.772325, 1.522509, 1.874416"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.606554, -1.045039, -0.483524, 0.266660, 0.618567",\ + "-2.019198, -1.457683, -0.896168, -0.145983, 0.205923",\ + "-2.431841, -1.870326, -1.308811, -0.558627, -0.206720",\ + "-2.983132, -2.421617, -1.860102, -1.109918, -0.758011",\ + "-3.174122, -2.612607, -2.051092, -1.300907, -0.949001"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.509141, 4.509141, 4.434465, 4.409573, 4.359788",\ + "4.988721, 4.988721, 4.914045, 4.889153, 4.839368",\ + "5.468298, 5.468298, 5.393622, 5.368730, 5.318945",\ + "6.109014, 6.109014, 6.034338, 6.009446, 5.959661",\ + "6.362847, 6.362847, 6.288170, 6.263278, 6.213494"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.291470, 4.291470, 4.216794, 4.191902, 4.142117",\ + "4.852973, 4.852973, 4.778297, 4.753405, 4.703620",\ + "5.414476, 5.414476, 5.339799, 5.314908, 5.265123",\ + "6.164644, 6.164644, 6.089967, 6.065075, 6.015291",\ + "6.516549, 6.516549, 6.441872, 6.416980, 6.367196"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.187334, 1.187334, 1.224672, 1.262010, 1.336686",\ + "1.358108, 1.358108, 1.395446, 1.432784, 1.507460",\ + "1.528882, 1.528882, 1.566220, 1.603558, 1.678234",\ + "1.757036, 1.757036, 1.794374, 1.831712, 1.906388",\ + "1.928179, 1.928179, 1.965517, 2.002856, 2.077532"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.008674, 1.008674, 1.046012, 1.083350, 1.158026",\ + "0.596039, 0.596039, 0.633377, 0.670715, 0.745391",\ + "0.183404, 0.183404, 0.220742, 0.258080, 0.332756",\ + "-0.367877, -0.367877, -0.330539, -0.293200, -0.218524",\ + "-0.558955, -0.558955, -0.521617, -0.484279, -0.409603"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.184686, 0.772037, 0.359388, -0.191911, -0.382873",\ + "1.664263, 1.251614, 0.838965, 0.287667, 0.096704",\ + "2.143840, 1.731191, 1.318542, 0.767244, 0.576282",\ + "2.784556, 2.371907, 1.959258, 1.407959, 1.216997",\ + "3.038389, 2.625740, 2.213091, 1.661792, 1.470830"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.561902, 1.149253, 0.736604, 0.185306, -0.005656",\ + "2.123405, 1.710756, 1.298107, 0.746808, 0.555846",\ + "2.684907, 2.272258, 1.859609, 1.308311, 1.117348",\ + "3.435074, 3.022425, 2.609776, 2.058478, 1.867516",\ + "3.786980, 3.374331, 2.961682, 2.410384, 2.219422"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.619027, -0.057513, 0.504002, 1.254187, 1.606093",\ + "-0.448254, 0.113261, 0.674776, 1.424961, 1.776867",\ + "-0.277480, 0.284035, 0.845550, 1.595735, 1.947641",\ + "-0.049325, 0.512190, 1.073705, 1.823889, 2.175796",\ + "0.121818, 0.683333, 1.244848, 1.995033, 2.346939"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.045627, -0.484112, 0.077403, 0.827587, 1.179494",\ + "-1.458262, -0.896747, -0.335232, 0.414952, 0.766859",\ + "-1.870897, -1.309382, -0.747868, 0.002317, 0.354223",\ + "-2.422177, -1.860662, -1.299148, -0.548963, -0.197057",\ + "-2.613256, -2.051742, -1.490227, -0.740042, -0.388136"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.675219, 4.675219, 4.600542, 4.575650, 4.525866",\ + "5.154792, 5.154792, 5.080115, 5.055223, 5.005439",\ + "5.634365, 5.634365, 5.559689, 5.534797, 5.485012",\ + "6.275074, 6.275074, 6.200397, 6.175506, 6.125721",\ + "6.528907, 6.528907, 6.454230, 6.429338, 6.379554"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.344626, 4.344626, 4.269950, 4.245058, 4.195273",\ + "4.906137, 4.906137, 4.831460, 4.806568, 4.756783",\ + "5.467645, 5.467645, 5.392968, 5.368076, 5.318292",\ + "6.217821, 6.217821, 6.143145, 6.118253, 6.068468",\ + "6.569728, 6.569728, 6.495051, 6.470160, 6.420375"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.163244, 1.163244, 1.200582, 1.237921, 1.312597",\ + "1.334020, 1.334020, 1.371357, 1.408696, 1.483372",\ + "1.504795, 1.504795, 1.542133, 1.579471, 1.654148",\ + "1.732951, 1.732951, 1.770289, 1.807627, 1.882303",\ + "1.904094, 1.904094, 1.941432, 1.978770, 2.053446"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.877522, 0.877522, 0.914860, 0.952199, 1.026875",\ + "0.464883, 0.464883, 0.502220, 0.539559, 0.614235",\ + "0.052243, 0.052243, 0.089581, 0.126919, 0.201596",\ + "-0.499044, -0.499044, -0.461706, -0.424368, -0.349692",\ + "-0.690053, -0.690053, -0.652715, -0.615377, -0.540701"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.690937, 1.278288, 0.865639, 0.314341, 0.123379",\ + "2.170509, 1.757860, 1.345212, 0.793913, 0.602951",\ + "2.650082, 2.237433, 1.824784, 1.273486, 1.082524",\ + "3.290791, 2.878142, 2.465493, 1.914194, 1.723232",\ + "3.544623, 3.131974, 2.719326, 2.168027, 1.977065"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.873359, 1.460710, 1.048061, 0.496763, 0.305800",\ + "2.434868, 2.022219, 1.609570, 1.058271, 0.867309",\ + "2.996377, 2.583728, 2.171079, 1.619780, 1.428818",\ + "3.746552, 3.333903, 2.921254, 2.369956, 2.178993",\ + "4.098457, 3.685808, 3.273160, 2.721861, 2.530899"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.972923, -0.411408, 0.150107, 0.900292, 1.252198",\ + "-0.802148, -0.240633, 0.320882, 1.071067, 1.422973",\ + "-0.631372, -0.069857, 0.491658, 1.241842, 1.593749",\ + "-0.403216, 0.158299, 0.719814, 1.469998, 1.821905",\ + "-0.232073, 0.329442, 0.890957, 1.641141, 1.993048"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.347495, -0.785980, -0.224465, 0.525719, 0.877626",\ + "-1.760135, -1.198620, -0.637105, 0.113079, 0.464986",\ + "-2.172775, -1.611260, -1.049745, -0.299560, 0.052346",\ + "-2.724061, -2.162547, -1.601032, -0.850847, -0.498941",\ + "-2.915071, -2.353556, -1.792041, -1.041856, -0.689950"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.725440, 4.725440, 4.650764, 4.625872, 4.576087",\ + "5.205019, 5.205019, 5.130342, 5.105451, 5.055666",\ + "5.684597, 5.684597, 5.609921, 5.585029, 5.535244",\ + "6.325313, 6.325313, 6.250636, 6.225744, 6.175960",\ + "6.579146, 6.579146, 6.504470, 6.479578, 6.429793"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.471419, 4.471419, 4.396743, 4.371851, 4.322066",\ + "5.032923, 5.032923, 4.958246, 4.933354, 4.883570",\ + "5.594425, 5.594425, 5.519749, 5.494857, 5.445072",\ + "6.344592, 6.344592, 6.269916, 6.245024, 6.195239",\ + "6.696498, 6.696498, 6.621821, 6.596930, 6.547145"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.327915, 1.327915, 1.365253, 1.402591, 1.477268",\ + "1.498690, 1.498690, 1.536028, 1.573366, 1.648043",\ + "1.669465, 1.669465, 1.706803, 1.744141, 1.818817",\ + "1.897620, 1.897620, 1.934958, 1.972296, 2.046972",\ + "2.068762, 2.068762, 2.106100, 2.143438, 2.218115"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.022435, 1.022435, 1.059773, 1.097111, 1.171788",\ + "0.609797, 0.609797, 0.647134, 0.684473, 0.759149",\ + "0.197158, 0.197158, 0.234496, 0.271834, 0.346510",\ + "-0.354127, -0.354127, -0.316790, -0.279451, -0.204775",\ + "-0.545230, -0.545230, -0.507893, -0.470554, -0.395878"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.510984, 1.098336, 0.685686, 0.134388, -0.056574",\ + "1.990562, 1.577913, 1.165264, 0.613965, 0.423003",\ + "2.470140, 2.057491, 1.644841, 1.093543, 0.902581",\ + "3.110856, 2.698207, 2.285558, 1.734259, 1.543297",\ + "3.364689, 2.952040, 2.539391, 1.988092, 1.797130"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.862909, 1.450260, 1.037611, 0.486312, 0.295350",\ + "2.424411, 2.011762, 1.599113, 1.047815, 0.856853",\ + "2.985913, 2.573264, 2.160615, 1.609317, 1.418355",\ + "3.736081, 3.323432, 2.910783, 2.359484, 2.168522",\ + "4.087987, 3.675338, 3.262689, 2.711390, 2.520428"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.482598, 0.078917, 0.640432, 1.390617, 1.742523",\ + "-0.311823, 0.249692, 0.811207, 1.561392, 1.913298",\ + "-0.141048, 0.420467, 0.981982, 1.732166, 2.084073",\ + "0.087107, 0.648622, 1.210137, 1.960321, 2.312228",\ + "0.258249, 0.819764, 1.381279, 2.131464, 2.483370"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.891475, -0.329960, 0.231555, 0.981739, 1.333646",\ + "-1.304114, -0.742599, -0.181084, 0.569100, 0.921007",\ + "-1.716753, -1.155238, -0.593723, 0.156462, 0.508368",\ + "-2.268038, -1.706523, -1.145008, -0.394824, -0.042917",\ + "-2.459140, -1.897625, -1.336111, -0.585926, -0.234020"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.714279, 4.714279, 4.639603, 4.614711, 4.564926",\ + "5.193858, 5.193858, 5.119182, 5.094290, 5.044505",\ + "5.673437, 5.673437, 5.598761, 5.573869, 5.524084",\ + "6.314153, 6.314153, 6.239476, 6.214584, 6.164800",\ + "6.567986, 6.567986, 6.493310, 6.468418, 6.418633"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.303733, 4.303733, 4.229056, 4.204165, 4.154380",\ + "4.865237, 4.865237, 4.790561, 4.765669, 4.715884",\ + "5.426740, 5.426740, 5.352063, 5.327171, 5.277387",\ + "6.176908, 6.176908, 6.102232, 6.077340, 6.027555",\ + "6.528814, 6.528814, 6.454138, 6.429246, 6.379461"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.305160, 1.305160, 1.342498, 1.379836, 1.454512",\ + "1.475935, 1.475935, 1.513273, 1.550611, 1.625288",\ + "1.646711, 1.646711, 1.684049, 1.721387, 1.796064",\ + "1.874867, 1.874867, 1.912205, 1.949544, 2.024220",\ + "2.046009, 2.046009, 2.083347, 2.120685, 2.195361"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.681654, 0.681654, 0.718992, 0.756330, 0.831007",\ + "0.269010, 0.269010, 0.306348, 0.343686, 0.418363",\ + "-0.143634, -0.143634, -0.106296, -0.068958, 0.005719",\ + "-0.694927, -0.694927, -0.657588, -0.620250, -0.545574",\ + "-0.886060, -0.886060, -0.848722, -0.811384, -0.736707"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.368263, 0.955614, 0.542965, -0.008333, -0.199296",\ + "1.847842, 1.435193, 1.022544, 0.471245, 0.280283",\ + "2.327420, 1.914771, 1.502121, 0.950823, 0.759861",\ + "2.968136, 2.555487, 2.142838, 1.591539, 1.400577",\ + "3.221969, 2.809320, 2.396671, 1.845372, 1.654410"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.765289, 1.352640, 0.939991, 0.388693, 0.197731",\ + "2.326792, 1.914143, 1.501494, 0.950195, 0.759233",\ + "2.888294, 2.475645, 2.062996, 1.511697, 1.320735",\ + "3.638461, 3.225812, 2.813163, 2.261865, 2.070903",\ + "3.990367, 3.577718, 3.165069, 2.613770, 2.422808"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.536958, 0.024557, 0.586072, 1.336257, 1.688163",\ + "-0.366182, 0.195333, 0.756847, 1.507032, 1.858938",\ + "-0.195407, 0.366108, 0.927623, 1.677807, 2.029714",\ + "0.032749, 0.594264, 1.155779, 1.905964, 2.257870",\ + "0.203891, 0.765406, 1.326921, 2.077105, 2.429012"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.296130, -0.734615, -0.173100, 0.577084, 0.928991",\ + "-1.708774, -1.147259, -0.585744, 0.164440, 0.516347",\ + "-2.121418, -1.559903, -0.998388, -0.248204, 0.103703",\ + "-2.672711, -2.111196, -1.549681, -0.799496, -0.447590",\ + "-2.863844, -2.302329, -1.740814, -0.990630, -0.638723"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.830391, 4.830391, 4.755715, 4.730823, 4.681038",\ + "5.309967, 5.309967, 5.235290, 5.210398, 5.160614",\ + "5.789540, 5.789540, 5.714863, 5.689971, 5.640187",\ + "6.430253, 6.430253, 6.355576, 6.330684, 6.280900",\ + "6.684085, 6.684085, 6.609409, 6.584517, 6.534732"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.597235, 4.597235, 4.522559, 4.497667, 4.447882",\ + "5.158742, 5.158742, 5.084066, 5.059174, 5.009389",\ + "5.720250, 5.720250, 5.645573, 5.620681, 5.570897",\ + "6.470421, 6.470421, 6.395745, 6.370853, 6.321068",\ + "6.822327, 6.822327, 6.747651, 6.722759, 6.672974"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.363399, 1.363399, 1.400737, 1.438076, 1.512752",\ + "1.534174, 1.534174, 1.571512, 1.608850, 1.683526",\ + "1.704948, 1.704948, 1.742286, 1.779624, 1.854300",\ + "1.933102, 1.933102, 1.970440, 2.007779, 2.082455",\ + "2.104246, 2.104246, 2.141584, 2.178923, 2.253599"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.979122, 0.979122, 1.016460, 1.053798, 1.128475",\ + "0.566486, 0.566486, 0.603824, 0.641162, 0.715838",\ + "0.153849, 0.153849, 0.191187, 0.228525, 0.303201",\ + "-0.397434, -0.397434, -0.360096, -0.322758, -0.248081",\ + "-0.588458, -0.588458, -0.551120, -0.513782, -0.439106"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.119501, 0.706852, 0.294203, -0.257096, -0.448058",\ + "1.599075, 1.186426, 0.773777, 0.222479, 0.031517",\ + "2.078650, 1.666000, 1.253351, 0.702053, 0.511091",\ + "2.719360, 2.306711, 1.894062, 1.342763, 1.151801",\ + "2.973192, 2.560543, 2.147894, 1.596596, 1.405634"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.430353, 1.017704, 0.605055, 0.053757, -0.137205",\ + "1.991860, 1.579211, 1.166562, 0.615263, 0.424301",\ + "2.553366, 2.140717, 1.728068, 1.176770, 0.985808",\ + "3.303539, 2.890890, 2.478241, 1.926942, 1.735980",\ + "3.655445, 3.242796, 2.830147, 2.278849, 2.087887"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.454173, 0.107342, 0.668857, 1.419041, 1.770948",\ + "-0.283399, 0.278116, 0.839631, 1.589815, 1.941722",\ + "-0.112625, 0.448890, 1.010405, 1.760590, 2.112496",\ + "0.115530, 0.677045, 1.238559, 1.988744, 2.340651",\ + "0.286674, 0.848189, 1.409703, 2.159888, 2.511795"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.919026, -0.357511, 0.204004, 0.954188, 1.306095",\ + "-1.331663, -0.770148, -0.208633, 0.541552, 0.893458",\ + "-1.744300, -1.182785, -0.621270, 0.128915, 0.480821",\ + "-2.295582, -1.734067, -1.172552, -0.422368, -0.070461",\ + "-2.486606, -1.925091, -1.363576, -0.613392, -0.261485"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.758935, 4.758935, 4.684258, 4.659367, 4.609582",\ + "5.238509, 5.238509, 5.163833, 5.138941, 5.089156",\ + "5.718083, 5.718083, 5.643407, 5.618515, 5.568730",\ + "6.358794, 6.358794, 6.284118, 6.259226, 6.209441",\ + "6.612628, 6.612628, 6.537951, 6.513060, 6.463275"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.550472, 4.550472, 4.475796, 4.450904, 4.401119",\ + "5.111979, 5.111979, 5.037303, 5.012411, 4.962626",\ + "5.673487, 5.673487, 5.598810, 5.573918, 5.524134",\ + "6.423659, 6.423659, 6.348983, 6.324091, 6.274306",\ + "6.775564, 6.775564, 6.700888, 6.675996, 6.626211"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.476763, 1.476763, 1.514101, 1.551439, 1.626116",\ + "1.647537, 1.647537, 1.684875, 1.722214, 1.796890",\ + "1.818312, 1.818312, 1.855650, 1.892988, 1.967665",\ + "2.046467, 2.046467, 2.083805, 2.121143, 2.195819",\ + "2.217610, 2.217610, 2.254949, 2.292287, 2.366963"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.191408, 1.191408, 1.228746, 1.266084, 1.340761",\ + "0.778771, 0.778771, 0.816109, 0.853447, 0.928124",\ + "0.366134, 0.366134, 0.403472, 0.440810, 0.515486",\ + "-0.185149, -0.185149, -0.147811, -0.110473, -0.035796",\ + "-0.376172, -0.376172, -0.338834, -0.301496, -0.226820"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.018462, 0.605813, 0.193164, -0.358135, -0.549097",\ + "1.498036, 1.085387, 0.672737, 0.121439, -0.069523",\ + "1.977609, 1.564960, 1.152311, 0.601012, 0.410050",\ + "2.618320, 2.205671, 1.793022, 1.241724, 1.050761",\ + "2.872153, 2.459504, 2.046855, 1.495556, 1.304594"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.451149, 1.038500, 0.625851, 0.074552, -0.116410",\ + "2.012655, 1.600006, 1.187357, 0.636059, 0.445096",\ + "2.574162, 2.161513, 1.748864, 1.197565, 1.006603",\ + "3.324335, 2.911685, 2.499036, 1.947738, 1.756776",\ + "3.676240, 3.263591, 2.850942, 2.299644, 2.108681"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.431470, 0.130044, 0.691559, 1.441744, 1.793650",\ + "-0.260696, 0.300819, 0.862334, 1.612519, 1.964425",\ + "-0.089921, 0.471594, 1.033109, 1.783293, 2.135200",\ + "0.138234, 0.699748, 1.261263, 2.011448, 2.363354",\ + "0.309377, 0.870892, 1.432407, 2.182591, 2.534498"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.030801, -0.469286, 0.092229, 0.842413, 1.194320",\ + "-1.443438, -0.881923, -0.320408, 0.429777, 0.781683",\ + "-1.856075, -1.294560, -0.733045, 0.017139, 0.369046",\ + "-2.407357, -1.845843, -1.284328, -0.534143, -0.182237",\ + "-2.598381, -2.036866, -1.475351, -0.725167, -0.373260"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.728021, 4.728021, 4.653344, 4.628452, 4.578668",\ + "5.207579, 5.207579, 5.132902, 5.108010, 5.058226",\ + "5.687135, 5.687135, 5.612458, 5.587566, 5.537782",\ + "6.327824, 6.327824, 6.253147, 6.228255, 6.178471",\ + "6.581656, 6.581656, 6.506980, 6.482088, 6.432303"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.508513, 4.508513, 4.433837, 4.408945, 4.359160",\ + "5.070040, 5.070040, 4.995363, 4.970471, 4.920687",\ + "5.631565, 5.631565, 5.556889, 5.531997, 5.482212",\ + "6.381762, 6.381762, 6.307085, 6.282193, 6.232409",\ + "6.733668, 6.733668, 6.658992, 6.634100, 6.584315"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.802117, 1.802117, 1.839455, 1.876793, 1.951470",\ + "1.972900, 1.972900, 2.010238, 2.047576, 2.122252",\ + "2.143683, 2.143683, 2.181021, 2.218359, 2.293036",\ + "2.371849, 2.371849, 2.409187, 2.446525, 2.521201",\ + "2.542984, 2.542984, 2.580322, 2.617660, 2.692337"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.290704, 1.290704, 1.328042, 1.365380, 1.440057",\ + "0.878043, 0.878043, 0.915380, 0.952719, 1.027395",\ + "0.465381, 0.465381, 0.502718, 0.540057, 0.614733",\ + "-0.085935, -0.085935, -0.048598, -0.011259, 0.063417",\ + "-0.276831, -0.276831, -0.239493, -0.202155, -0.127479"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.671631, 0.258981, -0.153667, -0.704966, -0.895928",\ + "1.151188, 0.738539, 0.325890, -0.225409, -0.416371",\ + "1.630745, 1.218096, 0.805447, 0.254148, 0.063186",\ + "2.271433, 1.858784, 1.446135, 0.894836, 0.703874",\ + "2.525267, 2.112618, 1.699969, 1.148670, 0.957708"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.132040, 0.719391, 0.306742, -0.244557, -0.435519",\ + "1.693564, 1.280915, 0.868266, 0.316968, 0.126006",\ + "2.255089, 1.842440, 1.429791, 0.878492, 0.687530",\ + "3.005286, 2.592637, 2.179988, 1.628690, 1.437727",\ + "3.357193, 2.944544, 2.531895, 1.980597, 1.789635"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.042529, 0.518986, 1.080501, 1.830686, 2.182592",\ + "0.128254, 0.689769, 1.251284, 2.001469, 2.353375",\ + "0.299037, 0.860552, 1.422067, 2.172252, 2.524158",\ + "0.527203, 1.088718, 1.650233, 2.400417, 2.752324",\ + "0.698338, 1.259853, 1.821368, 2.571553, 2.923459"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.689128, -0.127613, 0.433902, 1.184087, 1.535993",\ + "-1.101789, -0.540274, 0.021240, 0.771425, 1.123332",\ + "-1.514451, -0.952936, -0.391422, 0.358763, 0.710670",\ + "-2.065767, -1.504252, -0.942738, -0.192553, 0.159353",\ + "-2.256663, -1.695148, -1.133633, -0.383449, -0.031542"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.911352, 4.911352, 4.836676, 4.811784, 4.761999",\ + "5.390919, 5.390919, 5.316242, 5.291350, 5.241566",\ + "5.870484, 5.870484, 5.795808, 5.770916, 5.721131",\ + "6.511186, 6.511186, 6.436509, 6.411617, 6.361833",\ + "6.765018, 6.765018, 6.690342, 6.665450, 6.615665"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.678675, 4.678675, 4.603998, 4.579106, 4.529322",\ + "5.240191, 5.240191, 5.165514, 5.140622, 5.090837",\ + "5.801705, 5.801705, 5.727029, 5.702137, 5.652352",\ + "6.551889, 6.551889, 6.477213, 6.452321, 6.402536",\ + "6.903795, 6.903795, 6.829119, 6.804227, 6.754442"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.808317, 1.808317, 1.845654, 1.882993, 1.957669",\ + "1.979095, 1.979095, 2.016433, 2.053771, 2.128448",\ + "2.149873, 2.149873, 2.187211, 2.224550, 2.299226",\ + "2.378033, 2.378033, 2.415371, 2.452709, 2.527386",\ + "2.549173, 2.549173, 2.586511, 2.623849, 2.698526"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.378019, 1.378019, 1.415357, 1.452695, 1.527371",\ + "0.965370, 0.965370, 1.002708, 1.040046, 1.114723",\ + "0.552722, 0.552722, 0.590060, 0.627398, 0.702075",\ + "0.001423, 0.001423, 0.038761, 0.076099, 0.150776",\ + "-0.189541, -0.189541, -0.152203, -0.114865, -0.040188"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.991528, 0.578879, 0.166230, -0.385068, -0.576030",\ + "1.471094, 1.058445, 0.645797, 0.094498, -0.096464",\ + "1.950660, 1.538012, 1.125363, 0.574064, 0.383102",\ + "2.591361, 2.178712, 1.766063, 1.214764, 1.023802",\ + "2.845194, 2.432545, 2.019896, 1.468597, 1.277635"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.344143, 0.931494, 0.518846, -0.032453, -0.223415",\ + "1.905658, 1.493009, 1.080360, 0.529062, 0.338100",\ + "2.467173, 2.054524, 1.641876, 1.090577, 0.899615",\ + "3.217357, 2.804708, 2.392060, 1.840761, 1.649799",\ + "3.569264, 3.156615, 2.743966, 2.192667, 2.001705"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.349363, 0.212152, 0.773667, 1.523851, 1.875758",\ + "-0.178585, 0.382930, 0.944445, 1.694630, 2.046536",\ + "-0.007806, 0.553709, 1.115224, 1.865408, 2.217315",\ + "0.220353, 0.781868, 1.343383, 2.093568, 2.445474",\ + "0.391493, 0.953008, 1.514523, 2.264708, 2.616614"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.899331, -0.337816, 0.223699, 0.973884, 1.325790",\ + "-1.311980, -0.750464, -0.188950, 0.561235, 0.913141",\ + "-1.724628, -1.163113, -0.601598, 0.148587, 0.500493",\ + "-2.275927, -1.714412, -1.152897, -0.402712, -0.050806",\ + "-2.466891, -1.905375, -1.343861, -0.593676, -0.241770"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.294025"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.456821"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.977956, 4.977956, 4.903280, 4.878388, 4.828603",\ + "5.457477, 5.457477, 5.382801, 5.357909, 5.308124",\ + "5.936998, 5.936998, 5.862321, 5.837430, 5.787645",\ + "6.577637, 6.577637, 6.502961, 6.478069, 6.428284",\ + "6.831470, 6.831470, 6.756793, 6.731902, 6.682117"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.732522, 4.732522, 4.657845, 4.632953, 4.583169",\ + "5.294084, 5.294084, 5.219408, 5.194516, 5.144731",\ + "5.855648, 5.855648, 5.780971, 5.756079, 5.706295",\ + "6.605895, 6.605895, 6.531218, 6.506326, 6.456542",\ + "6.957803, 6.957803, 6.883127, 6.858235, 6.808450"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.592229, 1.592229, 1.629567, 1.666905, 1.741582",\ + "1.727504, 1.727504, 1.764841, 1.802180, 1.876856",\ + "1.933148, 1.933148, 1.970486, 2.007824, 2.082500",\ + "2.160655, 2.160655, 2.197992, 2.235331, 2.310007",\ + "2.331772, 2.331772, 2.369109, 2.406448, 2.481124"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.190693, 1.190693, 1.228031, 1.265369, 1.340045",\ + "0.863824, 0.863824, 0.901161, 0.938500, 1.013176",\ + "0.366916, 0.366916, 0.404254, 0.441592, 0.516269",\ + "-0.182819, -0.182819, -0.145481, -0.108143, -0.033466",\ + "-0.373446, -0.373446, -0.336109, -0.298770, -0.224094"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.656218, 2.826996, 2.997774, 3.225934, 3.397074",\ + "3.135737, 3.306516, 3.477294, 3.705454, 3.876594",\ + "3.615258, 3.786036, 3.956815, 4.184975, 4.356115",\ + "4.255899, 4.426678, 4.597456, 4.825616, 4.996756",\ + "4.509732, 4.680511, 4.851289, 5.079449, 5.250589"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.830626, 3.001404, 3.172183, 3.400343, 3.571483",\ + "3.392188, 3.562966, 3.733745, 3.961905, 4.133045",\ + "3.953751, 4.124529, 4.295308, 4.523468, 4.694608",\ + "4.703999, 4.874777, 5.045556, 5.273716, 5.444856",\ + "5.055908, 5.226686, 5.397465, 5.625625, 5.796765"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.698174, 3.285525, 2.872876, 2.321578, 2.130615",\ + "4.177694, 3.765045, 3.352396, 2.801097, 2.610135",\ + "4.657214, 4.244565, 3.831916, 3.280618, 3.089656",\ + "5.297853, 4.885204, 4.472555, 3.921257, 3.730294",\ + "5.551687, 5.139038, 4.726389, 4.175090, 3.984128"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.489388, 3.076740, 2.664091, 2.112792, 1.921830",\ + "4.050951, 3.638302, 3.225653, 2.674354, 2.483392",\ + "4.612513, 4.199864, 3.787215, 3.235917, 3.044955",\ + "5.362761, 4.950112, 4.537464, 3.986165, 3.795203",\ + "5.714671, 5.302022, 4.889373, 4.338074, 4.147112"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.590286, 1.069852, 1.549418, 2.190118, 2.443951",\ + "0.725561, 1.205127, 1.684693, 2.325392, 2.579226",\ + "0.931205, 1.410771, 1.890337, 2.531037, 2.784870",\ + "1.158712, 1.638278, 2.117844, 2.758543, 3.012376",\ + "1.329829, 1.809395, 2.288960, 2.929660, 3.183494"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.472567, 0.952133, 1.431699, 2.072399, 2.326232",\ + "0.145698, 0.625264, 1.104830, 1.745530, 1.999363",\ + "-0.351209, 0.128356, 0.607922, 1.248622, 1.502455",\ + "-0.900944, -0.421379, 0.058187, 0.698887, 0.952720",\ + "-1.091572, -0.612006, -0.132440, 0.508260, 0.762093"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.218200, 0.343315, 0.904830, 1.655014, 2.006921",\ + "-0.082926, 0.478589, 1.040104, 1.790288, 2.142195",\ + "0.122718, 0.684233, 1.245748, 1.995932, 2.347839",\ + "0.350225, 0.911740, 1.473255, 2.223439, 2.575346",\ + "0.521342, 1.082857, 1.644372, 2.394556, 2.746463"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.723209, -0.161694, 0.399821, 1.150005, 1.501912",\ + "-1.050078, -0.488563, 0.072952, 0.823136, 1.175043",\ + "-1.546986, -0.985471, -0.423956, 0.326229, 0.678135",\ + "-2.096721, -1.535206, -0.973691, -0.223506, 0.128400",\ + "-2.287348, -1.725833, -1.164318, -0.414134, -0.062227"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.726557, 11.019510, 11.216044, 11.239710, 11.307440",\ + "10.726557, 11.019510, 11.216044, 11.239710, 11.307440",\ + "10.763894, 11.056849, 11.253382, 11.277046, 11.344776",\ + "10.801233, 11.094187, 11.290721, 11.314386, 11.382116",\ + "10.875909, 11.168863, 11.365396, 11.389061, 11.456791"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.787880, 11.092956, 11.298011, 11.322702, 11.393370",\ + "10.787880, 11.092956, 11.298011, 11.322702, 11.393370",\ + "10.825218, 11.130294, 11.335349, 11.360041, 11.430708",\ + "10.862556, 11.167632, 11.372687, 11.397379, 11.468046",\ + "10.937233, 11.242309, 11.447364, 11.472055, 11.542723"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.612971, 10.734598, 10.792504, 10.799477, 10.819433",\ + "10.612971, 10.734598, 10.792504, 10.799477, 10.819433",\ + "10.687648, 10.809275, 10.867181, 10.874153, 10.894110",\ + "10.712540, 10.834167, 10.892073, 10.899045, 10.919001",\ + "10.762324, 10.883951, 10.941857, 10.948830, 10.968786"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.426386, 10.519824, 10.575256, 10.581931, 10.601034",\ + "10.426386, 10.519824, 10.575256, 10.581931, 10.601034",\ + "10.501062, 10.594501, 10.649933, 10.656608, 10.675711",\ + "10.525954, 10.619392, 10.674825, 10.681499, 10.700603",\ + "10.575739, 10.669177, 10.724609, 10.731284, 10.750387"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.052010, 5.052010, 4.977334, 4.952442, 4.902657",\ + "5.531511, 5.531511, 5.456834, 5.431942, 5.382158",\ + "6.011012, 6.011012, 5.936335, 5.911443, 5.861659",\ + "6.651623, 6.651623, 6.576947, 6.552055, 6.502270",\ + "6.905457, 6.905457, 6.830781, 6.805889, 6.756104"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.680620, 4.680620, 4.605943, 4.581051, 4.531267",\ + "5.242204, 5.242204, 5.167528, 5.142636, 5.092851",\ + "5.803789, 5.803789, 5.729112, 5.704220, 5.654436",\ + "6.554064, 6.554064, 6.479388, 6.454496, 6.404711",\ + "6.905973, 6.905973, 6.831296, 6.806405, 6.756620"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.809541, 1.809541, 1.846879, 1.884218, 1.958894",\ + "1.980352, 1.980352, 2.017690, 2.055028, 2.129704",\ + "2.151163, 2.151163, 2.188501, 2.225839, 2.300515",\ + "2.379366, 2.379366, 2.416704, 2.454042, 2.528719",\ + "2.550473, 2.550473, 2.587811, 2.625149, 2.699826"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.105260, 1.105260, 1.142598, 1.179937, 1.254613",\ + "0.692518, 0.692518, 0.729856, 0.767194, 0.841870",\ + "0.279774, 0.279774, 0.317112, 0.354450, 0.429127",\ + "-0.271651, -0.271651, -0.234313, -0.196974, -0.122298",\ + "-0.462128, -0.462128, -0.424790, -0.387452, -0.312775"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "11.687058, 11.980013, 12.176546, 12.200211, 12.239340",\ + "11.687058, 11.980013, 12.176546, 12.200211, 12.239340",\ + "11.675029, 11.967983, 12.164516, 12.188181, 12.239340",\ + "11.574407, 11.867361, 12.063894, 12.087559, 12.155289",\ + "11.180524, 11.473478, 11.670012, 11.693676, 11.761406"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "11.457419, 11.762495, 11.967550, 11.992242, 12.034307",\ + "11.457419, 11.762495, 11.967550, 11.992242, 12.034307",\ + "11.445390, 11.750465, 11.955521, 11.980212, 12.034307",\ + "11.344769, 11.649845, 11.854900, 11.879592, 11.950258",\ + "10.950887, 11.255962, 11.461018, 11.485709, 11.556376"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.525651, 3.647278, 3.705184, 3.712156, 3.732112",\ + "3.525651, 3.647278, 3.705184, 3.712156, 3.732112",\ + "3.525651, 3.647278, 3.705184, 3.712156, 3.732112",\ + "3.441712, 3.563338, 3.621244, 3.628217, 3.648173",\ + "3.048065, 3.169691, 3.227598, 3.234570, 3.254526"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.509479, 3.602917, 3.658349, 3.665024, 3.684127",\ + "3.509479, 3.602917, 3.658349, 3.665024, 3.684127",\ + "3.509479, 3.602917, 3.658349, 3.665024, 3.684127",\ + "3.425539, 3.518977, 3.574409, 3.581084, 3.600188",\ + "3.031892, 3.125330, 3.180763, 3.187438, 3.206541"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.318512, 5.611465, 5.807999, 5.831664, 5.899394",\ + "5.798084, 6.091038, 6.287571, 6.311236, 6.378966",\ + "6.277657, 6.570611, 6.767144, 6.790810, 6.858540",\ + "6.918365, 7.211319, 7.407853, 7.431518, 7.499248",\ + "7.172198, 7.465152, 7.661686, 7.685351, 7.753081"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.660262, 5.965338, 6.170393, 6.195085, 6.265751",\ + "6.221771, 6.526846, 6.731902, 6.756593, 6.827260",\ + "6.783280, 7.088356, 7.293411, 7.318102, 7.388769",\ + "7.533456, 7.838531, 8.043587, 8.068278, 8.138945",\ + "7.885362, 8.190437, 8.395493, 8.420183, 8.490850"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.611855, 4.733481, 4.791388, 4.798360, 4.818316",\ + "4.441080, 4.562706, 4.620612, 4.627585, 4.647541",\ + "4.270304, 4.391931, 4.449837, 4.456809, 4.476766",\ + "4.042149, 4.163774, 4.221681, 4.228653, 4.248610",\ + "3.871006, 3.992631, 4.050538, 4.057510, 4.077466"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.847864, 4.941302, 4.996735, 5.003409, 5.022512",\ + "5.260504, 5.353942, 5.409374, 5.416049, 5.435153",\ + "5.673143, 5.766582, 5.822014, 5.828689, 5.847792",\ + "6.224431, 6.317869, 6.373302, 6.379976, 6.399079",\ + "6.415440, 6.508878, 6.564310, 6.570985, 6.590088"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.429356, 5.722310, 5.918843, 5.942509, 6.010239",\ + "5.990871, 6.283825, 6.480359, 6.504024, 6.571754",\ + "6.552386, 6.845340, 7.041873, 7.065538, 7.133268",\ + "7.302570, 7.595524, 7.792058, 7.815723, 7.883453",\ + "7.654477, 7.947431, 8.143965, 8.167629, 8.235359"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.836162, 6.141237, 6.346292, 6.370984, 6.441650",\ + "6.397676, 6.702752, 6.907807, 6.932499, 7.003166",\ + "6.959191, 7.264267, 7.469322, 7.494014, 7.564681",\ + "7.709376, 8.014452, 8.219507, 8.244198, 8.314865",\ + "8.061283, 8.366358, 8.571414, 8.596106, 8.666772"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.627747, 4.749373, 4.807280, 4.814252, 4.834208",\ + "5.040396, 5.162022, 5.219928, 5.226901, 5.246857",\ + "5.453045, 5.574671, 5.632577, 5.639550, 5.659506",\ + "6.004344, 6.125970, 6.183877, 6.190849, 6.210805",\ + "6.195306, 6.316932, 6.374839, 6.381811, 6.401767"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.686798, 4.780236, 4.835669, 4.842343, 4.861447",\ + "5.099447, 5.192885, 5.248318, 5.254992, 5.274096",\ + "5.512096, 5.605535, 5.660967, 5.667642, 5.686745",\ + "6.063396, 6.156834, 6.212266, 6.218941, 6.238044",\ + "6.254357, 6.347795, 6.403228, 6.409903, 6.429006"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "7.341473, 7.634427, 7.830960, 7.854626, 7.922356",\ + "7.821039, 8.113993, 8.310527, 8.334192, 8.401922",\ + "8.300605, 8.593559, 8.790092, 8.813757, 8.881487",\ + "8.941305, 9.234259, 9.430792, 9.454457, 9.522187",\ + "9.195137, 9.488091, 9.684625, 9.708290, 9.776020"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205",\ + "1.495002, 2.051095, 2.434778, 2.480978, 2.613205"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "7.874825, 8.179900, 8.384955, 8.409647, 8.480314",\ + "8.354391, 8.659466, 8.864522, 8.889214, 8.959880",\ + "8.833957, 9.139032, 9.344088, 9.368778, 9.439445",\ + "9.474656, 9.779732, 9.984787, 10.009479, 10.080145",\ + "9.728489, 10.033565, 10.238620, 10.263311, 10.333979"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822",\ + "1.504018, 2.075271, 2.467446, 2.514669, 2.649822"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.705206, 4.826832, 4.884738, 4.891711, 4.911667",\ + "4.534427, 4.656054, 4.713960, 4.720932, 4.740889",\ + "4.363649, 4.485275, 4.543181, 4.550154, 4.570110",\ + "4.135489, 4.257115, 4.315022, 4.321994, 4.341950",\ + "3.964349, 4.085975, 4.143882, 4.150854, 4.170810"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.792573, 4.886011, 4.941443, 4.948118, 4.967222",\ + "4.621795, 4.715233, 4.770665, 4.777340, 4.796443",\ + "4.451016, 4.544455, 4.599887, 4.606562, 4.625665",\ + "4.222857, 4.316295, 4.371727, 4.378402, 4.397505",\ + "4.051717, 4.145155, 4.200587, 4.207262, 4.226366"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665",\ + "0.423500, 0.480749, 0.520964, 0.525806, 0.539665"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.629765, 0.217116, -0.195533, -0.746832, -0.937794",\ + "1.109265, 0.696616, 0.283967, -0.267331, -0.458293",\ + "1.588766, 1.176117, 0.763468, 0.212169, 0.021207",\ + "2.229379, 1.816730, 1.404081, 0.852782, 0.661820",\ + "2.483212, 2.070563, 1.657914, 1.106615, 0.915653"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.265887, 0.853238, 0.440588, -0.110710, -0.301672",\ + "1.827470, 1.414821, 1.002172, 0.450873, 0.259911",\ + "2.389054, 1.976405, 1.563756, 1.012457, 0.821495",\ + "3.139330, 2.726681, 2.314032, 1.762733, 1.571771",\ + "3.491239, 3.078590, 2.665941, 2.114643, 1.923681"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.032577, 0.528938, 1.090453, 1.840638, 2.192544",\ + "0.138234, 0.699749, 1.261264, 2.011448, 2.363355",\ + "0.309045, 0.870560, 1.432075, 2.182259, 2.534166",\ + "0.537248, 1.098763, 1.660278, 2.410463, 2.762369",\ + "0.708355, 1.269870, 1.831385, 2.581570, 2.933476"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.872524, -0.311009, 0.250506, 1.000690, 1.352597",\ + "-1.285267, -0.723752, -0.162237, 0.587948, 0.939854",\ + "-1.698010, -1.136495, -0.574980, 0.175204, 0.527111",\ + "-2.249435, -1.687920, -1.126405, -0.376220, -0.024314",\ + "-2.439912, -1.878397, -1.316882, -0.566697, -0.214791"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.944420, 4.944420, 4.869743, 4.844851, 4.795067",\ + "5.423897, 5.423897, 5.349220, 5.324328, 5.274544",\ + "5.903373, 5.903373, 5.828696, 5.803804, 5.754020",\ + "6.543954, 6.543954, 6.469277, 6.444386, 6.394601",\ + "6.797787, 6.797787, 6.723110, 6.698218, 6.648434"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.633354, 4.633354, 4.558678, 4.533786, 4.484001",\ + "5.194963, 5.194963, 5.120287, 5.095395, 5.045610",\ + "5.756575, 5.756575, 5.681898, 5.657006, 5.607222",\ + "6.506885, 6.506885, 6.432208, 6.407316, 6.357532",\ + "6.858795, 6.858795, 6.784119, 6.759227, 6.709442"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.889618, 1.889618, 1.926956, 1.964294, 2.038970",\ + "2.060441, 2.060441, 2.097779, 2.135117, 2.209793",\ + "2.231264, 2.231264, 2.268602, 2.305940, 2.380616",\ + "2.459483, 2.459483, 2.496821, 2.534160, 2.608836",\ + "2.630578, 2.630578, 2.667916, 2.705254, 2.779931"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.489464, 1.489464, 1.526802, 1.564141, 1.638817",\ + "1.076686, 1.076686, 1.114024, 1.151362, 1.226038",\ + "0.663907, 0.663907, 0.701245, 0.738583, 0.813259",\ + "0.112435, 0.112435, 0.149773, 0.187111, 0.261787",\ + "-0.077860, -0.077860, -0.040522, -0.003183, 0.071493"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.545433, 0.132784, -0.279865, -0.831163, -1.022125",\ + "1.024909, 0.612260, 0.199611, -0.351687, -0.542649",\ + "1.504385, 1.091736, 0.679087, 0.127789, -0.063173",\ + "2.144966, 1.732316, 1.319668, 0.768369, 0.577407",\ + "2.398798, 1.986150, 1.573501, 1.022202, 0.831240"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.977263, 0.564614, 0.151965, -0.399333, -0.590296",\ + "1.538872, 1.126223, 0.713574, 0.162276, -0.028686",\ + "2.100482, 1.687833, 1.275184, 0.723886, 0.532923",\ + "2.850793, 2.438144, 2.025495, 1.474196, 1.283234",\ + "3.202703, 2.790055, 2.377406, 1.826107, 1.635145"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.072045, 0.633560, 1.195075, 1.945259, 2.297166",\ + "0.242868, 0.804383, 1.365898, 2.116082, 2.467989",\ + "0.413691, 0.975206, 1.536721, 2.286906, 2.638812",\ + "0.641911, 1.203426, 1.764941, 2.515125, 2.867032",\ + "0.813005, 1.374520, 1.936035, 2.686220, 3.038126"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.490187, 0.071328, 0.632843, 1.383027, 1.734934",\ + "-0.902966, -0.341451, 0.220064, 0.970249, 1.322155",\ + "-1.315745, -0.754230, -0.192715, 0.557470, 0.909376",\ + "-1.867217, -1.305702, -0.744187, 0.005998, 0.357904",\ + "-2.057511, -1.495996, -0.934481, -0.184297, 0.167610"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.514459"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.490563"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.856414 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.842931, 6.964517, 7.022429, 7.029403, 7.049360",\ + "6.842931, 6.964517, 7.022429, 7.029403, 7.049360",\ + "6.880269, 7.001855, 7.059768, 7.066741, 7.086699",\ + "6.917607, 7.039194, 7.097106, 7.104079, 7.124037",\ + "6.992284, 7.113870, 7.171782, 7.178756, 7.198713"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "7.188803, 7.282229, 7.337664, 7.344339, 7.363443",\ + "7.188803, 7.282229, 7.337664, 7.344339, 7.363443",\ + "7.226140, 7.319567, 7.375002, 7.381677, 7.400781",\ + "7.263479, 7.356905, 7.412340, 7.419015, 7.438119",\ + "7.338155, 7.431581, 7.487017, 7.493691, 7.512795"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423349, 0.480753, 0.520916, 0.525752, 0.539593",\ + "0.423349, 0.480753, 0.520916, 0.525752, 0.539593",\ + "0.423349, 0.480753, 0.520916, 0.525752, 0.539593",\ + "0.423349, 0.480753, 0.520916, 0.525752, 0.539593",\ + "0.423349, 0.480753, 0.520916, 0.525752, 0.539593"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.536052, 6.657638, 6.715550, 6.722523, 6.742481",\ + "6.536052, 6.657638, 6.715550, 6.722523, 6.742481",\ + "6.610728, 6.732315, 6.790226, 6.797200, 6.817158",\ + "6.635620, 6.757206, 6.815118, 6.822092, 6.842050",\ + "6.685404, 6.806991, 6.864902, 6.871876, 6.891834"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.690768, 6.784194, 6.839629, 6.846304, 6.865408",\ + "6.690768, 6.784194, 6.839629, 6.846304, 6.865408",\ + "6.765444, 6.858871, 6.914306, 6.920980, 6.940085",\ + "6.790336, 6.883762, 6.939198, 6.945872, 6.964977",\ + "6.840120, 6.933547, 6.988981, 6.995656, 7.014761"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423349, 0.480753, 0.520916, 0.525752, 0.539593",\ + "0.423349, 0.480753, 0.520916, 0.525752, 0.539593",\ + "0.423349, 0.480753, 0.520916, 0.525752, 0.539593",\ + "0.423349, 0.480753, 0.520916, 0.525752, 0.539593",\ + "0.423349, 0.480753, 0.520916, 0.525752, 0.539593"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.200664, 5.322250, 5.380162, 5.387136, 5.407094",\ + "5.680230, 5.801816, 5.859728, 5.866702, 5.886660",\ + "6.159795, 6.281382, 6.339293, 6.346267, 6.366225",\ + "6.800495, 6.922081, 6.979994, 6.986967, 7.006925",\ + "7.054328, 7.175914, 7.233827, 7.240800, 7.260757"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777882, 0.789783, 0.789783, 0.789783, 0.789783",\ + "0.777882, 0.789783, 0.789783, 0.789783, 0.789783",\ + "0.777882, 0.789783, 0.789783, 0.789783, 0.789783",\ + "0.777882, 0.789783, 0.789783, 0.789783, 0.789783",\ + "0.777882, 0.789783, 0.789783, 0.789783, 0.789783"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.043771, 5.137197, 5.192633, 5.199307, 5.218411",\ + "5.523337, 5.616763, 5.672198, 5.678874, 5.697978",\ + "6.002903, 6.096330, 6.151765, 6.158440, 6.177544",\ + "6.643604, 6.737030, 6.792465, 6.799140, 6.818244",\ + "6.897437, 6.990863, 7.046298, 7.052973, 7.072078"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444250, 0.500520, 0.538762, 0.543367, 0.556546",\ + "0.444250, 0.500520, 0.538762, 0.543367, 0.556546",\ + "0.444250, 0.500520, 0.538762, 0.543367, 0.556546",\ + "0.444250, 0.500520, 0.538762, 0.543367, 0.556546",\ + "0.444250, 0.500520, 0.538762, 0.543367, 0.556546"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.267249, 4.267875, 4.268383, 4.268445, 4.268620",\ + "4.096470, 4.097095, 4.097605, 4.097666, 4.097841",\ + "3.925692, 3.926317, 3.926826, 3.926888, 3.927063",\ + "3.697531, 3.698157, 3.698666, 3.698728, 3.698903",\ + "3.526392, 3.527018, 3.527526, 3.527588, 3.527763"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.216602, 4.222170, 4.222225, 4.222231, 4.222250",\ + "4.045823, 4.051392, 4.051446, 4.051453, 4.051471",\ + "3.875045, 3.880613, 3.880668, 3.880674, 3.880693",\ + "3.646885, 3.652453, 3.652508, 3.652514, 3.652533",\ + "3.475745, 3.481313, 3.481368, 3.481374, 3.481393"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.157021, 6.278600, 6.336513, 6.343486, 6.363445",\ + "6.157021, 6.278600, 6.336513, 6.343486, 6.363445",\ + "6.194359, 6.315938, 6.373851, 6.380825, 6.400783",\ + "6.231697, 6.353277, 6.411190, 6.418163, 6.438121",\ + "6.306373, 6.427953, 6.485866, 6.492839, 6.512797"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.016061, 6.109485, 6.164920, 6.171596, 6.190700",\ + "6.016061, 6.109485, 6.164920, 6.171596, 6.190700",\ + "6.053399, 6.146823, 6.202259, 6.208934, 6.228038",\ + "6.090737, 6.184162, 6.239597, 6.246272, 6.265377",\ + "6.165414, 6.258838, 6.314273, 6.320949, 6.340053"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.899905, 6.021485, 6.079398, 6.086371, 6.106330",\ + "5.899905, 6.021485, 6.079398, 6.086371, 6.106330",\ + "5.974582, 6.096162, 6.154075, 6.161048, 6.181006",\ + "5.999474, 6.121054, 6.178967, 6.185940, 6.205898",\ + "6.049258, 6.170838, 6.228751, 6.235724, 6.255682"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.848042, 5.941465, 5.996901, 6.003576, 6.022680",\ + "5.848042, 5.941465, 5.996901, 6.003576, 6.022680",\ + "5.922718, 6.016142, 6.071578, 6.078253, 6.097357",\ + "5.947609, 6.041033, 6.096469, 6.103144, 6.122248",\ + "5.997394, 6.090817, 6.146253, 6.152928, 6.172032"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.072685, 5.194264, 5.252177, 5.259151, 5.279109",\ + "5.552251, 5.673831, 5.731743, 5.738717, 5.758675",\ + "6.031816, 6.153396, 6.211309, 6.218282, 6.238241",\ + "6.672516, 6.794096, 6.852009, 6.858982, 6.878941",\ + "6.926350, 7.047929, 7.105842, 7.112815, 7.132774"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777882, 0.789783, 0.789783, 0.789783, 0.789783",\ + "0.777882, 0.789783, 0.789783, 0.789783, 0.789783",\ + "0.777882, 0.789783, 0.789783, 0.789783, 0.789783",\ + "0.777882, 0.789783, 0.789783, 0.789783, 0.789783",\ + "0.777882, 0.789783, 0.789783, 0.789783, 0.789783"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.950457, 5.043880, 5.099316, 5.105991, 5.125095",\ + "5.430022, 5.523447, 5.578882, 5.585557, 5.604661",\ + "5.909588, 6.003012, 6.058447, 6.065123, 6.084227",\ + "6.550288, 6.643712, 6.699147, 6.705822, 6.724927",\ + "6.804121, 6.897545, 6.952981, 6.959656, 6.978760"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444250, 0.500519, 0.538762, 0.543367, 0.556546",\ + "0.444250, 0.500519, 0.538762, 0.543367, 0.556546",\ + "0.444250, 0.500519, 0.538762, 0.543367, 0.556546",\ + "0.444250, 0.500519, 0.538762, 0.543367, 0.556546",\ + "0.444250, 0.500519, 0.538762, 0.543367, 0.556546"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.112746, 4.113377, 4.113888, 4.113949, 4.114125",\ + "3.941967, 3.942598, 3.943109, 3.943171, 3.943347",\ + "3.771189, 3.771819, 3.772330, 3.772392, 3.772568",\ + "3.543029, 3.543659, 3.544170, 3.544232, 3.544408",\ + "3.371889, 3.372519, 3.373030, 3.373092, 3.373268"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.062077, 4.067625, 4.067680, 4.067687, 4.067706",\ + "3.891298, 3.896846, 3.896902, 3.896908, 3.896927",\ + "3.720519, 3.726068, 3.726123, 3.726129, 3.726148",\ + "3.492359, 3.497907, 3.497962, 3.497969, 3.497988",\ + "3.321219, 3.326768, 3.326823, 3.326829, 3.326849"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.568112, 4.689692, 4.747605, 4.754578, 4.774537",\ + "5.047678, 5.169258, 5.227171, 5.234144, 5.254103",\ + "5.527244, 5.648824, 5.706737, 5.713710, 5.733668",\ + "6.167944, 6.289524, 6.347436, 6.354410, 6.374368",\ + "6.421777, 6.543357, 6.601270, 6.608243, 6.628201"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.343617, 4.437041, 4.492477, 4.499152, 4.518256",\ + "4.905132, 4.998556, 5.053992, 5.060667, 5.079771",\ + "5.466647, 5.560071, 5.615507, 5.622182, 5.641286",\ + "6.216831, 6.310256, 6.365691, 6.372366, 6.391470",\ + "6.568738, 6.662162, 6.717597, 6.724273, 6.743377"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.048733, 4.170312, 4.228226, 4.235199, 4.255157",\ + "3.877954, 3.999534, 4.057447, 4.064420, 4.084378",\ + "3.707176, 3.828755, 3.886668, 3.893641, 3.913600",\ + "3.479015, 3.600595, 3.658508, 3.665482, 3.685440",\ + "3.307876, 3.429456, 3.487369, 3.494342, 3.514300"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606",\ + "0.758812, 0.765606, 0.765606, 0.765606, 0.765606"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.113431, 4.206856, 4.262291, 4.268966, 4.288071",\ + "4.526081, 4.619505, 4.674940, 4.681615, 4.700720",\ + "4.938730, 5.032154, 5.087589, 5.094265, 5.113369",\ + "5.490028, 5.583453, 5.638888, 5.645563, 5.664668",\ + "5.680990, 5.774415, 5.829850, 5.836525, 5.855629"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581",\ + "0.423323, 0.480753, 0.520908, 0.525743, 0.539581"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.036190, 6.157772, 6.215684, 6.222658, 6.242616",\ + "6.036190, 6.157772, 6.215684, 6.222658, 6.242616",\ + "6.073528, 6.195109, 6.253022, 6.259995, 6.279954",\ + "6.110867, 6.232448, 6.290360, 6.297334, 6.317292",\ + "6.185543, 6.307124, 6.365037, 6.372010, 6.391969"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777881, 0.789779, 0.789779, 0.789779, 0.789779",\ + "0.777881, 0.789779, 0.789779, 0.789779, 0.789779",\ + "0.777881, 0.789779, 0.789779, 0.789779, 0.789779",\ + "0.777881, 0.789779, 0.789779, 0.789779, 0.789779",\ + "0.777881, 0.789779, 0.789779, 0.789779, 0.789779"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.973114, 6.066259, 6.121320, 6.127950, 6.146925",\ + "5.973114, 6.066259, 6.121320, 6.127950, 6.146925",\ + "6.010452, 6.103598, 6.158658, 6.165288, 6.184263",\ + "6.047790, 6.140936, 6.195996, 6.202626, 6.221601",\ + "6.122467, 6.215612, 6.270673, 6.277303, 6.296278"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444254, 0.500510, 0.538755, 0.543360, 0.556540",\ + "0.444254, 0.500510, 0.538755, 0.543360, 0.556540",\ + "0.444254, 0.500510, 0.538755, 0.543360, 0.556540",\ + "0.444254, 0.500510, 0.538755, 0.543360, 0.556540",\ + "0.444254, 0.500510, 0.538755, 0.543360, 0.556540"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.432288, 5.432971, 5.433508, 5.433572, 5.433757",\ + "5.432288, 5.432971, 5.433508, 5.433572, 5.433757",\ + "5.506964, 5.507648, 5.508184, 5.508249, 5.508433",\ + "5.531856, 5.532540, 5.533076, 5.533141, 5.533325",\ + "5.581641, 5.582325, 5.582861, 5.582925, 5.583110"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.381357, 5.386680, 5.386743, 5.386750, 5.386772",\ + "5.381357, 5.386680, 5.386743, 5.386750, 5.386772",\ + "5.456033, 5.461357, 5.461419, 5.461427, 5.461449",\ + "5.480925, 5.486248, 5.486311, 5.486319, 5.486341",\ + "5.530710, 5.536033, 5.536096, 5.536103, 5.536125"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.070441, 4.192022, 4.249935, 4.256908, 4.276866",\ + "4.549962, 4.671543, 4.729456, 4.736429, 4.756387",\ + "5.029482, 5.151064, 5.208977, 5.215950, 5.235908",\ + "5.670122, 5.791703, 5.849616, 5.856589, 5.876547",\ + "5.923955, 6.045537, 6.103449, 6.110423, 6.130381"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.898223, 3.991648, 4.047083, 4.053758, 4.072863",\ + "4.459785, 4.553210, 4.608645, 4.615321, 4.634425",\ + "5.021348, 5.114773, 5.170208, 5.176883, 5.195988",\ + "5.771595, 5.865020, 5.920455, 5.927131, 5.946235",\ + "6.123504, 6.216929, 6.272364, 6.279039, 6.298144"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423329, 0.480753, 0.520910, 0.525745, 0.539584",\ + "0.423329, 0.480753, 0.520910, 0.525745, 0.539584",\ + "0.423329, 0.480753, 0.520910, 0.525745, 0.539584",\ + "0.423329, 0.480753, 0.520910, 0.525745, 0.539584",\ + "0.423329, 0.480753, 0.520910, 0.525745, 0.539584"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.730194, 3.851776, 3.909688, 3.916662, 3.936620",\ + "3.594920, 3.716501, 3.774414, 3.781387, 3.801346",\ + "3.389276, 3.510857, 3.568770, 3.575743, 3.595701",\ + "3.161769, 3.283350, 3.341263, 3.348237, 3.368195",\ + "2.990652, 3.112233, 3.170146, 3.177119, 3.197078"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.771734, 3.865159, 3.920594, 3.927269, 3.946373",\ + "4.098603, 4.192028, 4.247463, 4.254138, 4.273243",\ + "4.595510, 4.688935, 4.744370, 4.751046, 4.770150",\ + "5.145246, 5.238670, 5.294106, 5.300781, 5.319885",\ + "5.335873, 5.429297, 5.484733, 5.491408, 5.510512"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423329, 0.480753, 0.520910, 0.525745, 0.539584",\ + "0.423329, 0.480753, 0.520910, 0.525745, 0.539584",\ + "0.423329, 0.480753, 0.520910, 0.525745, 0.539584",\ + "0.423329, 0.480753, 0.520910, 0.525745, 0.539584",\ + "0.423329, 0.480753, 0.520910, 0.525745, 0.539584"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.897891, 5.019472, 5.077385, 5.084358, 5.104316",\ + "5.377457, 5.499038, 5.556951, 5.563924, 5.583882",\ + "5.857022, 5.978604, 6.036517, 6.043490, 6.063448",\ + "6.497723, 6.619305, 6.677217, 6.684190, 6.704148",\ + "6.751555, 6.873137, 6.931050, 6.938023, 6.957981"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777881, 0.789779, 0.789779, 0.789779, 0.789779",\ + "0.777881, 0.789779, 0.789779, 0.789779, 0.789779",\ + "0.777881, 0.789779, 0.789779, 0.789779, 0.789779",\ + "0.777881, 0.789779, 0.789779, 0.789779, 0.789779",\ + "0.777881, 0.789779, 0.789779, 0.789779, 0.789779"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.842008, 4.935154, 4.990214, 4.996844, 5.015820",\ + "5.321574, 5.414720, 5.469780, 5.476410, 5.495385",\ + "5.801140, 5.894286, 5.949346, 5.955976, 5.974951",\ + "6.441840, 6.534986, 6.590046, 6.596676, 6.615651",\ + "6.695673, 6.788819, 6.843879, 6.850509, 6.869484"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444254, 0.500510, 0.538755, 0.543360, 0.556540",\ + "0.444254, 0.500510, 0.538755, 0.543360, 0.556540",\ + "0.444254, 0.500510, 0.538755, 0.543360, 0.556540",\ + "0.444254, 0.500510, 0.538755, 0.543360, 0.556540",\ + "0.444254, 0.500510, 0.538755, 0.543360, 0.556540"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.056965, 4.057649, 4.058186, 4.058250, 4.058434",\ + "3.886187, 3.886870, 3.887407, 3.887471, 3.887656",\ + "3.715408, 3.716092, 3.716628, 3.716692, 3.716877",\ + "3.487248, 3.487932, 3.488468, 3.488533, 3.488717",\ + "3.316108, 3.316792, 3.317328, 3.317393, 3.317577"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.006034, 4.011358, 4.011420, 4.011428, 4.011450",\ + "3.835256, 3.840579, 3.840642, 3.840649, 3.840671",\ + "3.664477, 3.669800, 3.669863, 3.669870, 3.669892",\ + "3.436317, 3.441640, 3.441703, 3.441710, 3.441732",\ + "3.265177, 3.270501, 3.270563, 3.270571, 3.270592"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.960622"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.983308"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/lib/ff/caravan.min.lib b/signoff/caravan/primetime/lib/ff/caravan.min.lib new file mode 100644 index 00000000..854c65d3 --- /dev/null +++ b/signoff/caravan/primetime/lib/ff/caravan.min.lib @@ -0,0 +1,4508 @@ + +library("caravan.min") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 05:06:24 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.9500 ; + nom_temperature : -40.0000 ; + operating_conditions( "sky130_fd_sc_hd__ff_1.95v_-40C" ) { + process : 1.0000 ; + voltage : 1.9500 ; + temperature : -40.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__ff_1.95v_-40C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.833252, 4.833252, 4.758580, 4.733689, 4.683908",\ + "5.312790, 5.312790, 5.238118, 5.213227, 5.163446",\ + "5.792328, 5.792328, 5.717656, 5.692765, 5.642984",\ + "6.432990, 6.432990, 6.358318, 6.333427, 6.283646",\ + "6.686823, 6.686823, 6.612151, 6.587260, 6.537479"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.588215, 4.588215, 4.513543, 4.488652, 4.438871",\ + "5.149761, 5.149761, 5.075089, 5.050199, 5.000417",\ + "5.711308, 5.711308, 5.636635, 5.611745, 5.561964",\ + "6.461532, 6.461532, 6.386859, 6.361969, 6.312188",\ + "6.813438, 6.813438, 6.738766, 6.713876, 6.664094"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.012986, 1.012986, 1.050322, 1.087658, 1.162330",\ + "1.183779, 1.183779, 1.221114, 1.258451, 1.333122",\ + "1.354571, 1.354571, 1.391907, 1.429243, 1.503915",\ + "1.582750, 1.582750, 1.620086, 1.657422, 1.732094",\ + "1.753876, 1.753876, 1.791212, 1.828548, 1.903220"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.631536, 0.631536, 0.668872, 0.706208, 0.780880",\ + "0.218846, 0.218846, 0.256182, 0.293518, 0.368190",\ + "-0.193844, -0.193844, -0.156508, -0.119172, -0.044500",\ + "-0.745198, -0.745198, -0.707862, -0.670525, -0.595854",\ + "-0.935948, -0.935948, -0.898612, -0.861276, -0.786604"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.580972, 1.168296, 0.755621, 0.204287, 0.013461",\ + "2.060509, 1.647834, 1.235158, 0.683824, 0.492999",\ + "2.540047, 2.127372, 1.714696, 1.163362, 0.972537",\ + "3.180710, 2.768034, 2.355359, 1.804024, 1.613199",\ + "3.434543, 3.021867, 2.609192, 2.057858, 1.867032"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.970001, 1.557326, 1.144650, 0.593316, 0.402491",\ + "2.531547, 2.118872, 1.706196, 1.154862, 0.964037",\ + "3.093093, 2.680418, 2.267742, 1.716408, 1.525583",\ + "3.843318, 3.430642, 3.017967, 2.466633, 2.275807",\ + "4.195226, 3.782550, 3.369874, 2.818540, 2.627715"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.962971, -0.401437, 0.160098, 0.910308, 1.262215",\ + "-0.792179, -0.230644, 0.330890, 1.081100, 1.433007",\ + "-0.621386, -0.059851, 0.501683, 1.251893, 1.603800",\ + "-0.393207, 0.168328, 0.729862, 1.480072, 1.831980",\ + "-0.222081, 0.339453, 0.900988, 1.651198, 2.003105"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.466481, -0.904947, -0.343412, 0.406798, 0.758705",\ + "-1.879171, -1.317636, -0.756102, -0.005892, 0.346015",\ + "-2.291861, -1.730326, -1.168792, -0.418582, -0.066675",\ + "-2.843215, -2.281681, -1.720146, -0.969936, -0.618029",\ + "-3.033966, -2.472432, -1.910897, -1.160687, -0.808780"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.921495, 4.921495, 4.846824, 4.821933, 4.772152",\ + "5.401038, 5.401038, 5.326366, 5.301476, 5.251695",\ + "5.880580, 5.880580, 5.805908, 5.781017, 5.731236",\ + "6.521249, 6.521249, 6.446577, 6.421686, 6.371905",\ + "6.775081, 6.775081, 6.700409, 6.675518, 6.625737"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.563708, 4.563708, 4.489037, 4.464146, 4.414365",\ + "5.125249, 5.125249, 5.050577, 5.025686, 4.975905",\ + "5.686789, 5.686789, 5.612117, 5.587226, 5.537445",\ + "6.437006, 6.437006, 6.362334, 6.337443, 6.287663",\ + "6.788914, 6.788914, 6.714242, 6.689351, 6.639570"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.488550, 0.488550, 0.525886, 0.563222, 0.637894",\ + "0.659340, 0.659340, 0.696676, 0.734012, 0.808684",\ + "0.830130, 0.830130, 0.867466, 0.904802, 0.979474",\ + "1.058306, 1.058306, 1.095641, 1.132978, 1.207649",\ + "1.229434, 1.229434, 1.266769, 1.304106, 1.378778"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.015143, 0.015143, 0.052479, 0.089815, 0.164487",\ + "-0.397540, -0.397540, -0.360204, -0.322867, -0.248196",\ + "-0.810222, -0.810222, -0.772886, -0.735549, -0.660878",\ + "-1.361565, -1.361565, -1.324229, -1.286893, -1.212221",\ + "-1.552353, -1.552353, -1.515018, -1.477681, -1.403009"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.831328, 1.418652, 1.005977, 0.454643, 0.263817",\ + "2.310870, 1.898194, 1.485519, 0.934185, 0.743359",\ + "2.790413, 2.377738, 1.965062, 1.413728, 1.222902",\ + "3.431082, 3.018407, 2.605731, 2.054397, 1.863572",\ + "3.684915, 3.272239, 2.859564, 2.308229, 2.117404"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.150702, 1.738026, 1.325351, 0.774017, 0.583191",\ + "2.712242, 2.299567, 1.886891, 1.335557, 1.144732",\ + "3.273782, 2.861107, 2.448431, 1.897097, 1.706272",\ + "4.024001, 3.611325, 3.198650, 2.647316, 2.456490",\ + "4.375908, 3.963233, 3.550557, 2.999223, 2.808398"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.139473, -0.577938, -0.016404, 0.733806, 1.085713",\ + "-0.968683, -0.407148, 0.154386, 0.904596, 1.256504",\ + "-0.797893, -0.236358, 0.325176, 1.075386, 1.427293",\ + "-0.569717, -0.008183, 0.553352, 1.303562, 1.655469",\ + "-0.398589, 0.162946, 0.724480, 1.474690, 1.826597"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.728501, -1.166967, -0.605433, 0.144778, 0.496685",\ + "-2.141184, -1.579649, -1.018115, -0.267905, 0.084002",\ + "-2.553867, -1.992332, -1.430798, -0.680588, -0.328681",\ + "-3.105210, -2.543676, -1.982141, -1.231931, -0.880024",\ + "-3.295999, -2.734464, -2.172930, -1.422720, -1.070812"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.984076, 4.984076, 4.909403, 4.884513, 4.834732",\ + "5.463606, 5.463606, 5.388934, 5.364043, 5.314262",\ + "5.943136, 5.943136, 5.868464, 5.843574, 5.793792",\ + "6.583789, 6.583789, 6.509117, 6.484226, 6.434445",\ + "6.837622, 6.837622, 6.762949, 6.738059, 6.688278"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.739703, 4.739703, 4.665031, 4.640141, 4.590359",\ + "5.301256, 5.301256, 5.226584, 5.201694, 5.151912",\ + "5.862809, 5.862809, 5.788137, 5.763247, 5.713465",\ + "6.613042, 6.613042, 6.538370, 6.513479, 6.463698",\ + "6.964952, 6.964952, 6.890279, 6.865389, 6.815608"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.890017, 0.890017, 0.927352, 0.964689, 1.039361",\ + "1.060812, 1.060812, 1.098148, 1.135485, 1.210156",\ + "1.231609, 1.231609, 1.268945, 1.306281, 1.380953",\ + "1.459792, 1.459792, 1.497128, 1.534464, 1.609136",\ + "1.630914, 1.630914, 1.668250, 1.705586, 1.780258"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.644489, 0.644489, 0.681824, 0.719161, 0.793833",\ + "0.231789, 0.231789, 0.269125, 0.306461, 0.381133",\ + "-0.180911, -0.180911, -0.143575, -0.106239, -0.031567",\ + "-0.732278, -0.732278, -0.694942, -0.657606, -0.582934",\ + "-0.922977, -0.922977, -0.885642, -0.848305, -0.773633"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.660872, 1.248196, 0.835521, 0.284187, 0.093361",\ + "2.140402, 1.727727, 1.315051, 0.763717, 0.572892",\ + "2.619932, 2.207257, 1.794581, 1.243247, 1.052422",\ + "3.260586, 2.847910, 2.435235, 1.883901, 1.693075",\ + "3.514420, 3.101744, 2.689069, 2.137735, 1.946909"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.776949, 1.364273, 0.951598, 0.400264, 0.209438",\ + "2.338501, 1.925825, 1.513150, 0.961816, 0.770990",\ + "2.900054, 2.487378, 2.074703, 1.523369, 1.332543",\ + "3.650288, 3.237613, 2.824937, 2.273603, 2.082778",\ + "4.002197, 3.589521, 3.176846, 2.625512, 2.434686"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.056406, -0.494871, 0.066663, 0.816873, 1.168780",\ + "-0.885610, -0.324075, 0.237459, 0.987669, 1.339576",\ + "-0.714814, -0.153279, 0.408255, 1.158465, 1.510372",\ + "-0.486630, 0.074904, 0.636438, 1.386648, 1.738556",\ + "-0.315508, 0.246026, 0.807560, 1.557770, 1.909678"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.388924, -0.827389, -0.265855, 0.484355, 0.836262",\ + "-1.801624, -1.240089, -0.678555, 0.071655, 0.423562",\ + "-2.214324, -1.652789, -1.091255, -0.341045, 0.010863",\ + "-2.765691, -2.204156, -1.642622, -0.892412, -0.540505",\ + "-2.956390, -2.394855, -1.833321, -1.083111, -0.731204"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.923703, 4.923703, 4.849030, 4.824140, 4.774359",\ + "5.403255, 5.403255, 5.328583, 5.303692, 5.253911",\ + "5.882805, 5.882805, 5.808133, 5.783243, 5.733461",\ + "6.523486, 6.523486, 6.448813, 6.423923, 6.374142",\ + "6.777318, 6.777318, 6.702646, 6.677756, 6.627975"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.684991, 4.684991, 4.610319, 4.585429, 4.535647",\ + "5.246523, 5.246523, 5.171851, 5.146961, 5.097179",\ + "5.808054, 5.808054, 5.733382, 5.708492, 5.658710",\ + "6.558261, 6.558261, 6.483589, 6.458699, 6.408917",\ + "6.910167, 6.910167, 6.835495, 6.810605, 6.760823"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.623321, 0.623321, 0.660656, 0.697993, 0.772665",\ + "0.794107, 0.794107, 0.831443, 0.868779, 0.943451",\ + "0.964892, 0.964892, 1.002228, 1.039564, 1.114236",\ + "1.193062, 1.193062, 1.230398, 1.267735, 1.342406",\ + "1.364195, 1.364195, 1.401531, 1.438867, 1.513539"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.486378, 0.486378, 0.523714, 0.561050, 0.635722",\ + "0.073708, 0.073708, 0.111043, 0.148380, 0.223052",\ + "-0.338963, -0.338963, -0.301627, -0.264291, -0.189619",\ + "-0.890290, -0.890290, -0.852954, -0.815618, -0.740946",\ + "-1.081141, -1.081141, -1.043805, -1.006469, -0.931797"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.692569, 1.279894, 0.867218, 0.315884, 0.125059",\ + "2.172121, 1.759445, 1.346770, 0.795435, 0.604610",\ + "2.651671, 2.238995, 1.826320, 1.274986, 1.084160",\ + "3.292353, 2.879677, 2.467002, 1.915668, 1.724842",\ + "3.546185, 3.133510, 2.720834, 2.169500, 1.978675"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.888892, 1.476216, 1.063541, 0.512207, 0.321381",\ + "2.450423, 2.037747, 1.625072, 1.073738, 0.882912",\ + "3.011954, 2.599279, 2.186603, 1.635269, 1.444444",\ + "3.762160, 3.349485, 2.936809, 2.385475, 2.194650",\ + "4.114067, 3.701391, 3.288716, 2.737382, 2.546556"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.005818, -0.444284, 0.117250, 0.867460, 1.219368",\ + "-0.835032, -0.273498, 0.288036, 1.038246, 1.390154",\ + "-0.664247, -0.102712, 0.458822, 1.209032, 1.560939",\ + "-0.436077, 0.125458, 0.686992, 1.437202, 1.789109",\ + "-0.264944, 0.296590, 0.858124, 1.608334, 1.960242"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.244195, -0.682660, -0.121126, 0.629084, 0.980991",\ + "-1.656865, -1.095330, -0.533796, 0.216414, 0.568321",\ + "-2.069535, -1.508001, -0.946467, -0.196257, 0.155650",\ + "-2.620863, -2.059329, -1.497794, -0.747584, -0.395677",\ + "-2.811714, -2.250180, -1.688646, -0.938436, -0.586528"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.846865, 4.846865, 4.772192, 4.747302, 4.697520",\ + "5.326447, 5.326447, 5.251774, 5.226884, 5.177102",\ + "5.806026, 5.806026, 5.731353, 5.706463, 5.656681",\ + "6.446746, 6.446746, 6.372073, 6.347183, 6.297401",\ + "6.700580, 6.700580, 6.625907, 6.601017, 6.551235"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.605544, 4.605544, 4.530871, 4.505981, 4.456200",\ + "5.167047, 5.167047, 5.092374, 5.067484, 5.017702",\ + "5.728549, 5.728549, 5.653876, 5.628986, 5.579205",\ + "6.478717, 6.478717, 6.404044, 6.379154, 6.329372",\ + "6.830623, 6.830623, 6.755950, 6.731060, 6.681278"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.715084, 0.715084, 0.752420, 0.789756, 0.864428",\ + "0.885863, 0.885863, 0.923198, 0.960535, 1.035207",\ + "1.056641, 1.056641, 1.093977, 1.131314, 1.205985",\ + "1.284801, 1.284801, 1.322137, 1.359474, 1.434145",\ + "1.455938, 1.455938, 1.493274, 1.530610, 1.605282"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.109961, 0.109961, 0.147296, 0.184633, 0.259305",\ + "-0.302702, -0.302702, -0.265366, -0.228030, -0.153358",\ + "-0.715365, -0.715365, -0.678029, -0.640693, -0.566021",\ + "-1.266682, -1.266682, -1.229346, -1.192010, -1.117338",\ + "-1.457928, -1.457928, -1.420592, -1.383256, -1.308584"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.687077, 1.274401, 0.861726, 0.310391, 0.119566",\ + "2.166656, 1.753981, 1.341305, 0.789971, 0.599146",\ + "2.646237, 2.233561, 1.820886, 1.269552, 1.078726",\ + "3.286956, 2.874280, 2.461605, 1.910270, 1.719445",\ + "3.540789, 3.128113, 2.715438, 2.164104, 1.973278"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.186523, 1.773847, 1.361172, 0.809838, 0.619012",\ + "2.748025, 2.335350, 1.922674, 1.371340, 1.180515",\ + "3.309528, 2.896852, 2.484177, 1.932842, 1.742017",\ + "4.059695, 3.647019, 3.234344, 2.683009, 2.492184",\ + "4.411601, 3.998925, 3.586250, 3.034916, 2.844090"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.945916, -0.384381, 0.177153, 0.927363, 1.279270",\ + "-0.775137, -0.213603, 0.347932, 1.098142, 1.450049",\ + "-0.604358, -0.042824, 0.518710, 1.268920, 1.620828",\ + "-0.376198, 0.185336, 0.746871, 1.497081, 1.848988",\ + "-0.205061, 0.356473, 0.918007, 1.668217, 2.020124"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.684960, -1.123426, -0.561892, 0.188318, 0.540226",\ + "-2.097623, -1.536088, -0.974554, -0.224344, 0.127563",\ + "-2.510285, -1.948751, -1.387217, -0.637007, -0.285099",\ + "-3.061602, -2.500068, -1.938534, -1.188324, -0.836416",\ + "-3.252848, -2.691314, -2.129780, -1.379570, -1.027663"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.941073, 4.941073, 4.866401, 4.841510, 4.791729",\ + "5.420641, 5.420641, 5.345969, 5.321079, 5.271297",\ + "5.900209, 5.900209, 5.825537, 5.800646, 5.750865",\ + "6.540911, 6.540911, 6.466239, 6.441349, 6.391567",\ + "6.794744, 6.794744, 6.720072, 6.695181, 6.645400"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.660779, 4.660779, 4.586106, 4.561216, 4.511435",\ + "5.222294, 5.222294, 5.147622, 5.122732, 5.072950",\ + "5.783808, 5.783808, 5.709136, 5.684246, 5.634464",\ + "6.533990, 6.533990, 6.459318, 6.434428, 6.384646",\ + "6.885896, 6.885896, 6.811224, 6.786334, 6.736552"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.803502, 0.803502, 0.840838, 0.878174, 0.952846",\ + "0.974280, 0.974280, 1.011616, 1.048952, 1.123624",\ + "1.145058, 1.145058, 1.182394, 1.219731, 1.294402",\ + "1.373218, 1.373218, 1.410554, 1.447890, 1.522562",\ + "1.544358, 1.544358, 1.581694, 1.619030, 1.693702"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.356665, 0.356665, 0.394001, 0.431338, 0.506009",\ + "-0.055981, -0.055981, -0.018646, 0.018691, 0.093363",\ + "-0.468628, -0.468628, -0.431292, -0.393956, -0.319284",\ + "-1.019924, -1.019924, -0.982588, -0.945252, -0.870580",\ + "-1.210898, -1.210898, -1.173562, -1.136226, -1.061554"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.603155, 1.190479, 0.777804, 0.226470, 0.035644",\ + "2.082722, 1.670047, 1.257371, 0.706037, 0.515212",\ + "2.562290, 2.149614, 1.736939, 1.185605, 0.994779",\ + "3.202991, 2.790315, 2.377640, 1.826306, 1.635480",\ + "3.456824, 3.044149, 2.631473, 2.080139, 1.889314"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.891159, 1.478483, 1.065808, 0.514473, 0.323648",\ + "2.452672, 2.039997, 1.627321, 1.075987, 0.885162",\ + "3.014186, 2.601510, 2.188835, 1.637501, 1.446675",\ + "3.764369, 3.351693, 2.939018, 2.387683, 2.196858",\ + "4.116275, 3.703600, 3.290924, 2.739590, 2.548765"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.837349, -0.275814, 0.285720, 1.035930, 1.387837",\ + "-0.666571, -0.105036, 0.456498, 1.206708, 1.558615",\ + "-0.495793, 0.065742, 0.627276, 1.377486, 1.729393",\ + "-0.267633, 0.293901, 0.855435, 1.605645, 1.957553",\ + "-0.096493, 0.465042, 1.026576, 1.776786, 2.128693"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.358983, -0.797448, -0.235914, 0.514296, 0.866203",\ + "-1.771630, -1.210095, -0.648561, 0.101649, 0.453556",\ + "-2.184277, -1.622742, -1.061208, -0.310998, 0.040910",\ + "-2.735573, -2.174039, -1.612504, -0.862294, -0.510387",\ + "-2.926547, -2.365012, -1.803478, -1.053268, -0.701361"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.143641, 5.143641, 5.068968, 5.044078, 4.994297",\ + "5.623232, 5.623232, 5.548560, 5.523669, 5.473888",\ + "6.102823, 6.102823, 6.028151, 6.003261, 5.953479",\ + "6.743558, 6.743558, 6.668886, 6.643995, 6.594214",\ + "6.997392, 6.997392, 6.922719, 6.897829, 6.848048"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.856297, 4.856297, 4.781624, 4.756734, 4.706953",\ + "5.417800, 5.417800, 5.343128, 5.318237, 5.268456",\ + "5.979304, 5.979304, 5.904632, 5.879742, 5.829960",\ + "6.729473, 6.729473, 6.654801, 6.629910, 6.580129",\ + "7.081379, 7.081379, 7.006707, 6.981816, 6.932035"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.596595, 0.596595, 0.633931, 0.671267, 0.745939",\ + "0.767391, 0.767391, 0.804727, 0.842063, 0.916735",\ + "0.938186, 0.938186, 0.975522, 1.012858, 1.087530",\ + "1.166369, 1.166369, 1.203705, 1.241041, 1.315713",\ + "1.337479, 1.337479, 1.374815, 1.412152, 1.486823"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.007778, 0.007778, 0.045114, 0.082450, 0.157122",\ + "-0.404990, -0.404990, -0.367654, -0.330317, -0.255646",\ + "-0.817758, -0.817758, -0.780422, -0.743085, -0.668414",\ + "-1.369215, -1.369215, -1.331880, -1.294543, -1.219872",\ + "-1.561094, -1.561094, -1.523758, -1.486422, -1.411750"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.046432, 1.633756, 1.221080, 0.669746, 0.478921",\ + "2.526023, 2.113347, 1.700672, 1.149338, 0.958512",\ + "3.005614, 2.592938, 2.180263, 1.628928, 1.438103",\ + "3.646348, 3.233672, 2.820996, 2.269662, 2.078837",\ + "3.900181, 3.487505, 3.074830, 2.523496, 2.332670"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.333547, 1.920871, 1.508195, 0.956861, 0.766036",\ + "2.895050, 2.482374, 2.069699, 1.518365, 1.327539",\ + "3.456553, 3.043877, 2.631202, 2.079868, 1.889042",\ + "4.206722, 3.794046, 3.381371, 2.830036, 2.639211",\ + "4.558628, 4.145952, 3.733276, 3.181942, 2.991117"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.123070, -0.561535, -0.000001, 0.750209, 1.102117",\ + "-0.952274, -0.390739, 0.170795, 0.921005, 1.272913",\ + "-0.781478, -0.219944, 0.341591, 1.091801, 1.443708",\ + "-0.553295, 0.008240, 0.569774, 1.319984, 1.671891",\ + "-0.382185, 0.179350, 0.740884, 1.491094, 1.843001"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.846034, -1.284500, -0.722965, 0.027245, 0.379152",\ + "-2.258802, -1.697267, -1.135733, -0.385523, -0.033616",\ + "-2.671569, -2.110034, -1.548500, -0.798290, -0.446383",\ + "-3.223027, -2.661492, -2.099958, -1.349748, -0.997841",\ + "-3.414906, -2.853371, -2.291837, -1.541627, -1.189720"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.928677, 5.928677, 5.854005, 5.829114, 5.779333",\ + "6.408258, 6.408258, 6.333587, 6.308696, 6.258915",\ + "6.887840, 6.887840, 6.813169, 6.788278, 6.738497",\ + "7.528561, 7.528561, 7.453889, 7.428998, 7.379217",\ + "7.782393, 7.782393, 7.707722, 7.682831, 7.633050"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.570911, 5.570911, 5.496240, 5.471349, 5.421568",\ + "6.132416, 6.132416, 6.057744, 6.032853, 5.983072",\ + "6.693918, 6.693918, 6.619246, 6.594356, 6.544575",\ + "7.444084, 7.444084, 7.369412, 7.344522, 7.294741",\ + "7.795990, 7.795990, 7.721318, 7.696427, 7.646646"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.215467, 0.215467, 0.252803, 0.290140, 0.364811",\ + "0.386248, 0.386248, 0.423584, 0.460920, 0.535592",\ + "0.557028, 0.557028, 0.594364, 0.631701, 0.706372",\ + "0.785191, 0.785191, 0.822527, 0.859863, 0.934535",\ + "0.956325, 0.956325, 0.993661, 1.030997, 1.105669"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.397673, -0.397673, -0.360337, -0.323001, -0.248329",\ + "-0.810346, -0.810346, -0.773010, -0.735674, -0.661002",\ + "-1.223019, -1.223019, -1.185683, -1.148347, -1.073675",\ + "-1.774350, -1.774350, -1.737014, -1.699678, -1.625006",\ + "-1.965660, -1.965660, -1.928324, -1.890988, -1.816316"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.548533, 2.135858, 1.723182, 1.171848, 0.981023",\ + "3.028114, 2.615439, 2.202763, 1.651429, 1.460604",\ + "3.507695, 3.095020, 2.682344, 2.131010, 1.940185",\ + "4.148416, 3.735740, 3.323065, 2.771731, 2.580905",\ + "4.402249, 3.989573, 3.576898, 3.025564, 2.834738"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.967120, 2.554444, 2.141769, 1.590435, 1.399609",\ + "3.528622, 3.115947, 2.703271, 2.151937, 1.961112",\ + "4.090125, 3.677449, 3.264774, 2.713439, 2.522614",\ + "4.840292, 4.427616, 4.014941, 3.463607, 3.272781",\ + "5.192198, 4.779522, 4.366847, 3.815513, 3.624687"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.449020, -0.887486, -0.325951, 0.424259, 0.776166",\ + "-1.278240, -0.716705, -0.155171, 0.595039, 0.946946",\ + "-1.107459, -0.545925, 0.015610, 0.765820, 1.117727",\ + "-0.879297, -0.317762, 0.243772, 0.993982, 1.345890",\ + "-0.708163, -0.146628, 0.414906, 1.165116, 1.517024"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.195825, -1.634290, -1.072756, -0.322546, 0.029361",\ + "-2.608499, -2.046964, -1.485430, -0.735220, -0.383312",\ + "-3.021172, -2.459637, -1.898103, -1.147893, -0.795986",\ + "-3.572503, -3.010968, -2.449434, -1.699224, -1.347317",\ + "-3.763813, -3.202278, -2.640744, -1.890534, -1.538627"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.982693, 4.982693, 4.908020, 4.883130, 4.833349",\ + "5.462272, 5.462272, 5.387599, 5.362709, 5.312928",\ + "5.941852, 5.941852, 5.867179, 5.842289, 5.792508",\ + "6.582569, 6.582569, 6.507897, 6.483006, 6.433225",\ + "6.836402, 6.836402, 6.761730, 6.736839, 6.687058"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.645240, 4.645240, 4.570568, 4.545677, 4.495896",\ + "5.206743, 5.206743, 5.132071, 5.107181, 5.057399",\ + "5.768245, 5.768245, 5.693573, 5.668682, 5.618901",\ + "6.518414, 6.518414, 6.443741, 6.418851, 6.369070",\ + "6.870319, 6.870319, 6.795647, 6.770757, 6.720975"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.422278, 0.422278, 0.459614, 0.496950, 0.571622",\ + "0.593055, 0.593055, 0.630390, 0.667727, 0.742399",\ + "0.763831, 0.763831, 0.801167, 0.838503, 0.913175",\ + "0.991988, 0.991988, 1.029324, 1.066661, 1.141332",\ + "1.163128, 1.163128, 1.200464, 1.237801, 1.312472"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.077723, 0.077723, 0.115058, 0.152395, 0.227067",\ + "-0.334927, -0.334927, -0.297591, -0.260254, -0.185583",\ + "-0.747575, -0.747575, -0.710239, -0.672903, -0.598231",\ + "-1.298874, -1.298874, -1.261538, -1.224202, -1.149530",\ + "-1.490037, -1.490037, -1.452701, -1.415365, -1.340693"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.190201, 1.777525, 1.364850, 0.813516, 0.622690",\ + "2.669780, 2.257104, 1.844429, 1.293095, 1.102269",\ + "3.149359, 2.736683, 2.324008, 1.772674, 1.581848",\ + "3.790076, 3.377401, 2.964725, 2.413391, 2.222566",\ + "4.043910, 3.631234, 3.218559, 2.667225, 2.476399"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.423440, 2.010765, 1.598089, 1.046755, 0.855930",\ + "2.984943, 2.572268, 2.159592, 1.608258, 1.417433",\ + "3.546445, 3.133770, 2.721094, 2.169760, 1.978935",\ + "4.296613, 3.883937, 3.471262, 2.919928, 2.729102",\ + "4.648520, 4.235844, 3.823169, 3.271835, 3.081009"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.553655, -0.992120, -0.430586, 0.319624, 0.671531",\ + "-1.382878, -0.821344, -0.259809, 0.490401, 0.842308",\ + "-1.212102, -0.650567, -0.089033, 0.661177, 1.013084",\ + "-0.983944, -0.422410, 0.139124, 0.889334, 1.241242",\ + "-0.812804, -0.251270, 0.310265, 1.060475, 1.412382"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.020261, -1.458726, -0.897192, -0.146982, 0.204926",\ + "-2.432910, -1.871375, -1.309841, -0.559631, -0.207724",\ + "-2.845558, -2.284024, -1.722490, -0.972280, -0.620372",\ + "-3.396857, -2.835322, -2.273788, -1.523578, -1.171671",\ + "-3.588020, -3.026485, -2.464951, -1.714741, -1.362834"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.995702, 4.995702, 4.921030, 4.896139, 4.846358",\ + "5.475280, 5.475280, 5.400608, 5.375717, 5.325936",\ + "5.954860, 5.954860, 5.880188, 5.855297, 5.805516",\ + "6.595577, 6.595577, 6.520905, 6.496015, 6.446234",\ + "6.849410, 6.849410, 6.774738, 6.749847, 6.700067"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.596580, 4.596580, 4.521908, 4.497017, 4.447236",\ + "5.158083, 5.158083, 5.083411, 5.058520, 5.008739",\ + "5.719586, 5.719586, 5.644915, 5.620024, 5.570243",\ + "6.469754, 6.469754, 6.395082, 6.370192, 6.320411",\ + "6.821660, 6.821660, 6.746988, 6.722097, 6.672317"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.049553, 0.049553, 0.086889, 0.124225, 0.198897",\ + "0.220329, 0.220329, 0.257664, 0.295001, 0.369673",\ + "0.391105, 0.391105, 0.428441, 0.465777, 0.540449",\ + "0.619262, 0.619262, 0.656598, 0.693935, 0.768606",\ + "0.790403, 0.790403, 0.827739, 0.865075, 0.939747"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.327291, -0.327291, -0.289956, -0.252619, -0.177948",\ + "-0.739939, -0.739939, -0.702603, -0.665267, -0.590595",\ + "-1.152587, -1.152587, -1.115252, -1.077915, -1.003243",\ + "-1.703886, -1.703886, -1.666550, -1.629213, -1.554542",\ + "-1.895045, -1.895045, -1.857709, -1.820373, -1.745701"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.677242, 2.264566, 1.851891, 1.300557, 1.109731",\ + "3.156821, 2.744146, 2.331470, 1.780136, 1.589311",\ + "3.636399, 3.223724, 2.811048, 2.259714, 2.068889",\ + "4.277117, 3.864441, 3.451766, 2.900432, 2.709606",\ + "4.530950, 4.118274, 3.705599, 3.154264, 2.963439"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.645772, 2.233097, 1.820421, 1.269087, 1.078261",\ + "3.207274, 2.794599, 2.381923, 1.830589, 1.639763",\ + "3.768776, 3.356101, 2.943425, 2.392091, 2.201266",\ + "4.518944, 4.106269, 3.693593, 3.142259, 2.951434",\ + "4.870849, 4.458174, 4.045498, 3.494164, 3.303339"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.578470, -1.016935, -0.455401, 0.294809, 0.646716",\ + "-1.407694, -0.846159, -0.284625, 0.465585, 0.817492",\ + "-1.236918, -0.675383, -0.113849, 0.636361, 0.988268",\ + "-1.008760, -0.447226, 0.114309, 0.864519, 1.216426",\ + "-0.837620, -0.276085, 0.285449, 1.035659, 1.387566"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.070936, -1.509401, -0.947867, -0.197657, 0.154250",\ + "-2.483584, -1.922050, -1.360516, -0.610306, -0.258398",\ + "-2.896233, -2.334698, -1.773164, -1.022954, -0.671046",\ + "-3.447531, -2.885996, -2.324462, -1.574252, -1.222345",\ + "-3.638690, -3.077155, -2.515621, -1.765411, -1.413504"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.061543, 5.061543, 4.986871, 4.961981, 4.912199",\ + "5.541120, 5.541120, 5.466447, 5.441557, 5.391776",\ + "6.020699, 6.020699, 5.946026, 5.921136, 5.871355",\ + "6.661413, 6.661413, 6.586741, 6.561851, 6.512069",\ + "6.915247, 6.915247, 6.840575, 6.815684, 6.765903"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.747446, 4.747446, 4.672774, 4.647883, 4.598102",\ + "5.308949, 5.308949, 5.234277, 5.209387, 5.159606",\ + "5.870452, 5.870452, 5.795780, 5.770889, 5.721108",\ + "6.620619, 6.620619, 6.545947, 6.521056, 6.471275",\ + "6.972525, 6.972525, 6.897852, 6.872962, 6.823181"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.211014, -0.211014, -0.173678, -0.136342, -0.061670",\ + "-0.040240, -0.040240, -0.002904, 0.034432, 0.109104",\ + "0.130534, 0.130534, 0.167870, 0.205207, 0.279878",\ + "0.358689, 0.358689, 0.396024, 0.433361, 0.508033",\ + "0.529832, 0.529832, 0.567168, 0.604505, 0.679176"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.366675, -0.366675, -0.329339, -0.292002, -0.217331",\ + "-0.779309, -0.779309, -0.741973, -0.704637, -0.629965",\ + "-1.191944, -1.191944, -1.154608, -1.117271, -1.042600",\ + "-1.743224, -1.743224, -1.705888, -1.668552, -1.593880",\ + "-1.934300, -1.934300, -1.896964, -1.859628, -1.784956"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.763582, 2.350906, 1.938231, 1.386897, 1.196071",\ + "3.243159, 2.830483, 2.417808, 1.866473, 1.675648",\ + "3.722736, 3.310060, 2.897385, 2.346051, 2.155225",\ + "4.363450, 3.950775, 3.538099, 2.986765, 2.795940",\ + "4.617283, 4.204607, 3.791932, 3.240598, 3.049773"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.271871, 2.859196, 2.446520, 1.895186, 1.704361",\ + "3.833374, 3.420699, 3.008023, 2.456689, 2.265864",\ + "4.394877, 3.982202, 3.569526, 3.018192, 2.827367",\ + "5.145044, 4.732369, 4.319694, 3.768359, 3.577534",\ + "5.496950, 5.084275, 4.671599, 4.120265, 3.929440"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.157624, -1.596089, -1.034555, -0.284345, 0.067563",\ + "-1.986850, -1.425315, -0.863781, -0.113571, 0.238337",\ + "-1.816075, -1.254540, -0.693006, 0.057204, 0.409111",\ + "-1.587921, -1.026386, -0.464852, 0.285358, 0.637265",\ + "-1.416777, -0.855242, -0.293708, 0.456502, 0.808409"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.400108, -1.838573, -1.277039, -0.526829, -0.174922",\ + "-2.812742, -2.251207, -1.689673, -0.939463, -0.587556",\ + "-3.225376, -2.663842, -2.102308, -1.352098, -1.000190",\ + "-3.776657, -3.215122, -2.653588, -1.903378, -1.551471",\ + "-3.967733, -3.406198, -2.844664, -2.094454, -1.742547"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.021893, 5.021893, 4.947221, 4.922330, 4.872549",\ + "5.501469, 5.501469, 5.426797, 5.401906, 5.352125",\ + "5.981046, 5.981046, 5.906374, 5.881484, 5.831702",\ + "6.621762, 6.621762, 6.547090, 6.522199, 6.472418",\ + "6.875595, 6.875595, 6.800922, 6.776032, 6.726251"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.778572, 4.778572, 4.703899, 4.679009, 4.629228",\ + "5.340075, 5.340075, 5.265403, 5.240512, 5.190731",\ + "5.901578, 5.901578, 5.826906, 5.802016, 5.752234",\ + "6.651747, 6.651747, 6.577075, 6.552185, 6.502403",\ + "7.003652, 7.003652, 6.928980, 6.904089, 6.854308"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.077540, -0.077540, -0.040204, -0.002868, 0.071804",\ + "0.093234, 0.093234, 0.130570, 0.167906, 0.242578",\ + "0.264008, 0.264008, 0.301343, 0.338680, 0.413352",\ + "0.492161, 0.492161, 0.529497, 0.566833, 0.641505",\ + "0.663305, 0.663305, 0.700641, 0.737978, 0.812649"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.385375, -0.385375, -0.348039, -0.310703, -0.236031",\ + "-0.798007, -0.798007, -0.760671, -0.723334, -0.648663",\ + "-1.210639, -1.210639, -1.173303, -1.135966, -1.061295",\ + "-1.761914, -1.761914, -1.724578, -1.687242, -1.612570",\ + "-1.952963, -1.952963, -1.915627, -1.878291, -1.803619"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.455295, 2.042619, 1.629944, 1.078610, 0.887784",\ + "2.934873, 2.522197, 2.109522, 1.558188, 1.367362",\ + "3.414451, 3.001775, 2.589100, 2.037766, 1.846940",\ + "4.055165, 3.642490, 3.229814, 2.678480, 2.487655",\ + "4.308998, 3.896322, 3.483647, 2.932313, 2.741488"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.829825, 2.417149, 2.004474, 1.453140, 1.262314",\ + "3.391328, 2.978653, 2.565977, 2.014643, 1.823818",\ + "3.952831, 3.540155, 3.127480, 2.576146, 2.385320",\ + "4.703000, 4.290324, 3.877649, 3.326314, 3.135489",\ + "5.054905, 4.642230, 4.229554, 3.678220, 3.487395"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.706679, -1.145144, -0.583610, 0.166600, 0.518507",\ + "-1.535905, -0.974370, -0.412836, 0.337374, 0.689281",\ + "-1.365131, -0.803597, -0.242063, 0.508147, 0.860054",\ + "-1.136978, -0.575444, -0.013910, 0.736300, 1.088208",\ + "-0.965834, -0.404299, 0.157235, 0.907445, 1.259352"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.115947, -1.554413, -0.992879, -0.242669, 0.109238",\ + "-2.528580, -1.967045, -1.405511, -0.655301, -0.303394",\ + "-2.941212, -2.379677, -1.818143, -1.067933, -0.716026",\ + "-3.492487, -2.930953, -2.369419, -1.619209, -1.267302",\ + "-3.683536, -3.122002, -2.560467, -1.810257, -1.458350"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.650312, 4.650312, 4.575640, 4.550750, 4.500968",\ + "5.129891, 5.129891, 5.055219, 5.030329, 4.980547",\ + "5.609469, 5.609469, 5.534797, 5.509907, 5.460125",\ + "6.250187, 6.250187, 6.175515, 6.150624, 6.100843",\ + "6.504021, 6.504021, 6.429348, 6.404458, 6.354677"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.451457, 4.451457, 4.376785, 4.351894, 4.302113",\ + "5.012960, 5.012960, 4.938288, 4.913398, 4.863616",\ + "5.574463, 5.574463, 5.499791, 5.474900, 5.425119",\ + "6.324632, 6.324632, 6.249959, 6.225069, 6.175288",\ + "6.676537, 6.676537, 6.601864, 6.576974, 6.527193"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.203145, -0.203145, -0.165809, -0.128472, -0.053801",\ + "-0.032370, -0.032370, 0.004966, 0.042303, 0.116974",\ + "0.138405, 0.138405, 0.175741, 0.213078, 0.287749",\ + "0.366561, 0.366561, 0.403897, 0.441233, 0.515905",\ + "0.537703, 0.537703, 0.575039, 0.612375, 0.687047"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.654068, -0.654068, -0.616733, -0.579396, -0.504725",\ + "-1.066709, -1.066709, -1.029373, -0.992037, -0.917365",\ + "-1.479350, -1.479350, -1.442014, -1.404677, -1.330006",\ + "-2.030637, -2.030637, -1.993301, -1.955965, -1.881293",\ + "-2.221750, -2.221750, -2.184414, -2.147078, -2.072406"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.582359, 2.169683, 1.757008, 1.205674, 1.014848",\ + "3.061937, 2.649261, 2.236586, 1.685252, 1.494426",\ + "3.541516, 3.128840, 2.716165, 2.164830, 1.974005",\ + "4.182231, 3.769556, 3.356880, 2.805546, 2.614721",\ + "4.436064, 4.023388, 3.610713, 3.059379, 2.868553"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.076241, 2.663565, 2.250890, 1.699556, 1.508730",\ + "3.637743, 3.225068, 2.812392, 2.261058, 2.070233",\ + "4.199246, 3.786570, 3.373895, 2.822561, 2.631735",\ + "4.949414, 4.536738, 4.124063, 3.572728, 3.381903",\ + "5.301320, 4.888644, 4.475968, 3.924634, 3.733809"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.864144, -1.302610, -0.741076, 0.009134, 0.361042",\ + "-1.693369, -1.131835, -0.570301, 0.179909, 0.531816",\ + "-1.522594, -0.961060, -0.399526, 0.350684, 0.702591",\ + "-1.294439, -0.732904, -0.171370, 0.578840, 0.930747",\ + "-1.123297, -0.561762, -0.000228, 0.749982, 1.101889"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.448989, -1.887455, -1.325921, -0.575711, -0.223803",\ + "-2.861629, -2.300095, -1.738561, -0.988351, -0.636443",\ + "-3.274270, -2.712735, -2.151201, -1.400991, -1.049084",\ + "-3.825557, -3.264023, -2.702489, -1.952279, -1.600372",\ + "-4.016670, -3.455136, -2.893602, -2.143392, -1.791485"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.769376, 4.769376, 4.694704, 4.669814, 4.620032",\ + "5.248929, 5.248929, 5.174256, 5.149366, 5.099585",\ + "5.728478, 5.728478, 5.653806, 5.628915, 5.579134",\ + "6.369157, 6.369157, 6.294485, 6.269595, 6.219813",\ + "6.622990, 6.622990, 6.548318, 6.523427, 6.473646"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.540671, 4.540671, 4.465999, 4.441108, 4.391327",\ + "5.102205, 5.102205, 5.027533, 5.002642, 4.952861",\ + "5.663737, 5.663737, 5.589065, 5.564174, 5.514393",\ + "6.413945, 6.413945, 6.339272, 6.314382, 6.264601",\ + "6.765851, 6.765851, 6.691179, 6.666289, 6.616508"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.991159, 0.991159, 1.028495, 1.065831, 1.140503",\ + "1.161945, 1.161945, 1.199281, 1.236618, 1.311289",\ + "1.332732, 1.332732, 1.370068, 1.407404, 1.482076",\ + "1.560903, 1.560903, 1.598239, 1.635575, 1.710247",\ + "1.732035, 1.732035, 1.769370, 1.806707, 1.881379"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.582611, 0.582611, 0.619947, 0.657284, 0.731955",\ + "0.169939, 0.169939, 0.207275, 0.244612, 0.319283",\ + "-0.242733, -0.242733, -0.205397, -0.168060, -0.093389",\ + "-0.794062, -0.794062, -0.756726, -0.719390, -0.644718",\ + "-0.984906, -0.984906, -0.947570, -0.910234, -0.835562"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.504677, 1.092001, 0.679326, 0.127992, -0.062834",\ + "1.984227, 1.571552, 1.158876, 0.607542, 0.416717",\ + "2.463777, 2.051102, 1.638426, 1.087092, 0.896267",\ + "3.104456, 2.691781, 2.279105, 1.727771, 1.536946",\ + "3.358289, 2.945614, 2.532938, 1.981604, 1.790779"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.901023, 1.488348, 1.075672, 0.524338, 0.333513",\ + "2.462555, 2.049880, 1.637204, 1.085870, 0.895045",\ + "3.024089, 2.611413, 2.198738, 1.647403, 1.456578",\ + "3.774295, 3.361619, 2.948944, 2.397610, 2.206784",\ + "4.126203, 3.713527, 3.300851, 2.749517, 2.558692"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.984772, -0.423237, 0.138297, 0.888507, 1.240414",\ + "-0.813986, -0.252451, 0.309083, 1.059293, 1.411201",\ + "-0.643199, -0.081664, 0.479870, 1.230080, 1.581987",\ + "-0.415028, 0.146507, 0.708041, 1.458251, 1.810158",\ + "-0.243896, 0.317638, 0.879172, 1.629382, 1.981290"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.515372, -0.953837, -0.392303, 0.357907, 0.709814",\ + "-1.928044, -1.366509, -0.804975, -0.054765, 0.297142",\ + "-2.340716, -1.779181, -1.217647, -0.467437, -0.115530",\ + "-2.892046, -2.330512, -1.768977, -1.018767, -0.666860",\ + "-3.082890, -2.521356, -1.959821, -1.209611, -0.857704"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.684551, 4.684551, 4.609879, 4.584989, 4.535207",\ + "5.164116, 5.164116, 5.089444, 5.064553, 5.014772",\ + "5.643676, 5.643676, 5.569004, 5.544113, 5.494332",\ + "6.284372, 6.284372, 6.209700, 6.184810, 6.135028",\ + "6.538205, 6.538205, 6.463533, 6.438643, 6.388861"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.468025, 4.468025, 4.393353, 4.368463, 4.318681",\ + "5.029547, 5.029547, 4.954875, 4.929984, 4.880203",\ + "5.591066, 5.591066, 5.516394, 5.491504, 5.441722",\ + "6.341258, 6.341258, 6.266586, 6.241695, 6.191914",\ + "6.693164, 6.693164, 6.618492, 6.593601, 6.543820"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.085674, 1.085674, 1.123010, 1.160346, 1.235018",\ + "1.256454, 1.256454, 1.293790, 1.331127, 1.405798",\ + "1.427235, 1.427235, 1.464571, 1.501907, 1.576579",\ + "1.655398, 1.655398, 1.692734, 1.730070, 1.804742",\ + "1.826535, 1.826535, 1.863871, 1.901208, 1.975879"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.943482, 0.943482, 0.980818, 1.018154, 1.092826",\ + "0.530827, 0.530827, 0.568163, 0.605500, 0.680171",\ + "0.118172, 0.118172, 0.155508, 0.192845, 0.267516",\ + "-0.433135, -0.433135, -0.395799, -0.358462, -0.283791",\ + "-0.624065, -0.624065, -0.586729, -0.549393, -0.474721"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.093891, 0.681216, 0.268540, -0.282794, -0.473619",\ + "1.573453, 1.160777, 0.748102, 0.196768, 0.005942",\ + "2.053014, 1.640339, 1.227663, 0.676329, 0.485504",\ + "2.693709, 2.281034, 1.868358, 1.317024, 1.126198",\ + "2.947542, 2.534867, 2.122191, 1.570857, 1.380032"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.427382, 1.014706, 0.602031, 0.050696, -0.140129",\ + "1.988901, 1.576226, 1.163550, 0.612216, 0.421391",\ + "2.550421, 2.137746, 1.725070, 1.173736, 0.982911",\ + "3.300611, 2.887936, 2.475260, 1.923926, 1.733101",\ + "3.652518, 3.239842, 2.827167, 2.275833, 2.085007"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.563344, -0.001809, 0.559725, 1.309935, 1.661842",\ + "-0.392563, 0.168972, 0.730506, 1.480716, 1.832623",\ + "-0.221783, 0.339752, 0.901286, 1.651496, 2.003404",\ + "0.006380, 0.567915, 1.129449, 1.879659, 2.231567",\ + "0.177518, 0.739053, 1.300587, 2.050797, 2.402704"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.001787, -0.440253, 0.121282, 0.871492, 1.223399",\ + "-1.414442, -0.852908, -0.291373, 0.458837, 0.810744",\ + "-1.827097, -1.265563, -0.704028, 0.046182, 0.398089",\ + "-2.378404, -1.816869, -1.255335, -0.505125, -0.153218",\ + "-2.569335, -2.007800, -1.446266, -0.696056, -0.344148"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.852890, 4.852890, 4.778218, 4.753328, 4.703547",\ + "5.332444, 5.332444, 5.257771, 5.232881, 5.183100",\ + "5.811995, 5.811995, 5.737323, 5.712432, 5.662651",\ + "6.452676, 6.452676, 6.378004, 6.353114, 6.303332",\ + "6.706509, 6.706509, 6.631837, 6.606946, 6.557165"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.527676, 4.527676, 4.453004, 4.428113, 4.378332",\ + "5.089207, 5.089207, 5.014535, 4.989645, 4.939863",\ + "5.650737, 5.650737, 5.576065, 5.551175, 5.501393",\ + "6.400943, 6.400943, 6.326271, 6.301381, 6.251599",\ + "6.752851, 6.752851, 6.678179, 6.653288, 6.603507"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.075860, 1.075860, 1.113196, 1.150532, 1.225204",\ + "1.246646, 1.246646, 1.283982, 1.321318, 1.395990",\ + "1.417431, 1.417431, 1.454767, 1.492103, 1.566775",\ + "1.645601, 1.645601, 1.682937, 1.720273, 1.794945",\ + "1.816733, 1.816733, 1.854069, 1.891405, 1.966077"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.772174, 0.772174, 0.809510, 0.846846, 0.921518",\ + "0.359505, 0.359505, 0.396841, 0.434177, 0.508849",\ + "-0.053165, -0.053165, -0.015829, 0.021508, 0.096179",\ + "-0.604492, -0.604492, -0.567156, -0.529819, -0.455148",\ + "-0.795347, -0.795347, -0.758011, -0.720675, -0.646003"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.519377, 1.106701, 0.694026, 0.142691, -0.048134",\ + "1.998928, 1.586252, 1.173577, 0.622243, 0.431417",\ + "2.478479, 2.065804, 1.653128, 1.101794, 0.910969",\ + "3.119161, 2.706485, 2.293810, 1.742476, 1.551650",\ + "3.372994, 2.960319, 2.547643, 1.996309, 1.805484"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.702503, 1.289828, 0.877152, 0.325818, 0.134993",\ + "2.264034, 1.851358, 1.438683, 0.887349, 0.696523",\ + "2.825564, 2.412889, 2.000213, 1.448879, 1.258054",\ + "3.575769, 3.163094, 2.750418, 2.199084, 2.008259",\ + "3.927676, 3.515001, 3.102325, 2.550991, 2.360166"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.870563, -0.309028, 0.252506, 1.002716, 1.354624",\ + "-0.699777, -0.138242, 0.423292, 1.173502, 1.525409",\ + "-0.528991, 0.032543, 0.594078, 1.344288, 1.696195",\ + "-0.300822, 0.260713, 0.822247, 1.572457, 1.924364",\ + "-0.129689, 0.431845, 0.993379, 1.743590, 2.095497"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.261238, -0.699704, -0.138170, 0.612041, 0.963948",\ + "-1.673908, -1.112373, -0.550839, 0.199371, 0.551278",\ + "-2.086577, -1.525043, -0.963508, -0.213298, 0.138609",\ + "-2.637904, -2.076370, -1.514836, -0.764626, -0.412718",\ + "-2.828760, -2.267225, -1.705691, -0.955481, -0.603574"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.892140, 4.892140, 4.817468, 4.792578, 4.742796",\ + "5.371705, 5.371705, 5.297032, 5.272142, 5.222361",\ + "5.851270, 5.851270, 5.776597, 5.751707, 5.701925",\ + "6.491968, 6.491968, 6.417295, 6.392406, 6.342624",\ + "6.745801, 6.745801, 6.671128, 6.646238, 6.596457"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.646729, 4.646729, 4.572056, 4.547166, 4.497384",\ + "5.208247, 5.208247, 5.133574, 5.108685, 5.058903",\ + "5.769764, 5.769764, 5.695091, 5.670201, 5.620420",\ + "6.519951, 6.519951, 6.445278, 6.420388, 6.370606",\ + "6.871858, 6.871858, 6.797185, 6.772295, 6.722513"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.187481, 1.187481, 1.224817, 1.262153, 1.336825",\ + "1.358260, 1.358260, 1.395596, 1.432932, 1.507604",\ + "1.529039, 1.529039, 1.566375, 1.603712, 1.678383",\ + "1.757200, 1.757200, 1.794536, 1.831873, 1.906544",\ + "1.928339, 1.928339, 1.965675, 2.003012, 2.077683"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.876215, 0.876215, 0.913550, 0.950887, 1.025558",\ + "0.463563, 0.463563, 0.500899, 0.538235, 0.612907",\ + "0.050912, 0.050912, 0.088248, 0.125584, 0.200256",\ + "-0.500391, -0.500391, -0.463055, -0.425718, -0.351047",\ + "-0.691340, -0.691340, -0.654004, -0.616668, -0.541996"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.320829, 0.908153, 0.495478, -0.055856, -0.246682",\ + "1.800393, 1.387717, 0.975042, 0.423708, 0.232882",\ + "2.279957, 1.867281, 1.454606, 0.903272, 0.712446",\ + "2.920656, 2.507980, 2.095305, 1.543971, 1.353145",\ + "3.174489, 2.761813, 2.349138, 1.797804, 1.606978"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.617398, 1.204722, 0.792047, 0.240713, 0.049887",\ + "2.178915, 1.766239, 1.353564, 0.802229, 0.611404",\ + "2.740432, 2.327757, 1.915081, 1.363747, 1.172922",\ + "3.490620, 3.077945, 2.665269, 2.113935, 1.923110",\ + "3.842526, 3.429851, 3.017175, 2.465841, 2.275016"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.441658, 0.119876, 0.681410, 1.431620, 1.783528",\ + "-0.270879, 0.290656, 0.852190, 1.602400, 1.954307",\ + "-0.100099, 0.461435, 1.022969, 1.773179, 2.125087",\ + "0.128062, 0.689596, 1.251130, 2.001340, 2.353248",\ + "0.299201, 0.860735, 1.422269, 2.172479, 2.524387"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.854358, -0.292824, 0.268711, 1.018921, 1.370828",\ + "-1.267009, -0.705475, -0.143941, 0.606269, 0.958177",\ + "-1.679661, -1.118126, -0.556592, 0.193618, 0.545525",\ + "-2.230963, -1.669429, -1.107894, -0.357684, -0.005777",\ + "-2.421913, -1.860379, -1.298845, -0.548635, -0.196727"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.890451, 4.890451, 4.815779, 4.790888, 4.741107",\ + "5.370021, 5.370021, 5.295349, 5.270459, 5.220677",\ + "5.849592, 5.849592, 5.774920, 5.750029, 5.700248",\ + "6.490296, 6.490296, 6.415624, 6.390733, 6.340952",\ + "6.744130, 6.744130, 6.669457, 6.644567, 6.594786"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.488081, 4.488081, 4.413409, 4.388519, 4.338737",\ + "5.049594, 5.049594, 4.974922, 4.950032, 4.900250",\ + "5.611106, 5.611106, 5.536434, 5.511544, 5.461762",\ + "6.361287, 6.361287, 6.286614, 6.261724, 6.211943",\ + "6.713193, 6.713193, 6.638521, 6.613631, 6.563849"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.208597, 1.208597, 1.245933, 1.283269, 1.357941",\ + "1.379374, 1.379374, 1.416709, 1.454046, 1.528718",\ + "1.550150, 1.550150, 1.587486, 1.624823, 1.699494",\ + "1.778308, 1.778308, 1.815644, 1.852980, 1.927652",\ + "1.949450, 1.949450, 1.986785, 2.024122, 2.098794"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.563425, 0.563425, 0.600761, 0.638098, 0.712769",\ + "0.150781, 0.150781, 0.188117, 0.225454, 0.300125",\ + "-0.261863, -0.261863, -0.224527, -0.187190, -0.112519",\ + "-0.813155, -0.813155, -0.775819, -0.738482, -0.663811",\ + "-1.004143, -1.004143, -0.966807, -0.929471, -0.854799"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.174563, 0.761887, 0.349212, -0.202122, -0.392948",\ + "1.654133, 1.241457, 0.828782, 0.277447, 0.086622",\ + "2.133702, 1.721027, 1.308351, 0.757017, 0.566191",\ + "2.774407, 2.361731, 1.949056, 1.397722, 1.206896",\ + "3.028240, 2.615564, 2.202888, 1.651554, 1.460729"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.612503, 1.199827, 0.787152, 0.235817, 0.044992",\ + "2.174015, 1.761339, 1.348664, 0.797329, 0.606504",\ + "2.735526, 2.322850, 1.910174, 1.358840, 1.168015",\ + "3.485706, 3.073030, 2.660355, 2.109020, 1.918195",\ + "3.837612, 3.424936, 3.012261, 2.460927, 2.270101"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.452403, 0.109132, 0.670666, 1.420876, 1.772783",\ + "-0.281626, 0.279908, 0.841443, 1.591653, 1.943560",\ + "-0.110849, 0.450685, 1.012219, 1.762429, 2.114337",\ + "0.117309, 0.678843, 1.240377, 1.990587, 2.342494",\ + "0.288450, 0.849984, 1.411519, 2.161729, 2.513636"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.231496, -0.669961, -0.108427, 0.641783, 0.993690",\ + "-1.644140, -1.082605, -0.521071, 0.229139, 0.581046",\ + "-2.056783, -1.495249, -0.933715, -0.183505, 0.168402",\ + "-2.608075, -2.046540, -1.485006, -0.734796, -0.382889",\ + "-2.799063, -2.237529, -1.675994, -0.925784, -0.573877"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.010129, 5.010129, 4.935457, 4.910566, 4.860785",\ + "5.489685, 5.489685, 5.415013, 5.390122, 5.340341",\ + "5.969240, 5.969240, 5.894568, 5.869678, 5.819896",\ + "6.609928, 6.609928, 6.535256, 6.510365, 6.460584",\ + "6.863761, 6.863761, 6.789089, 6.764198, 6.714417"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.783913, 4.783913, 4.709240, 4.684350, 4.634569",\ + "5.345439, 5.345439, 5.270767, 5.245876, 5.196095",\ + "5.906965, 5.906965, 5.832293, 5.807403, 5.757621",\ + "6.657164, 6.657164, 6.582491, 6.557601, 6.507820",\ + "7.009070, 7.009070, 6.934398, 6.909508, 6.859726"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.250754, 1.250754, 1.288090, 1.325426, 1.400098",\ + "1.421537, 1.421537, 1.458873, 1.496209, 1.570881",\ + "1.592321, 1.592321, 1.629657, 1.666993, 1.741665",\ + "1.820488, 1.820488, 1.857824, 1.895160, 1.969832",\ + "1.991622, 1.991622, 2.028958, 2.066295, 2.140966"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.841057, 0.841057, 0.878393, 0.915729, 0.990401",\ + "0.428394, 0.428394, 0.465729, 0.503066, 0.577738",\ + "0.015730, 0.015730, 0.053066, 0.090403, 0.165074",\ + "-0.535588, -0.535588, -0.498252, -0.460916, -0.386244",\ + "-0.726474, -0.726474, -0.689138, -0.651802, -0.577130"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.998725, 0.586050, 0.173374, -0.377960, -0.568785",\ + "1.478282, 1.065606, 0.652931, 0.101597, -0.089229",\ + "1.957837, 1.545162, 1.132486, 0.581152, 0.390327",\ + "2.598524, 2.185848, 1.773173, 1.221838, 1.031013",\ + "2.852357, 2.439682, 2.027006, 1.475672, 1.284847"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.331893, 0.919217, 0.506542, -0.044792, -0.235618",\ + "1.893419, 1.480743, 1.068068, 0.516733, 0.325908",\ + "2.454944, 2.042269, 1.629593, 1.078259, 0.887434",\ + "3.205142, 2.792467, 2.379791, 1.828457, 1.637632",\ + "3.557049, 3.144374, 2.731698, 2.180364, 1.989539"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.390097, 0.171438, 0.732972, 1.483182, 1.835089",\ + "-0.219314, 0.342221, 0.903755, 1.653965, 2.005872",\ + "-0.048530, 0.513005, 1.074539, 1.824749, 2.176656",\ + "0.179637, 0.741171, 1.302706, 2.052916, 2.404823",\ + "0.350771, 0.912306, 1.473840, 2.224050, 2.575958"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.874591, -0.313057, 0.248477, 0.998688, 1.350595",\ + "-1.287255, -0.725720, -0.164186, 0.586024, 0.937931",\ + "-1.699918, -1.138383, -0.576849, 0.173361, 0.525268",\ + "-2.251236, -1.689702, -1.128168, -0.377958, -0.026050",\ + "-2.442123, -1.880589, -1.319055, -0.568845, -0.216937"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.948949, 4.948949, 4.874277, 4.849387, 4.799605",\ + "5.428496, 5.428496, 5.353824, 5.328933, 5.279152",\ + "5.908043, 5.908043, 5.833371, 5.808481, 5.758699",\ + "6.548718, 6.548718, 6.474046, 6.449155, 6.399374",\ + "6.802552, 6.802552, 6.727880, 6.702989, 6.653208"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.741523, 4.741523, 4.666851, 4.641961, 4.592179",\ + "5.303059, 5.303059, 5.228387, 5.203496, 5.153715",\ + "5.864595, 5.864595, 5.789923, 5.765032, 5.715251",\ + "6.614806, 6.614806, 6.540133, 6.515243, 6.465462",\ + "6.966712, 6.966712, 6.892040, 6.867150, 6.817369"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.364229, 1.364229, 1.401565, 1.438901, 1.513573",\ + "1.535017, 1.535017, 1.572353, 1.609689, 1.684361",\ + "1.705805, 1.705805, 1.743141, 1.780477, 1.855149",\ + "1.933978, 1.933978, 1.971313, 2.008650, 2.083322",\ + "2.105108, 2.105108, 2.142444, 2.179780, 2.254452"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.110059, 1.110059, 1.147395, 1.184731, 1.259403",\ + "0.697383, 0.697383, 0.734719, 0.772055, 0.846727",\ + "0.284706, 0.284706, 0.322042, 0.359379, 0.434050",\ + "-0.266629, -0.266629, -0.229293, -0.191957, -0.117285",\ + "-0.457451, -0.457451, -0.420115, -0.382778, -0.308107"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.899542, 0.486867, 0.074191, -0.477143, -0.667968",\ + "1.379089, 0.966414, 0.553738, 0.002404, -0.188421",\ + "1.858636, 1.445961, 1.033285, 0.481951, 0.291126",\ + "2.499311, 2.086635, 1.673960, 1.122626, 0.931800",\ + "2.753144, 2.340468, 1.927793, 1.376459, 1.185633"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.309944, 0.897269, 0.484593, -0.066741, -0.257566",\ + "1.871479, 1.458804, 1.046128, 0.494794, 0.303969",\ + "2.433014, 2.020339, 1.607663, 1.056329, 0.865504",\ + "3.183225, 2.770549, 2.357874, 1.806540, 1.615714",\ + "3.535131, 3.122456, 2.709780, 2.158446, 1.967621"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.355437, 0.206098, 0.767632, 1.517842, 1.869749",\ + "-0.184649, 0.376886, 0.938420, 1.688630, 2.040537",\ + "-0.013861, 0.547674, 1.109208, 1.859418, 2.211325",\ + "0.214312, 0.775847, 1.337381, 2.087591, 2.439498",\ + "0.385442, 0.946977, 1.508511, 2.258721, 2.610629"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.957078, -0.395543, 0.165991, 0.916201, 1.268108",\ + "-1.369754, -0.808220, -0.246686, 0.503525, 0.855432",\ + "-1.782431, -1.220896, -0.659362, 0.090848, 0.442756",\ + "-2.333766, -1.772231, -1.210697, -0.460487, -0.108580",\ + "-2.524587, -1.963052, -1.401518, -0.651308, -0.299401"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.901028, 4.901028, 4.826355, 4.801465, 4.751683",\ + "5.380570, 5.380570, 5.305898, 5.281008, 5.231226",\ + "5.860111, 5.860111, 5.785439, 5.760549, 5.710767",\ + "6.500778, 6.500778, 6.426105, 6.401216, 6.351434",\ + "6.754612, 6.754612, 6.679939, 6.655049, 6.605268"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.691147, 4.691147, 4.616474, 4.591584, 4.541802",\ + "5.252689, 5.252689, 5.178017, 5.153127, 5.103345",\ + "5.814231, 5.814231, 5.739558, 5.714668, 5.664886",\ + "6.564450, 6.564450, 6.489778, 6.464888, 6.415106",\ + "6.916357, 6.916357, 6.841684, 6.816794, 6.767013"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.628587, 1.628587, 1.665923, 1.703259, 1.777931",\ + "1.799378, 1.799378, 1.836713, 1.874050, 1.948722",\ + "1.970168, 1.970168, 2.007504, 2.044840, 2.119512",\ + "2.198345, 2.198345, 2.235681, 2.273017, 2.347689",\ + "2.369472, 2.369472, 2.406808, 2.444144, 2.518816"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.124793, 1.124793, 1.162129, 1.199466, 1.274137",\ + "0.712109, 0.712109, 0.749444, 0.786781, 0.861453",\ + "0.299424, 0.299424, 0.336760, 0.374097, 0.448768",\ + "-0.251922, -0.251922, -0.214586, -0.177250, -0.102578",\ + "-0.442701, -0.442701, -0.405365, -0.368028, -0.293357"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.612394, 0.199718, -0.212957, -0.764292, -0.955117",\ + "1.091935, 0.679260, 0.266584, -0.284750, -0.475575",\ + "1.571476, 1.158801, 0.746125, 0.194791, 0.003966",\ + "2.212144, 1.799468, 1.386793, 0.835459, 0.644633",\ + "2.465976, 2.053301, 1.640625, 1.089291, 0.898466"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.066694, 0.654018, 0.241343, -0.309991, -0.500817",\ + "1.628235, 1.215559, 0.802884, 0.251550, 0.060724",\ + "2.189777, 1.777101, 1.364426, 0.813092, 0.622266",\ + "2.939996, 2.527320, 2.114645, 1.563311, 1.372485",\ + "3.291903, 2.879227, 2.466552, 1.915217, 1.724392"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.035967, 0.525568, 1.087102, 1.837312, 2.189219",\ + "0.134824, 0.696359, 1.257893, 2.008103, 2.360010",\ + "0.305615, 0.867150, 1.428684, 2.178894, 2.530801",\ + "0.533791, 1.095326, 1.656860, 2.407070, 2.758977",\ + "0.704919, 1.266453, 1.827987, 2.578197, 2.930105"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.673345, -0.111810, 0.449724, 1.199934, 1.551841",\ + "-1.086029, -0.524495, 0.037040, 0.787250, 1.139157",\ + "-1.498714, -0.937179, -0.375645, 0.374565, 0.726472",\ + "-2.050061, -1.488526, -0.926992, -0.176782, 0.175126",\ + "-2.240839, -1.679304, -1.117770, -0.367560, -0.015653"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.081332, 5.081332, 5.006660, 4.981769, 4.931988",\ + "5.560879, 5.560879, 5.486207, 5.461317, 5.411535",\ + "6.040425, 6.040425, 5.965753, 5.940862, 5.891081",\ + "6.681099, 6.681099, 6.606427, 6.581537, 6.531755",\ + "6.934933, 6.934933, 6.860261, 6.835371, 6.785589"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.858346, 4.858346, 4.783674, 4.758784, 4.709002",\ + "5.419883, 5.419883, 5.345211, 5.320321, 5.270539",\ + "5.981419, 5.981419, 5.906747, 5.881856, 5.832075",\ + "6.731631, 6.731631, 6.656959, 6.632068, 6.582287",\ + "7.083538, 7.083538, 7.008865, 6.983975, 6.934194"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.649404, 1.649404, 1.686740, 1.724076, 1.798748",\ + "1.820192, 1.820192, 1.857528, 1.894864, 1.969536",\ + "1.990980, 1.990980, 2.028316, 2.065652, 2.140324",\ + "2.219153, 2.219153, 2.256489, 2.293825, 2.368497",\ + "2.390283, 2.390283, 2.427619, 2.464955, 2.539627"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.219621, 1.219621, 1.256957, 1.294293, 1.368965",\ + "0.806944, 0.806944, 0.844280, 0.881616, 0.956288",\ + "0.394266, 0.394266, 0.431602, 0.468939, 0.543610",\ + "-0.157071, -0.157071, -0.119735, -0.082398, -0.007727",\ + "-0.347887, -0.347887, -0.310551, -0.273215, -0.198543"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.900791, 0.488116, 0.075440, -0.475894, -0.666719",\ + "1.380338, 0.967662, 0.554987, 0.003653, -0.187173",\ + "1.859884, 1.447208, 1.034533, 0.483199, 0.292373",\ + "2.500558, 2.087883, 1.675207, 1.123873, 0.933048",\ + "2.754391, 2.341716, 1.929040, 1.377706, 1.186881"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.268539, 0.855864, 0.443188, -0.108146, -0.298971",\ + "1.830076, 1.417400, 1.004725, 0.453390, 0.262565",\ + "2.391611, 1.978935, 1.566260, 1.014926, 0.824100",\ + "3.141824, 2.729148, 2.316473, 1.765139, 1.574313",\ + "3.493732, 3.081056, 2.668381, 2.117047, 1.926221"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.326527, 0.235008, 0.796542, 1.546752, 1.898659",\ + "-0.155739, 0.405796, 0.967330, 1.717540, 2.069447",\ + "0.015049, 0.576584, 1.138118, 1.888328, 2.240235",\ + "0.243222, 0.804757, 1.366291, 2.116501, 2.468408",\ + "0.414352, 0.975887, 1.537421, 2.287631, 2.639538"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.878362, -0.316828, 0.244706, 0.994916, 1.346824",\ + "-1.291040, -0.729505, -0.167971, 0.582239, 0.934146",\ + "-1.703717, -1.142182, -0.580648, 0.169562, 0.521469",\ + "-2.255054, -1.693519, -1.131985, -0.381775, -0.029868",\ + "-2.445871, -1.884336, -1.322802, -0.572592, -0.220685"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.264671"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.401324"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.138736, 5.138736, 5.064064, 5.039173, 4.989392",\ + "5.618243, 5.618243, 5.543571, 5.518681, 5.468899",\ + "6.097752, 6.097752, 6.023079, 5.998189, 5.948408",\ + "6.738375, 6.738375, 6.663702, 6.638812, 6.589031",\ + "6.992208, 6.992208, 6.917535, 6.892645, 6.842864"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.904474, 4.904474, 4.829802, 4.804912, 4.755130",\ + "5.466050, 5.466050, 5.391378, 5.366488, 5.316706",\ + "6.027627, 6.027627, 5.952955, 5.928064, 5.878283",\ + "6.777892, 6.777892, 6.703220, 6.678329, 6.628548",\ + "7.129801, 7.129801, 7.055129, 7.030238, 6.980457"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.412102, 1.412102, 1.449438, 1.486774, 1.561446",\ + "1.547381, 1.547381, 1.584717, 1.622053, 1.696725",\ + "1.753033, 1.753033, 1.790369, 1.827705, 1.902377",\ + "1.980548, 1.980548, 2.017884, 2.055221, 2.129892",\ + "2.151659, 2.151659, 2.188995, 2.226331, 2.301003"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.022961, 1.022961, 1.060297, 1.097633, 1.172305",\ + "0.696078, 0.696078, 0.733414, 0.770750, 0.845422",\ + "0.199148, 0.199148, 0.236484, 0.273820, 0.348492",\ + "-0.350612, -0.350612, -0.313276, -0.275939, -0.201268",\ + "-0.541144, -0.541144, -0.503808, -0.466472, -0.391800"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.594497, 2.765285, 2.936072, 3.164244, 3.335375",\ + "3.074005, 3.244792, 3.415580, 3.643752, 3.814883",\ + "3.553514, 3.724301, 3.895089, 4.123261, 4.294392",\ + "4.194137, 4.364924, 4.535712, 4.763885, 4.935015",\ + "4.447970, 4.618758, 4.789545, 5.017717, 5.188848"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.749455, 2.920242, 3.091030, 3.319202, 3.490333",\ + "3.311031, 3.481818, 3.652606, 3.880778, 4.051908",\ + "3.872607, 4.043395, 4.214182, 4.442354, 4.613485",\ + "4.622872, 4.793660, 4.964448, 5.192620, 5.363750",\ + "4.974781, 5.145569, 5.316357, 5.544529, 5.715659"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.416951, 3.004275, 2.591600, 2.040266, 1.849440",\ + "3.896459, 3.483783, 3.071108, 2.519774, 2.328948",\ + "4.375967, 3.963291, 3.550616, 2.999282, 2.808456",\ + "5.016589, 4.603914, 4.191238, 3.639904, 3.449079",\ + "5.270423, 4.857747, 4.445072, 3.893738, 3.702912"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.238331, 2.825655, 2.412980, 1.861645, 1.670820",\ + "3.799906, 3.387230, 2.974555, 2.423221, 2.232395",\ + "4.361482, 3.948806, 3.536131, 2.984797, 2.793971",\ + "5.111748, 4.699072, 4.286397, 3.735063, 3.544237",\ + "5.463656, 5.050981, 4.638306, 4.086971, 3.896146"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.526681, 1.006228, 1.485775, 2.126451, 2.380284",\ + "0.661960, 1.141508, 1.621055, 2.261730, 2.515563",\ + "0.867612, 1.347160, 1.826707, 2.467382, 2.721215",\ + "1.095127, 1.574675, 2.054222, 2.694897, 2.948730",\ + "1.266238, 1.745785, 2.225332, 2.866008, 3.119841"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.393070, 0.872617, 1.352164, 1.992840, 2.246673",\ + "0.066186, 0.545733, 1.025280, 1.665956, 1.919789",\ + "-0.430744, 0.048803, 0.528350, 1.169026, 1.422859",\ + "-0.980504, -0.500956, -0.021409, 0.619266, 0.873099",\ + "-1.171036, -0.691489, -0.211942, 0.428734, 0.682567"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.216840, 0.344694, 0.906228, 1.656438, 2.008346",\ + "-0.081561, 0.479973, 1.041507, 1.791717, 2.143625",\ + "0.124091, 0.685625, 1.247159, 1.997369, 2.349277",\ + "0.351606, 0.913140, 1.474675, 2.224885, 2.576792",\ + "0.522717, 1.084251, 1.645785, 2.395995, 2.747902"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.707609, -0.146075, 0.415460, 1.165670, 1.517577",\ + "-1.034492, -0.472958, 0.088576, 0.838786, 1.190694",\ + "-1.531422, -0.969888, -0.408354, 0.341856, 0.693763",\ + "-2.081182, -1.519647, -0.958113, -0.207903, 0.144004",\ + "-2.271714, -1.710180, -1.148645, -0.398435, -0.046528"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.428671, 10.721625, 10.918159, 10.941824, 11.009554",\ + "10.428671, 10.721625, 10.918159, 10.941824, 11.009554",\ + "10.466006, 10.758961, 10.955494, 10.979158, 11.046888",\ + "10.503343, 10.796297, 10.992830, 11.016495, 11.084225",\ + "10.578014, 10.870969, 11.067502, 11.091167, 11.158897"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.510412, 10.815493, 11.020548, 11.045238, 11.115906",\ + "10.510412, 10.815493, 11.020548, 11.045238, 11.115906",\ + "10.547748, 10.852827, 11.057883, 11.082575, 11.153241",\ + "10.585084, 10.890163, 11.095220, 11.119911, 11.190578",\ + "10.659756, 10.964836, 11.169891, 11.194582, 11.265249"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.340450, 10.462078, 10.519984, 10.526957, 10.546913",\ + "10.340450, 10.462078, 10.519984, 10.526957, 10.546913",\ + "10.415122, 10.536750, 10.594656, 10.601629, 10.621585",\ + "10.440013, 10.561641, 10.619547, 10.626520, 10.646476",\ + "10.489794, 10.611422, 10.669328, 10.676300, 10.696257"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.171179, 10.264618, 10.320050, 10.326725, 10.345828",\ + "10.171179, 10.264618, 10.320050, 10.326725, 10.345828",\ + "10.245852, 10.339291, 10.394723, 10.401398, 10.420501",\ + "10.270741, 10.364181, 10.419613, 10.426288, 10.445391",\ + "10.320522, 10.413961, 10.469394, 10.476068, 10.495172"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.203248, 5.203248, 5.128576, 5.103685, 5.053904",\ + "5.682737, 5.682737, 5.608065, 5.583175, 5.533393",\ + "6.162227, 6.162227, 6.087554, 6.062664, 6.012883",\ + "6.802823, 6.802823, 6.728151, 6.703260, 6.653479",\ + "7.056655, 7.056655, 6.981983, 6.957092, 6.907311"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.848668, 4.848668, 4.773996, 4.749105, 4.699324",\ + "5.410264, 5.410264, 5.335592, 5.310701, 5.260920",\ + "5.971861, 5.971861, 5.897189, 5.872298, 5.822517",\ + "6.722153, 6.722153, 6.647480, 6.622590, 6.572809",\ + "7.074063, 7.074063, 6.999391, 6.974501, 6.924719"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.616033, 1.616033, 1.653369, 1.690706, 1.765377",\ + "1.786850, 1.786850, 1.824186, 1.861522, 1.936194",\ + "1.957667, 1.957667, 1.995003, 2.032339, 2.107011",\ + "2.185878, 2.185878, 2.223214, 2.260550, 2.335222",\ + "2.356979, 2.356979, 2.394315, 2.431651, 2.506323"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.914481, 0.914481, 0.951817, 0.989153, 1.063825",\ + "0.501721, 0.501721, 0.539057, 0.576393, 0.651065",\ + "0.088960, 0.088960, 0.126296, 0.163633, 0.238304",\ + "-0.462487, -0.462487, -0.425151, -0.387815, -0.313143",\ + "-0.652876, -0.652876, -0.615541, -0.578204, -0.503532"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "11.028255, 11.321210, 11.517743, 11.541409, 11.580641",\ + "11.028255, 11.321210, 11.517743, 11.541409, 11.580641",\ + "11.015680, 11.308635, 11.505168, 11.528833, 11.580641",\ + "10.915140, 11.208095, 11.404628, 11.428293, 11.496023",\ + "10.514903, 10.807858, 11.004391, 11.028055, 11.095785"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.813802, 11.118881, 11.323937, 11.348629, 11.390799",\ + "10.813802, 11.118881, 11.323937, 11.348629, 11.390799",\ + "10.801227, 11.106306, 11.311362, 11.336054, 11.390799",\ + "10.700686, 11.005766, 11.210821, 11.235512, 11.306179",\ + "10.300448, 10.605528, 10.810584, 10.835276, 10.905942"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.379703, 3.501331, 3.559237, 3.566210, 3.586166",\ + "3.379703, 3.501331, 3.559237, 3.566210, 3.586166",\ + "3.379703, 3.501331, 3.559237, 3.566210, 3.586166",\ + "3.295810, 3.417439, 3.475345, 3.482317, 3.502273",\ + "2.895799, 3.017427, 3.075333, 3.082306, 3.102262"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.367621, 3.461060, 3.516492, 3.523167, 3.542270",\ + "3.367621, 3.461060, 3.516492, 3.523167, 3.542270",\ + "3.367621, 3.461060, 3.516492, 3.523167, 3.542270",\ + "3.283728, 3.377167, 3.432599, 3.439274, 3.458377",\ + "2.883717, 2.977156, 3.032588, 3.039263, 3.058366"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.047561, 5.340515, 5.537048, 5.560714, 5.628444",\ + "5.527112, 5.820067, 6.016600, 6.040265, 6.107995",\ + "6.006664, 6.299619, 6.496152, 6.519817, 6.587547",\ + "6.647345, 6.940299, 7.136832, 7.160498, 7.228228",\ + "6.901177, 7.194132, 7.390665, 7.414330, 7.482060"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.406434, 5.711514, 5.916570, 5.941261, 6.011928",\ + "5.967965, 6.273045, 6.478100, 6.502791, 6.573459",\ + "6.529495, 6.834575, 7.039630, 7.064322, 7.134989",\ + "7.279699, 7.584779, 7.789834, 7.814526, 7.885193",\ + "7.631607, 7.936687, 8.141743, 8.166433, 8.237101"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.403979, 4.525607, 4.583513, 4.590486, 4.610442",\ + "4.233193, 4.354822, 4.412728, 4.419701, 4.439656",\ + "4.062407, 4.184036, 4.241942, 4.248915, 4.268871",\ + "3.834239, 3.955867, 4.013773, 4.020746, 4.040702",\ + "3.663106, 3.784734, 3.842640, 3.849613, 3.869569"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.672739, 4.766178, 4.821610, 4.828285, 4.847388",\ + "5.085408, 5.178847, 5.234279, 5.240954, 5.260057",\ + "5.498077, 5.591516, 5.646949, 5.653624, 5.672727",\ + "6.049405, 6.142844, 6.198276, 6.204950, 6.224054",\ + "6.240259, 6.333698, 6.389131, 6.395805, 6.414908"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.168196, 5.461150, 5.657683, 5.681349, 5.749079",\ + "5.729731, 6.022685, 6.219218, 6.242884, 6.310614",\ + "6.291265, 6.584220, 6.780753, 6.804418, 6.872148",\ + "7.041474, 7.334429, 7.530962, 7.554627, 7.622357",\ + "7.393381, 7.686336, 7.882869, 7.906534, 7.974264"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.598904, 5.903984, 6.109039, 6.133731, 6.204398",\ + "6.160439, 6.465518, 6.670574, 6.695265, 6.765932",\ + "6.721972, 7.027052, 7.232108, 7.256799, 7.327466",\ + "7.472182, 7.777262, 7.982317, 8.007009, 8.077676",\ + "7.824089, 8.129169, 8.334225, 8.358915, 8.429583"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.476334, 4.597962, 4.655869, 4.662841, 4.682797",\ + "4.889009, 5.010638, 5.068544, 5.075517, 5.095473",\ + "5.301685, 5.423314, 5.481220, 5.488193, 5.508148",\ + "5.853020, 5.974648, 6.032555, 6.039527, 6.059483",\ + "6.043845, 6.165474, 6.223380, 6.230352, 6.250309"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.539760, 4.633199, 4.688631, 4.695306, 4.714409",\ + "4.952435, 5.045875, 5.101307, 5.107982, 5.127085",\ + "5.365111, 5.458550, 5.513982, 5.520657, 5.539761",\ + "5.916445, 6.009884, 6.065316, 6.071991, 6.091094",\ + "6.107271, 6.200710, 6.256142, 6.262816, 6.281920"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.929728, 7.222683, 7.419216, 7.442881, 7.510611",\ + "7.409276, 7.702230, 7.898764, 7.922429, 7.990159",\ + "7.888823, 8.181778, 8.378311, 8.401976, 8.469706",\ + "8.529498, 8.822453, 9.018986, 9.042651, 9.110381",\ + "8.783332, 9.076286, 9.272820, 9.296485, 9.364215"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223",\ + "1.494979, 2.051095, 2.434790, 2.480992, 2.613223"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "7.484302, 7.789382, 7.994438, 8.019129, 8.089796",\ + "7.963850, 8.268929, 8.473986, 8.498676, 8.569344",\ + "8.443398, 8.748477, 8.953533, 8.978224, 9.048891",\ + "9.084072, 9.389153, 9.594208, 9.618898, 9.689566",\ + "9.337906, 9.642986, 9.848042, 9.872732, 9.943399"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808",\ + "1.504004, 2.075251, 2.467430, 2.514653, 2.649808"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.521431, 4.643059, 4.700965, 4.707938, 4.727894",\ + "4.350643, 4.472271, 4.530178, 4.537150, 4.557106",\ + "4.179855, 4.301484, 4.359390, 4.366363, 4.386318",\ + "3.951683, 4.073311, 4.131217, 4.138190, 4.158146",\ + "3.780553, 3.902181, 3.960087, 3.967060, 3.987016"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617",\ + "0.758809, 0.765617, 0.765617, 0.765617, 0.765617"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.607918, 4.701357, 4.756789, 4.763464, 4.782568",\ + "4.437131, 4.530570, 4.586002, 4.592677, 4.611780",\ + "4.266343, 4.359782, 4.415215, 4.421889, 4.440992",\ + "4.038171, 4.131610, 4.187042, 4.193717, 4.212820",\ + "3.867041, 3.960480, 4.015912, 4.022587, 4.041690"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670",\ + "0.423509, 0.480749, 0.520967, 0.525810, 0.539670"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.584826, 0.172150, -0.240525, -0.791859, -0.982685",\ + "1.064314, 0.651639, 0.238963, -0.312371, -0.503196",\ + "1.543804, 1.131128, 0.718453, 0.167119, -0.023707",\ + "2.184401, 1.771725, 1.359050, 0.807716, 0.616890",\ + "2.438234, 2.025559, 1.612883, 1.061549, 0.870724"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.229555, 0.816879, 0.404203, -0.147131, -0.337956",\ + "1.791150, 1.378475, 0.965799, 0.414465, 0.223640",\ + "2.352747, 1.940071, 1.527396, 0.976062, 0.785236",\ + "3.103039, 2.690363, 2.277688, 1.726353, 1.535528",\ + "3.454949, 3.042273, 2.629598, 2.078264, 1.887438"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.044966, 0.516568, 1.078102, 1.828312, 2.180220",\ + "0.125850, 0.687385, 1.248919, 1.999129, 2.351036",\ + "0.296667, 0.858202, 1.419736, 2.169946, 2.521853",\ + "0.524878, 1.086413, 1.647947, 2.398157, 2.750064",\ + "0.695979, 1.257514, 1.819048, 2.569258, 2.921165"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.880440, -0.318905, 0.242629, 0.992839, 1.344746",\ + "-1.293200, -0.731665, -0.170131, 0.580079, 0.931986",\ + "-1.705960, -1.144426, -0.582892, 0.167318, 0.519226",\ + "-2.257408, -1.695873, -1.134339, -0.384129, -0.032222",\ + "-2.447797, -1.886263, -1.324728, -0.574518, -0.222611"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.087274, 5.087274, 5.012602, 4.987711, 4.937930",\ + "5.566743, 5.566743, 5.492071, 5.467181, 5.417399",\ + "6.046212, 6.046212, 5.971539, 5.946649, 5.896868",\ + "6.686781, 6.686781, 6.612109, 6.587219, 6.537437",\ + "6.940615, 6.940615, 6.865943, 6.841053, 6.791271"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.799360, 4.799360, 4.724688, 4.699797, 4.650016",\ + "5.360978, 5.360978, 5.286305, 5.261415, 5.211634",\ + "5.922596, 5.922596, 5.847924, 5.823034, 5.773252",\ + "6.672918, 6.672918, 6.598246, 6.573355, 6.523574",\ + "7.024828, 7.024828, 6.950156, 6.925266, 6.875484"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.702053, 1.702053, 1.739389, 1.776726, 1.851397",\ + "1.872880, 1.872880, 1.910216, 1.947553, 2.022224",\ + "2.043707, 2.043707, 2.081043, 2.118379, 2.193051",\ + "2.271932, 2.271932, 2.309268, 2.346604, 2.421276",\ + "2.443022, 2.443022, 2.480358, 2.517694, 2.592366"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.339111, 1.339111, 1.376447, 1.413783, 1.488455",\ + "0.926321, 0.926321, 0.963657, 1.000993, 1.075665",\ + "0.513532, 0.513532, 0.550868, 0.588204, 0.662876",\ + "-0.037956, -0.037956, -0.000620, 0.036716, 0.111388",\ + "-0.228193, -0.228193, -0.190857, -0.153520, -0.078849"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.510948, 0.098273, -0.314403, -0.865737, -1.056562",\ + "0.990416, 0.577741, 0.165065, -0.386269, -0.577094",\ + "1.469885, 1.057209, 0.644534, 0.093200, -0.097626",\ + "2.110455, 1.697779, 1.285104, 0.733769, 0.542944",\ + "2.364288, 1.951612, 1.538937, 0.987603, 0.796777"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.936306, 0.523630, 0.110955, -0.440380, -0.631205",\ + "1.497924, 1.085248, 0.672573, 0.121238, -0.069587",\ + "2.059541, 1.646866, 1.234190, 0.682856, 0.492031",\ + "2.809863, 2.397187, 1.984512, 1.433177, 1.242352",\ + "3.161773, 2.749098, 2.336422, 1.785088, 1.594263"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.061202, 0.622737, 1.184271, 1.934481, 2.286388",\ + "0.232029, 0.793564, 1.355098, 2.105308, 2.457215",\ + "0.402856, 0.964391, 1.525925, 2.276135, 2.628042",\ + "0.631080, 1.192615, 1.754149, 2.504359, 2.856266",\ + "0.802171, 1.363706, 1.925240, 2.675450, 3.027358"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.497972, 0.063563, 0.625097, 1.375307, 1.727214",\ + "-0.910762, -0.349227, 0.212307, 0.962517, 1.314424",\ + "-1.323552, -0.762017, -0.200483, 0.549727, 0.901634",\ + "-1.875039, -1.313504, -0.751970, -0.001760, 0.350147",\ + "-2.065276, -1.503741, -0.942207, -0.191997, 0.159910"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.461737"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.404907"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.856414 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.464285, 6.585879, 6.643790, 6.650763, 6.670721",\ + "6.464285, 6.585879, 6.643790, 6.650763, 6.670721",\ + "6.501620, 6.623215, 6.681126, 6.688099, 6.708056",\ + "6.538957, 6.660551, 6.718462, 6.725435, 6.745393",\ + "6.613629, 6.735223, 6.793134, 6.800107, 6.820065"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758811, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758811, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758811, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758811, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758811, 0.765609, 0.765609, 0.765609, 0.765609"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.793175, 6.886604, 6.942039, 6.948713, 6.967817",\ + "6.793175, 6.886604, 6.942039, 6.948713, 6.967817",\ + "6.830511, 6.923940, 6.979374, 6.986049, 7.005153",\ + "6.867847, 6.961276, 7.016710, 7.023386, 7.042490",\ + "6.942519, 7.035948, 7.091382, 7.098057, 7.117161"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423379, 0.480752, 0.520925, 0.525763, 0.539608",\ + "0.423379, 0.480752, 0.520925, 0.525763, 0.539608",\ + "0.423379, 0.480752, 0.520925, 0.525763, 0.539608",\ + "0.423379, 0.480752, 0.520925, 0.525763, 0.539608",\ + "0.423379, 0.480752, 0.520925, 0.525763, 0.539608"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.217721, 6.339315, 6.397226, 6.404200, 6.424157",\ + "6.217721, 6.339315, 6.397226, 6.404200, 6.424157",\ + "6.292394, 6.413988, 6.471899, 6.478872, 6.498830",\ + "6.317285, 6.438879, 6.496789, 6.503762, 6.523720",\ + "6.367065, 6.488659, 6.546570, 6.553543, 6.573501"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758811, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758811, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758811, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758811, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758811, 0.765609, 0.765609, 0.765609, 0.765609"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.373795, 6.467224, 6.522658, 6.529333, 6.548437",\ + "6.373795, 6.467224, 6.522658, 6.529333, 6.548437",\ + "6.448467, 6.541895, 6.597330, 6.604005, 6.623109",\ + "6.473358, 6.566786, 6.622221, 6.628896, 6.648000",\ + "6.523139, 6.616567, 6.672001, 6.678677, 6.697781"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423379, 0.480752, 0.520925, 0.525763, 0.539608",\ + "0.423379, 0.480752, 0.520925, 0.525763, 0.539608",\ + "0.423379, 0.480752, 0.520925, 0.525763, 0.539608",\ + "0.423379, 0.480752, 0.520925, 0.525763, 0.539608",\ + "0.423379, 0.480752, 0.520925, 0.525763, 0.539608"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.945724, 5.067319, 5.125230, 5.132203, 5.152161",\ + "5.425272, 5.546866, 5.604777, 5.611750, 5.631708",\ + "5.904819, 6.026413, 6.084324, 6.091297, 6.111255",\ + "6.545495, 6.667089, 6.725000, 6.731973, 6.751931",\ + "6.799328, 6.920922, 6.978833, 6.985806, 7.005764"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777883, 0.789785, 0.789785, 0.789785, 0.789785",\ + "0.777883, 0.789785, 0.789785, 0.789785, 0.789785",\ + "0.777883, 0.789785, 0.789785, 0.789785, 0.789785",\ + "0.777883, 0.789785, 0.789785, 0.789785, 0.789785",\ + "0.777883, 0.789785, 0.789785, 0.789785, 0.789785"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.809270, 4.902699, 4.958133, 4.964808, 4.983912",\ + "5.288817, 5.382246, 5.437680, 5.444355, 5.463459",\ + "5.768364, 5.861793, 5.917228, 5.923903, 5.943007",\ + "6.409040, 6.502469, 6.557903, 6.564579, 6.583683",\ + "6.662873, 6.756302, 6.811736, 6.818411, 6.837515"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444247, 0.500526, 0.538767, 0.543371, 0.556550",\ + "0.444247, 0.500526, 0.538767, 0.543371, 0.556550",\ + "0.444247, 0.500526, 0.538767, 0.543371, 0.556550",\ + "0.444247, 0.500526, 0.538767, 0.543371, 0.556550",\ + "0.444247, 0.500526, 0.538767, 0.543371, 0.556550"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.061824, 4.062416, 4.062909, 4.062968, 4.063138",\ + "3.891037, 3.891629, 3.892121, 3.892181, 3.892351",\ + "3.720249, 3.720841, 3.721334, 3.721393, 3.721563",\ + "3.492077, 3.492669, 3.493162, 3.493221, 3.493391",\ + "3.320946, 3.321538, 3.322031, 3.322090, 3.322260"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.011345, 4.017057, 4.017107, 4.017113, 4.017130",\ + "3.840558, 3.846270, 3.846320, 3.846325, 3.846343",\ + "3.669770, 3.675482, 3.675532, 3.675538, 3.675555",\ + "3.441598, 3.447310, 3.447360, 3.447366, 3.447383",\ + "3.270467, 3.276179, 3.276229, 3.276235, 3.276252"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.834801, 5.956390, 6.014301, 6.021275, 6.041233",\ + "5.834801, 5.956390, 6.014301, 6.021275, 6.041233",\ + "5.872137, 5.993726, 6.051638, 6.058611, 6.078568",\ + "5.909473, 6.031062, 6.088973, 6.095946, 6.115905",\ + "5.984145, 6.105733, 6.163645, 6.170618, 6.190577"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.718696, 5.812123, 5.867558, 5.874233, 5.893337",\ + "5.718696, 5.812123, 5.867558, 5.874233, 5.893337",\ + "5.756032, 5.849459, 5.904894, 5.911569, 5.930673",\ + "5.793368, 5.886795, 5.942229, 5.948905, 5.968009",\ + "5.868040, 5.961467, 6.016901, 6.023576, 6.042681"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.624516, 5.746104, 5.804016, 5.810989, 5.830947",\ + "5.624516, 5.746104, 5.804016, 5.810989, 5.830947",\ + "5.699188, 5.820776, 5.878688, 5.885661, 5.905620",\ + "5.724078, 5.845667, 5.903579, 5.910552, 5.930510",\ + "5.773860, 5.895448, 5.953360, 5.960333, 5.980291"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.588433, 5.681860, 5.737295, 5.743970, 5.763074",\ + "5.588433, 5.681860, 5.737295, 5.743970, 5.763074",\ + "5.663105, 5.756532, 5.811967, 5.818642, 5.837746",\ + "5.687996, 5.781423, 5.836857, 5.843533, 5.862637",\ + "5.737777, 5.831204, 5.886639, 5.893314, 5.912418"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.826410, 4.947999, 5.005910, 5.012884, 5.032842",\ + "5.305957, 5.427546, 5.485457, 5.492431, 5.512389",\ + "5.785504, 5.907093, 5.965005, 5.971978, 5.991936",\ + "6.426179, 6.547768, 6.605680, 6.612653, 6.632611",\ + "6.680013, 6.801602, 6.859513, 6.866487, 6.886445"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777883, 0.789785, 0.789785, 0.789785, 0.789785",\ + "0.777883, 0.789785, 0.789785, 0.789785, 0.789785",\ + "0.777883, 0.789785, 0.789785, 0.789785, 0.789785",\ + "0.777883, 0.789785, 0.789785, 0.789785, 0.789785",\ + "0.777883, 0.789785, 0.789785, 0.789785, 0.789785"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.720854, 4.814281, 4.869716, 4.876391, 4.895495",\ + "5.200402, 5.293829, 5.349263, 5.355938, 5.375043",\ + "5.679949, 5.773376, 5.828811, 5.835485, 5.854590",\ + "6.320624, 6.414051, 6.469485, 6.476161, 6.495265",\ + "6.574457, 6.667884, 6.723319, 6.729994, 6.749098"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444247, 0.500526, 0.538767, 0.543371, 0.556550",\ + "0.444247, 0.500526, 0.538767, 0.543371, 0.556550",\ + "0.444247, 0.500526, 0.538767, 0.543371, 0.556550",\ + "0.444247, 0.500526, 0.538767, 0.543371, 0.556550",\ + "0.444247, 0.500526, 0.538767, 0.543371, 0.556550"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.915016, 3.915609, 3.916102, 3.916162, 3.916332",\ + "3.744229, 3.744822, 3.745315, 3.745374, 3.745544",\ + "3.573441, 3.574034, 3.574527, 3.574587, 3.574757",\ + "3.345269, 3.345861, 3.346355, 3.346414, 3.346584",\ + "3.174138, 3.174731, 3.175224, 3.175284, 3.175454"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.864532, 3.870241, 3.870291, 3.870296, 3.870314",\ + "3.693745, 3.699453, 3.699503, 3.699509, 3.699526",\ + "3.522957, 3.528665, 3.528715, 3.528721, 3.528738",\ + "3.294785, 3.300493, 3.300543, 3.300549, 3.300566",\ + "3.123654, 3.129363, 3.129413, 3.129418, 3.129436"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.348803, 4.470391, 4.528303, 4.535276, 4.555234",\ + "4.828350, 4.949939, 5.007851, 5.014824, 5.034782",\ + "5.307898, 5.429486, 5.487398, 5.494371, 5.514329",\ + "5.948573, 6.070162, 6.128074, 6.135047, 6.155005",\ + "6.202405, 6.323994, 6.381906, 6.388879, 6.408837"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.151165, 4.244592, 4.300026, 4.306702, 4.325806",\ + "4.712700, 4.806127, 4.861561, 4.868237, 4.887341",\ + "5.274234, 5.367661, 5.423096, 5.429770, 5.448875",\ + "6.024444, 6.117870, 6.173306, 6.179980, 6.199085",\ + "6.376350, 6.469777, 6.525212, 6.531887, 6.550992"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.873049, 3.994637, 4.052549, 4.059522, 4.079480",\ + "3.702261, 3.823850, 3.881762, 3.888735, 3.908693",\ + "3.531473, 3.653062, 3.710974, 3.717947, 3.737905",\ + "3.303301, 3.424890, 3.482802, 3.489775, 3.509733",\ + "3.132171, 3.253760, 3.311671, 3.318645, 3.338603"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.963599, 4.057026, 4.112461, 4.119136, 4.138240",\ + "4.376275, 4.469702, 4.525137, 4.531812, 4.550916",\ + "4.788951, 4.882378, 4.937812, 4.944488, 4.963592",\ + "5.340285, 5.433712, 5.489146, 5.495821, 5.514926",\ + "5.531110, 5.624537, 5.679972, 5.686647, 5.705751"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598",\ + "0.423358, 0.480752, 0.520919, 0.525755, 0.539598"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.754943, 5.876534, 5.934444, 5.941418, 5.961376",\ + "5.754943, 5.876534, 5.934444, 5.941418, 5.961376",\ + "5.792279, 5.913869, 5.971781, 5.978754, 5.998712",\ + "5.829615, 5.951205, 6.009117, 6.016090, 6.036048",\ + "5.904286, 6.025877, 6.083788, 6.090762, 6.110720"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777882, 0.789782, 0.789782, 0.789782, 0.789782",\ + "0.777882, 0.789782, 0.789782, 0.789782, 0.789782",\ + "0.777882, 0.789782, 0.789782, 0.789782, 0.789782",\ + "0.777882, 0.789782, 0.789782, 0.789782, 0.789782",\ + "0.777882, 0.789782, 0.789782, 0.789782, 0.789782"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.722825, 5.815963, 5.871023, 5.877653, 5.896628",\ + "5.722825, 5.815963, 5.871023, 5.877653, 5.896628",\ + "5.760161, 5.853299, 5.908359, 5.914989, 5.933964",\ + "5.797497, 5.890635, 5.945695, 5.952325, 5.971300",\ + "5.872169, 5.965306, 6.020367, 6.026997, 6.045972"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444251, 0.500517, 0.538760, 0.543365, 0.556545",\ + "0.444251, 0.500517, 0.538760, 0.543365, 0.556545",\ + "0.444251, 0.500517, 0.538760, 0.543365, 0.556545",\ + "0.444251, 0.500517, 0.538760, 0.543365, 0.556545",\ + "0.444251, 0.500517, 0.538760, 0.543365, 0.556545"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.193244, 5.193886, 5.194403, 5.194466, 5.194643",\ + "5.193244, 5.193886, 5.194403, 5.194466, 5.194643",\ + "5.267916, 5.268559, 5.269075, 5.269137, 5.269316",\ + "5.292806, 5.293449, 5.293966, 5.294028, 5.294206",\ + "5.342587, 5.343230, 5.343747, 5.343809, 5.343987"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.142513, 5.148009, 5.148066, 5.148073, 5.148092",\ + "5.142513, 5.148009, 5.148066, 5.148073, 5.148092",\ + "5.217185, 5.222681, 5.222738, 5.222745, 5.222764",\ + "5.242075, 5.247571, 5.247628, 5.247635, 5.247655",\ + "5.291857, 5.297353, 5.297410, 5.297417, 5.297436"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.941744, 4.063335, 4.121246, 4.128220, 4.148177",\ + "4.421253, 4.542843, 4.600755, 4.607728, 4.627686",\ + "4.900761, 5.022351, 5.080263, 5.087236, 5.107194",\ + "5.541383, 5.662974, 5.720885, 5.727859, 5.747816",\ + "5.795217, 5.916807, 5.974719, 5.981692, 6.001650"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758812, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758812, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758812, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758812, 0.765609, 0.765609, 0.765609, 0.765609"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.788574, 3.882002, 3.937436, 3.944111, 3.963216",\ + "4.350151, 4.443578, 4.499013, 4.505688, 4.524792",\ + "4.911726, 5.005154, 5.060589, 5.067264, 5.086368",\ + "5.661992, 5.755420, 5.810854, 5.817529, 5.836634",\ + "6.013901, 6.107328, 6.162763, 6.169438, 6.188542"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423364, 0.480752, 0.520921, 0.525757, 0.539600",\ + "0.423364, 0.480752, 0.520921, 0.525757, 0.539600",\ + "0.423364, 0.480752, 0.520921, 0.525757, 0.539600",\ + "0.423364, 0.480752, 0.520921, 0.525757, 0.539600",\ + "0.423364, 0.480752, 0.520921, 0.525757, 0.539600"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.610128, 3.731719, 3.789630, 3.796603, 3.816561",\ + "3.474849, 3.596439, 3.654351, 3.661324, 3.681282",\ + "3.269197, 3.390788, 3.448699, 3.455672, 3.475630",\ + "3.041682, 3.163272, 3.221184, 3.228157, 3.248115",\ + "2.870571, 2.992162, 3.050073, 3.057046, 3.077004"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758812, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758812, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758812, 0.765609, 0.765609, 0.765609, 0.765609",\ + "0.758812, 0.765609, 0.765609, 0.765609, 0.765609"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.673453, 3.766881, 3.822315, 3.828990, 3.848094",\ + "4.000338, 4.093765, 4.149199, 4.155874, 4.174979",\ + "4.497267, 4.590694, 4.646129, 4.652804, 4.671908",\ + "5.047027, 5.140454, 5.195889, 5.202563, 5.221668",\ + "5.237559, 5.330986, 5.386421, 5.393096, 5.412200"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423364, 0.480752, 0.520921, 0.525757, 0.539600",\ + "0.423364, 0.480752, 0.520921, 0.525757, 0.539600",\ + "0.423364, 0.480752, 0.520921, 0.525757, 0.539600",\ + "0.423364, 0.480752, 0.520921, 0.525757, 0.539600",\ + "0.423364, 0.480752, 0.520921, 0.525757, 0.539600"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.689679, 4.811269, 4.869181, 4.876154, 4.896111",\ + "5.169226, 5.290817, 5.348728, 5.355701, 5.375659",\ + "5.648773, 5.770364, 5.828275, 5.835248, 5.855206",\ + "6.289448, 6.411039, 6.468950, 6.475924, 6.495881",\ + "6.543281, 6.664872, 6.722783, 6.729756, 6.749714"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777882, 0.789782, 0.789782, 0.789782, 0.789782",\ + "0.777882, 0.789782, 0.789782, 0.789782, 0.789782",\ + "0.777882, 0.789782, 0.789782, 0.789782, 0.789782",\ + "0.777882, 0.789782, 0.789782, 0.789782, 0.789782",\ + "0.777882, 0.789782, 0.789782, 0.789782, 0.789782"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.659909, 4.753047, 4.808107, 4.814737, 4.833712",\ + "5.139456, 5.232594, 5.287654, 5.294284, 5.313259",\ + "5.619003, 5.712141, 5.767201, 5.773831, 5.792807",\ + "6.259679, 6.352817, 6.407877, 6.414507, 6.433482",\ + "6.513512, 6.606649, 6.661710, 6.668340, 6.687315"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444251, 0.500517, 0.538760, 0.543365, 0.556545",\ + "0.444251, 0.500517, 0.538760, 0.543365, 0.556545",\ + "0.444251, 0.500517, 0.538760, 0.543365, 0.556545",\ + "0.444251, 0.500517, 0.538760, 0.543365, 0.556545",\ + "0.444251, 0.500517, 0.538760, 0.543365, 0.556545"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.891427, 3.892070, 3.892587, 3.892649, 3.892827",\ + "3.720639, 3.721282, 3.721799, 3.721861, 3.722039",\ + "3.549851, 3.550494, 3.551011, 3.551074, 3.551251",\ + "3.321679, 3.322322, 3.322839, 3.322901, 3.323080",\ + "3.150549, 3.151192, 3.151709, 3.151771, 3.151949"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.840696, 3.846192, 3.846249, 3.846256, 3.846276",\ + "3.669909, 3.675405, 3.675462, 3.675468, 3.675488",\ + "3.499121, 3.504617, 3.504674, 3.504681, 3.504700",\ + "3.270949, 3.276445, 3.276502, 3.276509, 3.276528",\ + "3.099818, 3.105314, 3.105371, 3.105378, 3.105398"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.702511"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.723947"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/lib/ff/caravan.nom.lib b/signoff/caravan/primetime/lib/ff/caravan.nom.lib new file mode 100644 index 00000000..bb66f99f --- /dev/null +++ b/signoff/caravan/primetime/lib/ff/caravan.nom.lib @@ -0,0 +1,4508 @@ + +library("caravan.nom") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 05:04:15 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.9500 ; + nom_temperature : -40.0000 ; + operating_conditions( "sky130_fd_sc_hd__ff_1.95v_-40C" ) { + process : 1.0000 ; + voltage : 1.9500 ; + temperature : -40.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__ff_1.95v_-40C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.754829, 4.754829, 4.680155, 4.655264, 4.605481",\ + "5.234375, 5.234375, 5.159700, 5.134809, 5.085026",\ + "5.713921, 5.713921, 5.639246, 5.614355, 5.564572",\ + "6.354593, 6.354593, 6.279919, 6.255028, 6.205245",\ + "6.608426, 6.608426, 6.533751, 6.508861, 6.459077"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.505447, 4.505447, 4.430773, 4.405882, 4.356099",\ + "5.066986, 5.066986, 4.992311, 4.967421, 4.917637",\ + "5.628523, 5.628523, 5.553848, 5.528957, 5.479174",\ + "6.378736, 6.378736, 6.304062, 6.279171, 6.229388",\ + "6.730643, 6.730643, 6.655969, 6.631078, 6.581295"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.053431, 1.053431, 1.090769, 1.128106, 1.202780",\ + "1.224220, 1.224220, 1.261557, 1.298894, 1.373569",\ + "1.395009, 1.395009, 1.432346, 1.469683, 1.544357",\ + "1.623182, 1.623182, 1.660519, 1.697857, 1.772531",\ + "1.794311, 1.794311, 1.831649, 1.868986, 1.943660"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.662192, 0.662192, 0.699529, 0.736866, 0.811540",\ + "0.249513, 0.249513, 0.286851, 0.324188, 0.398862",\ + "-0.163165, -0.163165, -0.125828, -0.088490, -0.013816",\ + "-0.714504, -0.714504, -0.677166, -0.639829, -0.565155",\ + "-0.905312, -0.905312, -0.867974, -0.830637, -0.755963"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.677657, 1.264995, 0.852333, 0.301016, 0.110123",\ + "2.157202, 1.744540, 1.331877, 0.780560, 0.589668",\ + "2.636747, 2.224084, 1.811422, 1.260105, 1.069212",\ + "3.277421, 2.864758, 2.452096, 1.900779, 1.709886",\ + "3.531253, 3.118591, 2.705928, 2.154611, 1.963719"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.072025, 1.659362, 1.246700, 0.695383, 0.504490",\ + "2.633562, 2.220900, 1.808237, 1.256920, 1.066028",\ + "3.195099, 2.782437, 2.369774, 1.818457, 1.627565",\ + "3.945312, 3.532650, 3.119987, 2.568671, 2.377778",\ + "4.297220, 3.884557, 3.471895, 2.920578, 2.729685"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.023103, -0.461578, 0.099947, 0.850145, 1.202051",\ + "-0.852315, -0.290790, 0.270736, 1.020933, 1.372840",\ + "-0.681526, -0.120001, 0.441525, 1.191722, 1.543629",\ + "-0.453352, 0.108173, 0.669698, 1.419896, 1.771802",\ + "-0.282223, 0.279302, 0.840827, 1.591025, 1.942932"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.536868, -0.975343, -0.413818, 0.336380, 0.688287",\ + "-1.949546, -1.388021, -0.826496, -0.076298, 0.275609",\ + "-2.362225, -1.800700, -1.239175, -0.488977, -0.137070",\ + "-2.913564, -2.352039, -1.790514, -1.040316, -0.688409",\ + "-3.104372, -2.542846, -1.981321, -1.231123, -0.879217"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.842690, 4.842690, 4.768016, 4.743125, 4.693342",\ + "5.322246, 5.322246, 5.247571, 5.222680, 5.172897",\ + "5.801799, 5.801799, 5.727125, 5.702233, 5.652451",\ + "6.442484, 6.442484, 6.367810, 6.342918, 6.293136",\ + "6.696317, 6.696317, 6.621643, 6.596751, 6.546968"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.475916, 4.475916, 4.401242, 4.376350, 4.326568",\ + "5.037444, 5.037444, 4.962770, 4.937879, 4.888096",\ + "5.598970, 5.598970, 5.524296, 5.499405, 5.449622",\ + "6.349172, 6.349172, 6.274498, 6.249606, 6.199823",\ + "6.701078, 6.701078, 6.626404, 6.601513, 6.551730"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.527199, 0.527199, 0.564536, 0.601874, 0.676548",\ + "0.697983, 0.697983, 0.735321, 0.772658, 0.847332",\ + "0.868767, 0.868767, 0.906105, 0.943442, 1.018116",\ + "1.096935, 1.096935, 1.134272, 1.171610, 1.246284",\ + "1.268069, 1.268069, 1.305406, 1.342744, 1.417418"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.055556, 0.055556, 0.092893, 0.130230, 0.204904",\ + "-0.357110, -0.357110, -0.319772, -0.282435, -0.207761",\ + "-0.769775, -0.769775, -0.732438, -0.695100, -0.620426",\ + "-1.321095, -1.321095, -1.283758, -1.246421, -1.171747",\ + "-1.511975, -1.511975, -1.474638, -1.437300, -1.362626"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.955159, 1.542496, 1.129834, 0.578517, 0.387625",\ + "2.434714, 2.022052, 1.609389, 1.058072, 0.867180",\ + "2.914268, 2.501605, 2.088943, 1.537626, 1.346734",\ + "3.554952, 3.142290, 2.729628, 2.178311, 1.987418",\ + "3.808786, 3.396123, 2.983461, 2.432144, 2.241251"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.255828, 1.843166, 1.430504, 0.879187, 0.688294",\ + "2.817354, 2.404692, 1.992030, 1.440713, 1.249820",\ + "3.378882, 2.966219, 2.553557, 2.002240, 1.811347",\ + "4.129081, 3.716419, 3.303756, 2.752439, 2.561547",\ + "4.480989, 4.068326, 3.655664, 3.104347, 2.913455"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.202942, -0.641418, -0.079892, 0.670305, 1.022212",\ + "-1.032158, -0.470633, 0.090892, 0.841090, 1.192997",\ + "-0.861374, -0.299849, 0.261676, 1.011874, 1.363780",\ + "-0.633206, -0.071681, 0.489844, 1.240041, 1.591948",\ + "-0.462072, 0.099452, 0.660978, 1.411175, 1.763082"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.790962, -1.229437, -0.667912, 0.082286, 0.434193",\ + "-2.203627, -1.642102, -1.080577, -0.330379, 0.021528",\ + "-2.616292, -2.054767, -1.493242, -0.743044, -0.391137",\ + "-3.167612, -2.606087, -2.044562, -1.294364, -0.942457",\ + "-3.358492, -2.796967, -2.235441, -1.485244, -1.133337"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.905926, 4.905926, 4.831251, 4.806360, 4.756577",\ + "5.385465, 5.385465, 5.310790, 5.285899, 5.236116",\ + "5.865004, 5.865004, 5.790329, 5.765438, 5.715655",\ + "6.505668, 6.505668, 6.430993, 6.406102, 6.356319",\ + "6.759501, 6.759501, 6.684826, 6.659935, 6.610152"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.654222, 4.654222, 4.579548, 4.554657, 4.504874",\ + "5.215767, 5.215767, 5.141092, 5.116201, 5.066418",\ + "5.777310, 5.777310, 5.702636, 5.677745, 5.627962",\ + "6.527532, 6.527532, 6.452857, 6.427966, 6.378183",\ + "6.879439, 6.879439, 6.804765, 6.779874, 6.730091"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.921218, 0.921218, 0.958555, 0.995893, 1.070567",\ + "1.092010, 1.092010, 1.129348, 1.166685, 1.241359",\ + "1.262802, 1.262802, 1.300139, 1.337476, 1.412151",\ + "1.490980, 1.490980, 1.528317, 1.565655, 1.640329",\ + "1.662106, 1.662106, 1.699444, 1.736781, 1.811455"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.685517, 0.685517, 0.722854, 0.760191, 0.834866",\ + "0.272829, 0.272829, 0.310167, 0.347504, 0.422178",\ + "-0.139858, -0.139858, -0.102521, -0.065183, 0.009491",\ + "-0.691208, -0.691208, -0.653871, -0.616534, -0.541859",\ + "-0.881972, -0.881972, -0.844634, -0.807297, -0.732623"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.774895, 1.362233, 0.949571, 0.398254, 0.207361",\ + "2.254434, 1.841772, 1.429110, 0.877793, 0.686900",\ + "2.733974, 2.321311, 1.908649, 1.357332, 1.166440",\ + "3.374637, 2.961975, 2.549313, 1.997996, 1.807103",\ + "3.628471, 3.215808, 2.803146, 2.251829, 2.060936"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.875179, 1.462517, 1.049854, 0.498537, 0.307645",\ + "2.436723, 2.024060, 1.611398, 1.060081, 0.869188",\ + "2.998266, 2.585603, 2.172941, 1.621624, 1.430731",\ + "3.748488, 3.335825, 2.923163, 2.371846, 2.180954",\ + "4.100395, 3.687733, 3.275071, 2.723754, 2.532861"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.128684, -0.567159, -0.005634, 0.744564, 1.096471",\ + "-0.957892, -0.396367, 0.165159, 0.915356, 1.267263",\ + "-0.787100, -0.225575, 0.335950, 1.086148, 1.438055",\ + "-0.558922, 0.002603, 0.564128, 1.314326, 1.666233",\ + "-0.387796, 0.173729, 0.735255, 1.485452, 1.837359"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.453000, -0.891475, -0.329950, 0.420248, 0.772155",\ + "-1.865687, -1.304163, -0.742637, 0.007560, 0.359467",\ + "-2.278375, -1.716850, -1.155324, -0.405127, -0.053220",\ + "-2.829726, -2.268201, -1.706675, -0.956478, -0.604571",\ + "-3.020489, -2.458964, -1.897439, -1.147241, -0.795334"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.846856, 4.846856, 4.772182, 4.747290, 4.697507",\ + "5.326418, 5.326418, 5.251744, 5.226852, 5.177070",\ + "5.805979, 5.805979, 5.731305, 5.706413, 5.656631",\ + "6.446673, 6.446673, 6.371999, 6.347107, 6.297324",\ + "6.700506, 6.700506, 6.625832, 6.600940, 6.551157"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.604223, 4.604223, 4.529549, 4.504657, 4.454874",\ + "5.165744, 5.165744, 5.091070, 5.066178, 5.016396",\ + "5.727265, 5.727265, 5.652591, 5.627699, 5.577916",\ + "6.477457, 6.477457, 6.402782, 6.377891, 6.328108",\ + "6.829363, 6.829363, 6.754689, 6.729797, 6.680015"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.636339, 0.636339, 0.673676, 0.711014, 0.785688",\ + "0.807120, 0.807120, 0.844458, 0.881795, 0.956469",\ + "0.977901, 0.977901, 1.015238, 1.052576, 1.127250",\ + "1.206065, 1.206065, 1.243402, 1.280739, 1.355413",\ + "1.377202, 1.377202, 1.414539, 1.451877, 1.526551"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.521089, 0.521089, 0.558427, 0.595764, 0.670438",\ + "0.108433, 0.108433, 0.145770, 0.183108, 0.257782",\ + "-0.304223, -0.304223, -0.266886, -0.229548, -0.154874",\ + "-0.855531, -0.855531, -0.818194, -0.780857, -0.706183",\ + "-1.046457, -1.046457, -1.009120, -0.971782, -0.897108"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.826992, 1.414330, 1.001667, 0.450351, 0.259458",\ + "2.306553, 1.893891, 1.481229, 0.929912, 0.739019",\ + "2.786114, 2.373452, 1.960790, 1.409473, 1.218580",\ + "3.426807, 3.014145, 2.601483, 2.050166, 1.859273",\ + "3.680640, 3.267978, 2.855316, 2.303999, 2.113106"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.013212, 1.600550, 1.187888, 0.636571, 0.445678",\ + "2.574733, 2.162071, 1.749409, 1.198092, 1.007200",\ + "3.136254, 2.723592, 2.310930, 1.759613, 1.568720",\ + "3.886444, 3.473782, 3.061120, 2.509803, 2.318910",\ + "4.238351, 3.825689, 3.413027, 2.861710, 2.670817"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.094377, -0.532851, 0.028674, 0.778872, 1.130778",\ + "-0.923595, -0.362070, 0.199455, 0.949653, 1.301559",\ + "-0.752815, -0.191290, 0.370236, 1.120433, 1.472340",\ + "-0.524651, 0.036874, 0.598399, 1.348597, 1.700504",\ + "-0.353514, 0.208011, 0.769537, 1.519734, 1.871641"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.312369, -0.750844, -0.189318, 0.560879, 0.912786",\ + "-1.725025, -1.163500, -0.601974, 0.148223, 0.500130",\ + "-2.137681, -1.576156, -1.014630, -0.264433, 0.087474",\ + "-2.688990, -2.127465, -1.565939, -0.815742, -0.463835",\ + "-2.879915, -2.318390, -1.756865, -1.006667, -0.654760"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.752600, 4.752600, 4.677925, 4.653034, 4.603251",\ + "5.232182, 5.232182, 5.157507, 5.132616, 5.082832",\ + "5.711762, 5.711762, 5.637088, 5.612196, 5.562413",\ + "6.352486, 6.352486, 6.277811, 6.252920, 6.203136",\ + "6.606318, 6.606318, 6.531644, 6.506752, 6.456969"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.507512, 4.507512, 4.432837, 4.407946, 4.358163",\ + "5.069015, 5.069015, 4.994340, 4.969449, 4.919665",\ + "5.630517, 5.630517, 5.555842, 5.530951, 5.481168",\ + "6.380687, 6.380687, 6.306012, 6.281121, 6.231338",\ + "6.732592, 6.732592, 6.657917, 6.633026, 6.583242"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.729302, 0.729302, 0.766639, 0.803976, 0.878650",\ + "0.900083, 0.900083, 0.937420, 0.974757, 1.049432",\ + "1.070864, 1.070864, 1.108201, 1.145539, 1.220213",\ + "1.299027, 1.299027, 1.336365, 1.373702, 1.448376",\ + "1.470160, 1.470160, 1.507498, 1.544835, 1.619509"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.137643, 0.137643, 0.174981, 0.212318, 0.286992",\ + "-0.275034, -0.275034, -0.237697, -0.200360, -0.125686",\ + "-0.687712, -0.687712, -0.650375, -0.613038, -0.538363",\ + "-1.239049, -1.239049, -1.201712, -1.164375, -1.089701",\ + "-1.430386, -1.430386, -1.393049, -1.355711, -1.281037"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.856172, 1.443509, 1.030847, 0.479530, 0.288638",\ + "2.335753, 1.923091, 1.510429, 0.959112, 0.768219",\ + "2.815335, 2.402673, 1.990011, 1.438694, 1.247801",\ + "3.456057, 3.043394, 2.630732, 2.079415, 1.888523",\ + "3.709890, 3.297227, 2.884565, 2.333248, 2.142356"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.345544, 1.932882, 1.520220, 0.968903, 0.778010",\ + "2.907047, 2.494385, 2.081723, 1.530406, 1.339513",\ + "3.468551, 3.055888, 2.643226, 2.091909, 1.901017",\ + "4.218719, 3.806056, 3.393394, 2.842077, 2.651185",\ + "4.570624, 4.157962, 3.745300, 3.193983, 3.003090"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.033864, -0.472339, 0.089186, 0.839384, 1.191290",\ + "-0.863083, -0.301558, 0.259967, 1.010165, 1.362072",\ + "-0.692302, -0.130777, 0.430748, 1.180946, 1.532853",\ + "-0.464139, 0.097386, 0.658912, 1.409109, 1.761016",\ + "-0.293006, 0.268519, 0.830044, 1.580242, 1.932149"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.760081, -1.198556, -0.637031, 0.113167, 0.465074",\ + "-2.172759, -1.611234, -1.049709, -0.299511, 0.052396",\ + "-2.585437, -2.023911, -1.462386, -0.712188, -0.360282",\ + "-3.136774, -2.575249, -2.013723, -1.263526, -0.911619",\ + "-3.328110, -2.766585, -2.205060, -1.454862, -1.102956"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.859577, 4.859577, 4.784903, 4.760011, 4.710228",\ + "5.339156, 5.339156, 5.264482, 5.239590, 5.189807",\ + "5.818732, 5.818732, 5.744058, 5.719166, 5.669383",\ + "6.459447, 6.459447, 6.384773, 6.359881, 6.310099",\ + "6.713280, 6.713280, 6.638606, 6.613714, 6.563931"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.570646, 4.570646, 4.495972, 4.471080, 4.421297",\ + "5.132149, 5.132149, 5.057475, 5.032583, 4.982800",\ + "5.693653, 5.693653, 5.618979, 5.594087, 5.544304",\ + "6.443820, 6.443820, 6.369145, 6.344254, 6.294471",\ + "6.795725, 6.795725, 6.721051, 6.696159, 6.646377"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.817405, 0.817405, 0.854743, 0.892080, 0.966754",\ + "0.988179, 0.988179, 1.025517, 1.062854, 1.137528",\ + "1.158953, 1.158953, 1.196290, 1.233628, 1.308302",\ + "1.387107, 1.387107, 1.424445, 1.461782, 1.536456",\ + "1.558251, 1.558251, 1.595589, 1.632926, 1.707600"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.410249, 0.410249, 0.447587, 0.484924, 0.559598",\ + "-0.002385, -0.002385, 0.034953, 0.072290, 0.146964",\ + "-0.415019, -0.415019, -0.377681, -0.340344, -0.265670",\ + "-0.966297, -0.966297, -0.928960, -0.891623, -0.816948",\ + "-1.157369, -1.157369, -1.120031, -1.082694, -1.008020"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.752840, 1.340177, 0.927515, 0.376198, 0.185306",\ + "2.232417, 1.819754, 1.407092, 0.855775, 0.664883",\ + "2.711994, 2.299331, 1.886669, 1.335352, 1.144459",\ + "3.352709, 2.940046, 2.527384, 1.976067, 1.785175",\ + "3.606542, 3.193880, 2.781217, 2.229900, 2.039008"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.031799, 1.619136, 1.206474, 0.655157, 0.464265",\ + "2.593301, 2.180638, 1.767976, 1.216659, 1.025767",\ + "3.154803, 2.742141, 2.329479, 1.778162, 1.587269",\ + "3.904971, 3.492308, 3.079646, 2.528329, 2.337436",\ + "4.256876, 3.844214, 3.431552, 2.880235, 2.689342"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.923328, -0.361803, 0.199722, 0.949920, 1.301827",\ + "-0.752554, -0.191029, 0.370496, 1.120694, 1.472601",\ + "-0.581780, -0.020255, 0.541270, 1.291468, 1.643375",\ + "-0.353626, 0.207899, 0.769424, 1.519622, 1.871529",\ + "-0.182482, 0.379043, 0.940569, 1.690766, 2.042673"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.406726, -0.845201, -0.283676, 0.466522, 0.818429",\ + "-1.819360, -1.257835, -0.696310, 0.053888, 0.405795",\ + "-2.231994, -1.670469, -1.108943, -0.358746, -0.006839",\ + "-2.783272, -2.221747, -1.660222, -0.910024, -0.558117",\ + "-2.974344, -2.412818, -1.851293, -1.101095, -0.749189"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.096246, 5.096246, 5.021571, 4.996680, 4.946897",\ + "5.575839, 5.575839, 5.501164, 5.476274, 5.426490",\ + "6.055432, 6.055432, 5.980758, 5.955867, 5.906084",\ + "6.696169, 6.696169, 6.621494, 6.596603, 6.546820",\ + "6.950003, 6.950003, 6.875328, 6.850437, 6.800654"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.805618, 4.805618, 4.730944, 4.706053, 4.656270",\ + "5.367122, 5.367122, 5.292447, 5.267556, 5.217773",\ + "5.928626, 5.928626, 5.853951, 5.829061, 5.779277",\ + "6.678795, 6.678795, 6.604120, 6.579229, 6.529446",\ + "7.030701, 7.030701, 6.956026, 6.931135, 6.881352"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.618650, 0.618650, 0.655987, 0.693325, 0.767999",\ + "0.789448, 0.789448, 0.826785, 0.864123, 0.938797",\ + "0.960246, 0.960246, 0.997584, 1.034921, 1.109595",\ + "1.188432, 1.188432, 1.225770, 1.263107, 1.337781",\ + "1.359539, 1.359539, 1.396876, 1.434214, 1.508888"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.033159, 0.033159, 0.070497, 0.107834, 0.182508",\ + "-0.379624, -0.379624, -0.342286, -0.304949, -0.230275",\ + "-0.792407, -0.792407, -0.755070, -0.717732, -0.643058",\ + "-1.343884, -1.343884, -1.306547, -1.269209, -1.194535",\ + "-1.535855, -1.535855, -1.498518, -1.461180, -1.386506"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.204976, 1.792314, 1.379652, 0.828335, 0.637442",\ + "2.684570, 2.271908, 1.859245, 1.307928, 1.117036",\ + "3.164163, 2.751501, 2.338839, 1.787522, 1.596629",\ + "3.804899, 3.392236, 2.979574, 2.428257, 2.237364",\ + "4.058731, 3.646069, 3.233407, 2.682090, 2.491197"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.472700, 2.060037, 1.647375, 1.096058, 0.905166",\ + "3.034203, 2.621540, 2.208878, 1.657561, 1.466669",\ + "3.595707, 3.183044, 2.770382, 2.219065, 2.028172",\ + "4.345876, 3.933213, 3.520551, 2.969234, 2.778341",\ + "4.697782, 4.285119, 3.872457, 3.321140, 3.130247"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.206950, -0.645426, -0.083900, 0.666297, 1.018204",\ + "-1.036152, -0.474627, 0.086898, 0.837095, 1.189002",\ + "-0.865354, -0.303829, 0.257696, 1.007894, 1.359801",\ + "-0.637168, -0.075643, 0.485882, 1.236080, 1.587987",\ + "-0.466061, 0.095464, 0.656989, 1.407187, 1.759093"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.928443, -1.366919, -0.805393, -0.055196, 0.296711",\ + "-2.341227, -1.779702, -1.218177, -0.467979, -0.116072",\ + "-2.754009, -2.192485, -1.630959, -0.880762, -0.528855",\ + "-3.305486, -2.743962, -2.182436, -1.432239, -1.080332",\ + "-3.497457, -2.935932, -2.374407, -1.624209, -1.272303"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.976538, 5.976538, 5.901864, 5.876972, 5.827189",\ + "6.456120, 6.456120, 6.381446, 6.356555, 6.306772",\ + "6.935704, 6.935704, 6.861030, 6.836139, 6.786356",\ + "7.576427, 7.576427, 7.501752, 7.476861, 7.427078",\ + "7.830260, 7.830260, 7.755586, 7.730695, 7.680912"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.648823, 5.648823, 5.574149, 5.549257, 5.499475",\ + "6.210325, 6.210325, 6.135651, 6.110760, 6.060977",\ + "6.771828, 6.771828, 6.697154, 6.672262, 6.622479",\ + "7.521996, 7.521996, 7.447321, 7.422430, 7.372647",\ + "7.873901, 7.873901, 7.799227, 7.774336, 7.724553"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.194919, 0.194919, 0.232256, 0.269593, 0.344267",\ + "0.365702, 0.365702, 0.403039, 0.440376, 0.515050",\ + "0.536485, 0.536485, 0.573822, 0.611159, 0.685833",\ + "0.764651, 0.764651, 0.801988, 0.839325, 0.914000",\ + "0.935781, 0.935781, 0.973118, 1.010455, 1.085129"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.400769, -0.400769, -0.363432, -0.326094, -0.251420",\ + "-0.813459, -0.813459, -0.776122, -0.738785, -0.664111",\ + "-1.226151, -1.226151, -1.188813, -1.151476, -1.076802",\ + "-1.777504, -1.777504, -1.740167, -1.702829, -1.628155",\ + "-1.968916, -1.968916, -1.931579, -1.894241, -1.819567"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.786501, 2.373838, 1.961176, 1.409859, 1.218967",\ + "3.266085, 2.853422, 2.440760, 1.889443, 1.698550",\ + "3.745667, 3.333005, 2.920343, 2.369026, 2.178133",\ + "4.386392, 3.973729, 3.561067, 3.009750, 2.818857",\ + "4.640225, 4.227562, 3.814900, 3.263583, 3.072691"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.218460, 2.805797, 2.393135, 1.841818, 1.650925",\ + "3.779963, 3.367300, 2.954638, 2.403321, 2.212428",\ + "4.341465, 3.928802, 3.516140, 2.964823, 2.773930",\ + "5.091632, 4.678970, 4.266308, 3.714991, 3.524098",\ + "5.443538, 5.030876, 4.618214, 4.066896, 3.876004"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.572424, -1.010899, -0.449374, 0.300824, 0.652731",\ + "-1.401641, -0.840116, -0.278591, 0.471607, 0.823514",\ + "-1.230858, -0.669333, -0.107808, 0.642390, 0.994297",\ + "-1.002692, -0.441167, 0.120358, 0.870556, 1.222463",\ + "-0.831562, -0.270037, 0.291488, 1.041686, 1.393593"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.302507, -1.740982, -1.179456, -0.429259, -0.077352",\ + "-2.715196, -2.153671, -1.592145, -0.841948, -0.490041",\ + "-3.127887, -2.566362, -2.004837, -1.254639, -0.902732",\ + "-3.679240, -3.117715, -2.556190, -1.805992, -1.454086",\ + "-3.870652, -3.309127, -2.747602, -1.997404, -1.645498"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.899441, 4.899441, 4.824766, 4.799875, 4.750092",\ + "5.379021, 5.379021, 5.304346, 5.279455, 5.229672",\ + "5.858602, 5.858602, 5.783927, 5.759036, 5.709253",\ + "6.499322, 6.499322, 6.424647, 6.399756, 6.349973",\ + "6.753154, 6.753154, 6.678479, 6.653588, 6.603805"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.558360, 4.558360, 4.483685, 4.458795, 4.409011",\ + "5.119864, 5.119864, 5.045190, 5.020299, 4.970516",\ + "5.681366, 5.681366, 5.606691, 5.581800, 5.532017",\ + "6.431535, 6.431535, 6.356860, 6.331969, 6.282186",\ + "6.783441, 6.783441, 6.708766, 6.683875, 6.634092"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.412553, 0.412553, 0.449890, 0.487228, 0.561902",\ + "0.583332, 0.583332, 0.620669, 0.658006, 0.732680",\ + "0.754110, 0.754110, 0.791447, 0.828785, 0.903459",\ + "0.982270, 0.982270, 1.019608, 1.056945, 1.131619",\ + "1.153408, 1.153408, 1.190745, 1.228082, 1.302757"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.085371, 0.085371, 0.122708, 0.160046, 0.234720",\ + "-0.327291, -0.327291, -0.289953, -0.252616, -0.177942",\ + "-0.739953, -0.739953, -0.702616, -0.665278, -0.590604",\ + "-1.291268, -1.291268, -1.253931, -1.216593, -1.141919",\ + "-1.482508, -1.482508, -1.445171, -1.407834, -1.333159"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.334987, 1.922324, 1.509662, 0.958345, 0.767452",\ + "2.814567, 2.401905, 1.989242, 1.437925, 1.247033",\ + "3.294148, 2.881485, 2.468823, 1.917506, 1.726614",\ + "3.934867, 3.522204, 3.109542, 2.558225, 2.367332",\ + "4.188700, 3.776037, 3.363375, 2.812058, 2.621165"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.544267, 2.131604, 1.718942, 1.167625, 0.976732",\ + "3.105770, 2.693108, 2.280445, 1.729128, 1.538236",\ + "3.667273, 3.254610, 2.841948, 2.290631, 2.099738",\ + "4.417439, 4.004777, 3.592114, 3.040797, 2.849905",\ + "4.769345, 4.356683, 3.944020, 3.392703, 3.201811"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.663956, -1.102431, -0.540906, 0.209292, 0.561199",\ + "-1.493178, -0.931653, -0.370127, 0.380070, 0.731977",\ + "-1.322399, -0.760874, -0.199349, 0.550849, 0.902756",\ + "-1.094239, -0.532714, 0.028811, 0.779009, 1.130916",\ + "-0.923101, -0.361576, 0.199949, 0.950147, 1.302053"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.113656, -1.552130, -0.990605, -0.240407, 0.111499",\ + "-2.526317, -1.964792, -1.403267, -0.653069, -0.301162",\ + "-2.938980, -2.377455, -1.815929, -1.065732, -0.713825",\ + "-3.490294, -2.928769, -2.367244, -1.617046, -1.265140",\ + "-3.681535, -3.120010, -2.558484, -1.808287, -1.456380"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.896008, 4.896008, 4.821334, 4.796443, 4.746660",\ + "5.375588, 5.375588, 5.300914, 5.276023, 5.226240",\ + "5.855169, 5.855169, 5.780495, 5.755604, 5.705821",\ + "6.495889, 6.495889, 6.421215, 6.396323, 6.346540",\ + "6.749722, 6.749722, 6.675047, 6.650156, 6.600373"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.503921, 4.503921, 4.429246, 4.404355, 4.354572",\ + "5.065424, 5.065424, 4.990750, 4.965858, 4.916076",\ + "5.626927, 5.626927, 5.552253, 5.527362, 5.477579",\ + "6.377095, 6.377095, 6.302421, 6.277530, 6.227747",\ + "6.729000, 6.729000, 6.654326, 6.629435, 6.579652"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.053483, 0.053483, 0.090820, 0.128157, 0.202831",\ + "0.224261, 0.224261, 0.261598, 0.298935, 0.373610",\ + "0.395039, 0.395039, 0.432377, 0.469714, 0.544388",\ + "0.623199, 0.623199, 0.660536, 0.697874, 0.772548",\ + "0.794336, 0.794336, 0.831673, 0.869011, 0.943685"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.328131, -0.328131, -0.290794, -0.253456, -0.178782",\ + "-0.740791, -0.740791, -0.703454, -0.666116, -0.591442",\ + "-1.153451, -1.153451, -1.116114, -1.078777, -1.004103",\ + "-1.704766, -1.704766, -1.667428, -1.630091, -1.555417",\ + "-1.895998, -1.895998, -1.858661, -1.821323, -1.746649"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.872933, 2.460270, 2.047608, 1.496291, 1.305398",\ + "3.352512, 2.939849, 2.527187, 1.975870, 1.784977",\ + "3.832091, 3.419429, 3.006766, 2.455449, 2.264557",\ + "4.472810, 4.060147, 3.647485, 3.096168, 2.905275",\ + "4.726643, 4.313980, 3.901318, 3.350001, 3.159109"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.830488, 2.417826, 2.005164, 1.453847, 1.262954",\ + "3.391991, 2.979328, 2.566666, 2.015349, 1.824457",\ + "3.953493, 3.540831, 3.128169, 2.576852, 2.385959",\ + "4.703661, 4.290998, 3.878336, 3.327019, 3.136127",\ + "5.055567, 4.642904, 4.230242, 3.678925, 3.488033"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.676659, -1.115134, -0.553609, 0.196589, 0.548496",\ + "-1.505881, -0.944356, -0.382830, 0.367367, 0.719274",\ + "-1.335102, -0.773577, -0.212052, 0.538146, 0.890053",\ + "-1.106943, -0.545418, 0.016108, 0.766305, 1.118212",\ + "-0.935805, -0.374280, 0.187245, 0.937443, 1.289349"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.174648, -1.613123, -1.051598, -0.301400, 0.050506",\ + "-2.587308, -2.025783, -1.464257, -0.714060, -0.362153",\ + "-2.999969, -2.438443, -1.876918, -1.126720, -0.774814",\ + "-3.551283, -2.989758, -2.428232, -1.678035, -1.326128",\ + "-3.742515, -3.180990, -2.619464, -1.869267, -1.517360"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.967925, 4.967925, 4.893250, 4.868360, 4.818576",\ + "5.447503, 5.447503, 5.372828, 5.347938, 5.298154",\ + "5.927082, 5.927082, 5.852407, 5.827517, 5.777733",\ + "6.567799, 6.567799, 6.493124, 6.468233, 6.418450",\ + "6.821632, 6.821632, 6.746958, 6.722067, 6.672284"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.656036, 4.656036, 4.581362, 4.556471, 4.506688",\ + "5.217539, 5.217539, 5.142864, 5.117973, 5.068190",\ + "5.779042, 5.779042, 5.704368, 5.679477, 5.629694",\ + "6.529209, 6.529209, 6.454535, 6.429644, 6.379860",\ + "6.881115, 6.881115, 6.806440, 6.781549, 6.731766"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.286609, -0.286609, -0.249271, -0.211934, -0.137260",\ + "-0.115833, -0.115833, -0.078496, -0.041158, 0.033516",\ + "0.054943, 0.054943, 0.092280, 0.129617, 0.204292",\ + "0.283099, 0.283099, 0.320437, 0.357774, 0.432448",\ + "0.454240, 0.454240, 0.491578, 0.528915, 0.603589"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.436491, -0.436491, -0.399153, -0.361816, -0.287142",\ + "-0.849136, -0.849136, -0.811799, -0.774462, -0.699787",\ + "-1.261781, -1.261781, -1.224444, -1.187106, -1.112432",\ + "-1.813076, -1.813076, -1.775738, -1.738401, -1.663727",\ + "-2.004215, -2.004215, -1.966878, -1.929541, -1.854867"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.014017, 2.601355, 2.188693, 1.637376, 1.446483",\ + "3.493596, 3.080934, 2.668272, 2.116955, 1.926062",\ + "3.973175, 3.560513, 3.147851, 2.596534, 2.405641",\ + "4.613892, 4.201229, 3.788567, 3.237250, 3.046358",\ + "4.867724, 4.455062, 4.042400, 3.491083, 3.300190"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.604183, 3.191520, 2.778858, 2.227541, 2.036649",\ + "4.165686, 3.753023, 3.340361, 2.789044, 2.598151",\ + "4.727188, 4.314526, 3.901863, 3.350546, 3.159654",\ + "5.477355, 5.064692, 4.652030, 4.100714, 3.909821",\ + "5.829261, 5.416598, 5.003936, 4.452620, 4.261727"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.336717, -1.775192, -1.213667, -0.463469, -0.111562",\ + "-2.165942, -1.604417, -1.042891, -0.292694, 0.059213",\ + "-1.995166, -1.433641, -0.872115, -0.121918, 0.229989",\ + "-1.767009, -1.205484, -0.643959, 0.106239, 0.458146",\ + "-1.595868, -1.034343, -0.472818, 0.277380, 0.629287"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.575035, -2.013510, -1.451985, -0.701787, -0.349880",\ + "-2.987681, -2.426156, -1.864630, -1.114433, -0.762526",\ + "-3.400326, -2.838801, -2.277275, -1.527078, -1.175171",\ + "-3.951621, -3.390095, -2.828570, -2.078372, -1.726466",\ + "-4.142760, -3.581235, -3.019710, -2.269512, -1.917605"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.936602, 4.936602, 4.861928, 4.837036, 4.787253",\ + "5.416179, 5.416179, 5.341505, 5.316613, 5.266830",\ + "5.895757, 5.895757, 5.821083, 5.796191, 5.746408",\ + "6.536474, 6.536474, 6.461800, 6.436908, 6.387125",\ + "6.790308, 6.790308, 6.715633, 6.690742, 6.640959"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.687777, 4.687777, 4.613103, 4.588211, 4.538428",\ + "5.249280, 5.249280, 5.174606, 5.149714, 5.099932",\ + "5.810783, 5.810783, 5.736109, 5.711217, 5.661434",\ + "6.560950, 6.560950, 6.486276, 6.461384, 6.411601",\ + "6.912855, 6.912855, 6.838181, 6.813289, 6.763506"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.102676, -0.102676, -0.065339, -0.028002, 0.046672",\ + "0.068099, 0.068099, 0.105436, 0.142773, 0.217447",\ + "0.238873, 0.238873, 0.276211, 0.313548, 0.388222",\ + "0.467029, 0.467029, 0.504366, 0.541703, 0.616378",\ + "0.638171, 0.638171, 0.675508, 0.712846, 0.787520"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.391840, -0.391840, -0.354503, -0.317166, -0.242492",\ + "-0.804481, -0.804481, -0.767143, -0.729806, -0.655132",\ + "-1.217121, -1.217121, -1.179784, -1.142446, -1.067772",\ + "-1.768408, -1.768408, -1.731071, -1.693733, -1.619059",\ + "-1.959517, -1.959517, -1.922180, -1.884843, -1.810169"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.654341, 2.241678, 1.829016, 1.277699, 1.086807",\ + "3.133919, 2.721256, 2.308594, 1.757277, 1.566385",\ + "3.613497, 3.200835, 2.788172, 2.236856, 2.045963",\ + "4.254213, 3.841551, 3.428889, 2.877572, 2.686679",\ + "4.508047, 4.095385, 3.682722, 3.131405, 2.940513"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.029576, 2.616914, 2.204252, 1.652935, 1.462042",\ + "3.591078, 3.178416, 2.765754, 2.214437, 2.023544",\ + "4.152579, 3.739917, 3.327255, 2.775938, 2.585046",\ + "4.902746, 4.490084, 4.077422, 3.526105, 3.335212",\ + "5.254652, 4.841990, 4.429327, 3.878010, 3.687118"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.833392, -1.271867, -0.710342, 0.039856, 0.391763",\ + "-1.662617, -1.101092, -0.539567, 0.210631, 0.562538",\ + "-1.491843, -0.930317, -0.368792, 0.381406, 0.733312",\ + "-1.263687, -0.702162, -0.140636, 0.609561, 0.961468",\ + "-1.092545, -0.531019, 0.030506, 0.780704, 1.132610"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.225298, -1.663773, -1.102248, -0.352050, -0.000144",\ + "-2.637939, -2.076414, -1.514889, -0.764691, -0.412784",\ + "-3.050579, -2.489054, -1.927529, -1.177331, -0.825424",\ + "-3.601866, -3.040341, -2.478816, -1.728618, -1.376711",\ + "-3.792975, -3.231450, -2.669925, -1.919727, -1.567821"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.565273, 4.565273, 4.490599, 4.465708, 4.415925",\ + "5.044854, 5.044854, 4.970180, 4.945289, 4.895505",\ + "5.524432, 5.524432, 5.449758, 5.424867, 5.375083",\ + "6.165152, 6.165152, 6.090477, 6.065586, 6.015803",\ + "6.418984, 6.418984, 6.344310, 6.319419, 6.269636"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.361823, 4.361823, 4.287148, 4.262258, 4.212474",\ + "4.923326, 4.923326, 4.848652, 4.823761, 4.773978",\ + "5.484829, 5.484829, 5.410154, 5.385263, 5.335480",\ + "6.234998, 6.234998, 6.160323, 6.135432, 6.085649",\ + "6.586904, 6.586904, 6.512229, 6.487338, 6.437555"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.295282, -0.295282, -0.257945, -0.220608, -0.145933",\ + "-0.124505, -0.124505, -0.087168, -0.049830, 0.024844",\ + "0.046272, 0.046272, 0.083609, 0.120946, 0.195620",\ + "0.274430, 0.274430, 0.311767, 0.349104, 0.423778",\ + "0.445569, 0.445569, 0.482906, 0.520243, 0.594918"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.719124, -0.719124, -0.681787, -0.644450, -0.569776",\ + "-1.131776, -1.131776, -1.094439, -1.057102, -0.982428",\ + "-1.544427, -1.544427, -1.507090, -1.469753, -1.395079",\ + "-2.095730, -2.095730, -2.058393, -2.021055, -1.946381",\ + "-2.286908, -2.286908, -2.249571, -2.212234, -2.137559"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.821877, 2.409215, 1.996553, 1.445236, 1.254344",\ + "3.301457, 2.888795, 2.476133, 1.924816, 1.733923",\ + "3.781036, 3.368374, 2.955712, 2.404395, 2.213502",\ + "4.421753, 4.009090, 3.596428, 3.045111, 2.854219",\ + "4.675587, 4.262924, 3.850262, 3.298945, 3.108053"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.347149, 2.934487, 2.521825, 1.970508, 1.779615",\ + "3.908652, 3.495989, 3.083327, 2.532010, 2.341118",\ + "4.470155, 4.057492, 3.644830, 3.093513, 2.902621",\ + "5.220323, 4.807661, 4.394999, 3.843682, 3.652789",\ + "5.572229, 5.159567, 4.746905, 4.195588, 4.004695"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.058448, -1.496923, -0.935398, -0.185200, 0.166707",\ + "-1.887671, -1.326146, -0.764621, -0.014423, 0.337484",\ + "-1.716895, -1.155370, -0.593844, 0.156353, 0.508260",\ + "-1.488737, -0.927212, -0.365686, 0.384511, 0.736418",\ + "-1.317597, -0.756072, -0.194547, 0.555651, 0.907557"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.616849, -2.055324, -1.493798, -0.743601, -0.391694",\ + "-3.029501, -2.467976, -1.906450, -1.156253, -0.804346",\ + "-3.442152, -2.880627, -2.319101, -1.568904, -1.216997",\ + "-3.993454, -3.431929, -2.870404, -2.120206, -1.768299",\ + "-4.184632, -3.623107, -3.061582, -2.311384, -1.959478"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.683907, 4.683907, 4.609233, 4.584341, 4.534558",\ + "5.163468, 5.163468, 5.088794, 5.063902, 5.014119",\ + "5.643028, 5.643028, 5.568354, 5.543462, 5.493679",\ + "6.283720, 6.283720, 6.209045, 6.184154, 6.134371",\ + "6.537551, 6.537551, 6.462877, 6.437985, 6.388203"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.448720, 4.448720, 4.374046, 4.349154, 4.299372",\ + "5.010244, 5.010244, 4.935570, 4.910678, 4.860895",\ + "5.571766, 5.571766, 5.497092, 5.472200, 5.422418",\ + "6.321961, 6.321961, 6.247287, 6.222395, 6.172612",\ + "6.673868, 6.673868, 6.599194, 6.574302, 6.524519"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.044800, 1.044800, 1.082138, 1.119475, 1.194149",\ + "1.215582, 1.215582, 1.252920, 1.290257, 1.364931",\ + "1.386364, 1.386364, 1.423702, 1.461039, 1.535713",\ + "1.614529, 1.614529, 1.651866, 1.689203, 1.763878",\ + "1.785665, 1.785665, 1.823002, 1.860340, 1.935014"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.638142, 0.638142, 0.675479, 0.712817, 0.787491",\ + "0.225483, 0.225483, 0.262821, 0.300158, 0.374832",\ + "-0.187175, -0.187175, -0.149837, -0.112500, -0.037826",\ + "-0.738486, -0.738486, -0.701149, -0.663812, -0.589138",\ + "-0.929399, -0.929399, -0.892062, -0.854725, -0.780051"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.572088, 1.159425, 0.746763, 0.195446, 0.004554",\ + "2.051647, 1.638985, 1.226322, 0.675005, 0.484113",\ + "2.531207, 2.118544, 1.705882, 1.154565, 0.963672",\ + "3.171898, 2.759235, 2.346573, 1.795256, 1.604363",\ + "3.425730, 3.013068, 2.600405, 2.049088, 1.858196"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.971863, 1.559201, 1.146538, 0.595222, 0.404329",\ + "2.533386, 2.120724, 1.708061, 1.156744, 0.965852",\ + "3.094908, 2.682246, 2.269583, 1.718266, 1.527374",\ + "3.845102, 3.432439, 3.019777, 2.468460, 2.277567",\ + "4.197009, 3.784346, 3.371684, 2.820367, 2.629474"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.031707, -0.470182, 0.091344, 0.841541, 1.193448",\ + "-0.860925, -0.299400, 0.262126, 1.012323, 1.364230",\ + "-0.690143, -0.128618, 0.432908, 1.183105, 1.535012",\ + "-0.461978, 0.099547, 0.661072, 1.411270, 1.763176",\ + "-0.290842, 0.270683, 0.832208, 1.582406, 1.934313"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.560885, -0.999360, -0.437835, 0.312363, 0.664270",\ + "-1.973544, -1.412019, -0.850493, -0.100296, 0.251611",\ + "-2.386202, -1.824677, -1.263151, -0.512954, -0.161047",\ + "-2.937513, -2.375988, -1.814463, -1.064265, -0.712358",\ + "-3.128427, -2.566901, -2.005376, -1.255178, -0.903272"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.598043, 4.598043, 4.523369, 4.498478, 4.448695",\ + "5.077618, 5.077618, 5.002943, 4.978052, 4.928269",\ + "5.557189, 5.557189, 5.482514, 5.457623, 5.407840",\ + "6.197897, 6.197897, 6.123222, 6.098331, 6.048548",\ + "6.451730, 6.451730, 6.377055, 6.352164, 6.302381"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.379022, 4.379022, 4.304347, 4.279456, 4.229673",\ + "4.940533, 4.940533, 4.865858, 4.840967, 4.791184",\ + "5.502042, 5.502042, 5.427367, 5.402476, 5.352693",\ + "6.252220, 6.252220, 6.177546, 6.152655, 6.102871",\ + "6.604125, 6.604125, 6.529450, 6.504560, 6.454776"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.132379, 1.132379, 1.169716, 1.207054, 1.281728",\ + "1.303154, 1.303154, 1.340492, 1.377829, 1.452503",\ + "1.473930, 1.473930, 1.511268, 1.548605, 1.623279",\ + "1.702087, 1.702087, 1.739424, 1.776761, 1.851436",\ + "1.873229, 1.873229, 1.910567, 1.947904, 2.022578"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.999344, 0.999344, 1.036682, 1.074019, 1.148693",\ + "0.586703, 0.586703, 0.624041, 0.661378, 0.736052",\ + "0.174062, 0.174062, 0.211400, 0.248737, 0.323411",\ + "-0.377226, -0.377226, -0.339888, -0.302551, -0.227877",\ + "-0.568228, -0.568228, -0.530891, -0.493554, -0.418880"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.144144, 0.731482, 0.318819, -0.232498, -0.423390",\ + "1.623715, 1.211053, 0.798391, 0.247074, 0.056181",\ + "2.103287, 1.690624, 1.277962, 0.726645, 0.535753",\ + "2.743994, 2.331331, 1.918669, 1.367352, 1.176460",\ + "2.997827, 2.585164, 2.172502, 1.621185, 1.430293"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.497603, 1.084940, 0.672278, 0.120961, -0.069931",\ + "2.059113, 1.646450, 1.233788, 0.682471, 0.491578",\ + "2.620621, 2.207959, 1.795297, 1.243980, 1.053087",\ + "3.370799, 2.958136, 2.545474, 1.994157, 1.803265",\ + "3.722705, 3.310043, 2.897380, 2.346063, 2.155171"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.597762, -0.036237, 0.525288, 1.275486, 1.627392",\ + "-0.426987, 0.134538, 0.696063, 1.446261, 1.798168",\ + "-0.256211, 0.305314, 0.866840, 1.617037, 1.968944",\ + "-0.028054, 0.533471, 1.094996, 1.845194, 2.197100",\ + "0.143088, 0.704613, 1.266138, 2.016336, 2.368243"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.029734, -0.468209, 0.093317, 0.843514, 1.195421",\ + "-1.442375, -0.880850, -0.319324, 0.430873, 0.782780",\ + "-1.855015, -1.293490, -0.731965, 0.018233, 0.370139",\ + "-2.406303, -1.844778, -1.283253, -0.533055, -0.181149",\ + "-2.597306, -2.035781, -1.474256, -0.724058, -0.372151"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.762588, 4.762588, 4.687914, 4.663022, 4.613239",\ + "5.242152, 5.242152, 5.167478, 5.142586, 5.092803",\ + "5.721714, 5.721714, 5.647039, 5.622148, 5.572365",\ + "6.362407, 6.362407, 6.287733, 6.262841, 6.213058",\ + "6.616241, 6.616241, 6.541567, 6.516675, 6.466892"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.434719, 4.434719, 4.360044, 4.335153, 4.285370",\ + "4.996240, 4.996240, 4.921566, 4.896674, 4.846891",\ + "5.557761, 5.557761, 5.483087, 5.458195, 5.408412",\ + "6.307952, 6.307952, 6.233278, 6.208386, 6.158604",\ + "6.659859, 6.659859, 6.585185, 6.560293, 6.510510"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.131679, 1.131679, 1.169016, 1.206353, 1.281028",\ + "1.302459, 1.302459, 1.339797, 1.377134, 1.451808",\ + "1.473240, 1.473240, 1.510578, 1.547915, 1.622589",\ + "1.701403, 1.701403, 1.738741, 1.776078, 1.850752",\ + "1.872541, 1.872541, 1.909878, 1.947215, 2.021890"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.834023, 0.834023, 0.871361, 0.908698, 0.983372",\ + "0.421368, 0.421368, 0.458705, 0.496042, 0.570716",\ + "0.008712, 0.008712, 0.046050, 0.083387, 0.158061",\ + "-0.542595, -0.542595, -0.505258, -0.467921, -0.393246",\ + "-0.733524, -0.733524, -0.696186, -0.658849, -0.584175"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.607749, 1.195087, 0.782424, 0.231107, 0.040215",\ + "2.087311, 1.674649, 1.261986, 0.710669, 0.519777",\ + "2.566872, 2.154210, 1.741548, 1.190231, 0.999338",\ + "3.207566, 2.794903, 2.382241, 1.830924, 1.640031",\ + "3.461399, 3.048736, 2.636074, 2.084757, 1.893865"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.780989, 1.368327, 0.955664, 0.404347, 0.213455",\ + "2.342509, 1.929846, 1.517184, 0.965867, 0.774975",\ + "2.904029, 2.491367, 2.078704, 1.527387, 1.336495",\ + "3.654220, 3.241557, 2.828895, 2.277578, 2.086686",\ + "4.006126, 3.593464, 3.180802, 2.629485, 2.438592"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.918224, -0.356699, 0.204827, 0.955024, 1.306931",\ + "-0.747442, -0.185917, 0.375608, 1.125806, 1.477712",\ + "-0.576662, -0.015137, 0.546389, 1.296586, 1.648493",\ + "-0.348499, 0.213026, 0.774552, 1.524749, 1.876656",\ + "-0.177361, 0.384164, 0.945689, 1.695887, 2.047793"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.304493, -0.742968, -0.181443, 0.568755, 0.920661",\ + "-1.717149, -1.155624, -0.594099, 0.156099, 0.508006",\ + "-2.129805, -1.568280, -1.006754, -0.256557, 0.095350",\ + "-2.681112, -2.119587, -1.558061, -0.807864, -0.455957",\ + "-2.872041, -2.310516, -1.748991, -0.998793, -0.646886"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.806492, 4.806492, 4.731817, 4.706926, 4.657143",\ + "5.286068, 5.286068, 5.211393, 5.186502, 5.136719",\ + "5.765643, 5.765643, 5.690969, 5.666077, 5.616294",\ + "6.406355, 6.406355, 6.331680, 6.306789, 6.257006",\ + "6.660188, 6.660188, 6.585513, 6.560622, 6.510839"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.552615, 4.552615, 4.477941, 4.453049, 4.403266",\ + "5.114122, 5.114122, 5.039448, 5.014556, 4.964773",\ + "5.675629, 5.675629, 5.600954, 5.576063, 5.526279",\ + "6.425801, 6.425801, 6.351127, 6.326235, 6.276452",\ + "6.777706, 6.777706, 6.703032, 6.678140, 6.628357"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.279372, 1.279372, 1.316709, 1.354046, 1.428720",\ + "1.450146, 1.450146, 1.487483, 1.524821, 1.599495",\ + "1.620920, 1.620920, 1.658257, 1.695594, 1.770269",\ + "1.849074, 1.849074, 1.886412, 1.923749, 1.998423",\ + "2.020218, 2.020218, 2.057556, 2.094893, 2.169567"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.966495, 0.966495, 1.003832, 1.041169, 1.115844",\ + "0.553859, 0.553859, 0.591196, 0.628534, 0.703208",\ + "0.141223, 0.141223, 0.178560, 0.215898, 0.290572",\ + "-0.410059, -0.410059, -0.372721, -0.335384, -0.260710",\ + "-0.601086, -0.601086, -0.563749, -0.526412, -0.451738"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.415829, 1.003167, 0.590505, 0.039188, -0.151705",\ + "1.895404, 1.482741, 1.070079, 0.518762, 0.327870",\ + "2.374978, 1.962315, 1.549653, 0.998336, 0.807444",\ + "3.015690, 2.603027, 2.190365, 1.639048, 1.448156",\ + "3.269523, 2.856861, 2.444199, 1.892882, 1.701989"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.739335, 1.326673, 0.914011, 0.362694, 0.171801",\ + "2.300841, 1.888179, 1.475517, 0.924200, 0.733307",\ + "2.862347, 2.449685, 2.037023, 1.485706, 1.294813",\ + "3.612519, 3.199857, 2.787194, 2.235878, 2.044985",\ + "3.964424, 3.551762, 3.139100, 2.587783, 2.396890"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.451344, 0.110181, 0.671706, 1.421904, 1.773811",\ + "-0.280570, 0.280955, 0.842481, 1.592678, 1.944585",\ + "-0.109796, 0.451729, 1.013255, 1.763452, 2.115359",\ + "0.118358, 0.679884, 1.241409, 1.991607, 2.343513",\ + "0.289503, 0.851028, 1.412553, 2.162751, 2.514657"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.866963, -0.305438, 0.256087, 1.006285, 1.358192",\ + "-1.279599, -0.718074, -0.156549, 0.593649, 0.945556",\ + "-1.692235, -1.130710, -0.569184, 0.181013, 0.532920",\ + "-2.243517, -1.681992, -1.120466, -0.370269, -0.018362",\ + "-2.434545, -1.873019, -1.311494, -0.561296, -0.209390"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.805447, 4.805447, 4.730773, 4.705881, 4.656098",\ + "5.285025, 5.285025, 5.210351, 5.185459, 5.135676",\ + "5.764602, 5.764602, 5.689928, 5.665036, 5.615253",\ + "6.405318, 6.405318, 6.330644, 6.305752, 6.255969",\ + "6.659150, 6.659150, 6.584476, 6.559584, 6.509801"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.396842, 4.396842, 4.322168, 4.297276, 4.247494",\ + "4.958347, 4.958347, 4.883673, 4.858781, 4.808998",\ + "5.519849, 5.519849, 5.445175, 5.420283, 5.370501",\ + "6.270017, 6.270017, 6.195343, 6.170451, 6.120668",\ + "6.621923, 6.621923, 6.547249, 6.522357, 6.472574"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.263801, 1.263801, 1.301138, 1.338475, 1.413150",\ + "1.434575, 1.434575, 1.471912, 1.509249, 1.583923",\ + "1.605348, 1.605348, 1.642686, 1.680023, 1.754697",\ + "1.833502, 1.833502, 1.870839, 1.908177, 1.982851",\ + "2.004647, 2.004647, 2.041984, 2.079321, 2.153996"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.636169, 0.636169, 0.673506, 0.710844, 0.785518",\ + "0.223536, 0.223536, 0.260874, 0.298211, 0.372885",\ + "-0.189096, -0.189096, -0.151759, -0.114422, -0.039747",\ + "-0.740374, -0.740374, -0.703037, -0.665699, -0.591025",\ + "-0.931440, -0.931440, -0.894103, -0.856765, -0.782091"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.263220, 0.850558, 0.437896, -0.113421, -0.304314",\ + "1.742797, 1.330135, 0.917473, 0.366156, 0.175263",\ + "2.222374, 1.809712, 1.397050, 0.845733, 0.654840",\ + "2.863090, 2.450427, 2.037765, 1.486448, 1.295556",\ + "3.116921, 2.704259, 2.291597, 1.740280, 1.549387"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.685198, 1.272535, 0.859873, 0.308556, 0.117664",\ + "2.246700, 1.834037, 1.421375, 0.870058, 0.679166",\ + "2.808202, 2.395539, 1.982877, 1.431560, 1.240668",\ + "3.558369, 3.145707, 2.733045, 2.181728, 1.990835",\ + "3.910275, 3.497612, 3.084950, 2.533633, 2.342741"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.499365, 0.062160, 0.623685, 1.373883, 1.725790",\ + "-0.328592, 0.232934, 0.794459, 1.544657, 1.896563",\ + "-0.157818, 0.403707, 0.965233, 1.715430, 2.067337",\ + "0.070336, 0.631861, 1.193387, 1.943584, 2.295491",\ + "0.241481, 0.803006, 1.364531, 2.114729, 2.466635"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.261556, -0.700031, -0.138505, 0.611692, 0.963599",\ + "-1.674188, -1.112663, -0.551138, 0.199060, 0.550967",\ + "-2.086821, -1.525296, -0.963771, -0.213573, 0.138334",\ + "-2.638098, -2.076573, -1.515048, -0.764850, -0.412944",\ + "-2.829164, -2.267639, -1.706114, -0.955916, -0.604009"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.921502, 4.921502, 4.846828, 4.821937, 4.772153",\ + "5.401067, 5.401067, 5.326392, 5.301501, 5.251718",\ + "5.880631, 5.880631, 5.805957, 5.781066, 5.731283",\ + "6.521331, 6.521331, 6.446656, 6.421765, 6.371982",\ + "6.775165, 6.775165, 6.700490, 6.675599, 6.625816"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.691048, 4.691048, 4.616373, 4.591482, 4.541699",\ + "5.252564, 5.252564, 5.177890, 5.152999, 5.103216",\ + "5.814081, 5.814081, 5.739407, 5.714516, 5.664732",\ + "6.564266, 6.564266, 6.489592, 6.464701, 6.414917",\ + "6.916172, 6.916172, 6.841497, 6.816607, 6.766823"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.319575, 1.319575, 1.356913, 1.394250, 1.468924",\ + "1.490354, 1.490354, 1.527691, 1.565029, 1.639703",\ + "1.661133, 1.661133, 1.698470, 1.735807, 1.810481",\ + "1.889293, 1.889293, 1.926631, 1.963968, 2.038642",\ + "2.060433, 2.060433, 2.097770, 2.135107, 2.209781"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.919861, 0.919861, 0.957198, 0.994536, 1.069210",\ + "0.507211, 0.507211, 0.544549, 0.581886, 0.656560",\ + "0.094561, 0.094561, 0.131899, 0.169236, 0.243910",\ + "-0.456740, -0.456740, -0.419402, -0.382065, -0.307391",\ + "-0.647695, -0.647695, -0.610358, -0.573020, -0.498346"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.067596, 0.654933, 0.242271, -0.309046, -0.499938",\ + "1.547161, 1.134498, 0.721836, 0.170519, -0.020373",\ + "2.026726, 1.614064, 1.201401, 0.650084, 0.459192",\ + "2.667424, 2.254762, 1.842099, 1.290782, 1.099890",\ + "2.921258, 2.508595, 2.095933, 1.544616, 1.353724"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.386768, 0.974106, 0.561444, 0.010127, -0.180766",\ + "1.948285, 1.535622, 1.122960, 0.571643, 0.380750",\ + "2.509801, 2.097138, 1.684476, 1.133159, 0.942267",\ + "3.259986, 2.847323, 2.434661, 1.883344, 1.692452",\ + "3.611893, 3.199230, 2.786568, 2.235251, 2.044358"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.421158, 0.140367, 0.701892, 1.452090, 1.803997",\ + "-0.250379, 0.311146, 0.872671, 1.622869, 1.974776",\ + "-0.079600, 0.481925, 1.043450, 1.793648, 2.145555",\ + "0.148560, 0.710086, 1.271611, 2.021809, 2.373715",\ + "0.319700, 0.881225, 1.442750, 2.192948, 2.544855"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.897114, -0.335589, 0.225936, 0.976134, 1.328041",\ + "-1.309764, -0.748239, -0.186713, 0.563484, 0.915391",\ + "-1.722414, -1.160889, -0.599364, 0.150834, 0.502741",\ + "-2.273715, -1.712190, -1.150665, -0.400467, -0.048560",\ + "-2.464669, -1.903144, -1.341619, -0.591421, -0.239515"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.854952, 4.854952, 4.780278, 4.755386, 4.705604",\ + "5.334511, 5.334511, 5.259837, 5.234945, 5.185163",\ + "5.814070, 5.814070, 5.739396, 5.714504, 5.664721",\ + "6.454761, 6.454761, 6.380087, 6.355195, 6.305412",\ + "6.708595, 6.708595, 6.633921, 6.609029, 6.559246"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.645677, 4.645677, 4.571003, 4.546111, 4.496328",\ + "5.207200, 5.207200, 5.132525, 5.107634, 5.057851",\ + "5.768723, 5.768723, 5.694049, 5.669157, 5.619374",\ + "6.518917, 6.518917, 6.444242, 6.419351, 6.369568",\ + "6.870822, 6.870822, 6.796148, 6.771256, 6.721474"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.430972, 1.430972, 1.468309, 1.505646, 1.580320",\ + "1.601753, 1.601753, 1.639091, 1.676428, 1.751102",\ + "1.772535, 1.772535, 1.809873, 1.847210, 1.921884",\ + "2.000700, 2.000700, 2.038037, 2.075375, 2.150049",\ + "2.171836, 2.171836, 2.209173, 2.246511, 2.321185"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.179225, 1.179225, 1.216563, 1.253900, 1.328574",\ + "0.766567, 0.766567, 0.803904, 0.841242, 0.915916",\ + "0.353909, 0.353909, 0.391246, 0.428583, 0.503257",\ + "-0.197403, -0.197403, -0.160066, -0.122729, -0.048054",\ + "-0.388315, -0.388315, -0.350978, -0.313641, -0.238967"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.959796, 0.547133, 0.134471, -0.416846, -0.607738",\ + "1.439355, 1.026692, 0.614030, 0.062713, -0.128179",\ + "1.918914, 1.506252, 1.093589, 0.542272, 0.351380",\ + "2.559605, 2.146943, 1.734281, 1.182964, 0.992071",\ + "2.813439, 2.400776, 1.988114, 1.436797, 1.245904"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.377200, 0.964538, 0.551876, 0.000559, -0.190334",\ + "1.938722, 1.526060, 1.113397, 0.562080, 0.371188",\ + "2.500245, 2.087582, 1.674920, 1.123603, 0.932711",\ + "3.250438, 2.837776, 2.425114, 1.873797, 1.682904",\ + "3.602345, 3.189682, 2.777020, 2.225703, 2.034811"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.394632, 0.166893, 0.728419, 1.478616, 1.830523",\ + "-0.223850, 0.337675, 0.899201, 1.649398, 2.001305",\ + "-0.053068, 0.508457, 1.069983, 1.820180, 2.172087",\ + "0.175097, 0.736622, 1.298147, 2.048345, 2.400252",\ + "0.346233, 0.907758, 1.469283, 2.219481, 2.571388"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.995814, -0.434289, 0.127236, 0.877434, 1.229341",\ + "-1.408473, -0.846948, -0.285422, 0.464775, 0.816682",\ + "-1.821131, -1.259606, -0.698081, 0.052117, 0.404024",\ + "-2.372443, -1.810918, -1.249393, -0.499195, -0.147288",\ + "-2.563355, -2.001830, -1.440305, -0.690107, -0.338200"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.813894, 4.813894, 4.739220, 4.714328, 4.664545",\ + "5.293445, 5.293445, 5.218770, 5.193879, 5.144095",\ + "5.772993, 5.772993, 5.698318, 5.673427, 5.623644",\ + "6.413671, 6.413671, 6.338996, 6.314105, 6.264321",\ + "6.667504, 6.667504, 6.592830, 6.567938, 6.518155"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.598525, 4.598525, 4.523850, 4.498959, 4.449176",\ + "5.160060, 5.160060, 5.085385, 5.060494, 5.010711",\ + "5.721594, 5.721594, 5.646919, 5.622028, 5.572245",\ + "6.471802, 6.471802, 6.397127, 6.372236, 6.322453",\ + "6.823709, 6.823709, 6.749034, 6.724143, 6.674359"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.719497, 1.719497, 1.756834, 1.794171, 1.868845",\ + "1.890283, 1.890283, 1.927621, 1.964958, 2.039632",\ + "2.061070, 2.061070, 2.098408, 2.135745, 2.210419",\ + "2.289242, 2.289242, 2.326579, 2.363916, 2.438591",\ + "2.460373, 2.460373, 2.497710, 2.535048, 2.609722"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.207817, 1.207817, 1.245154, 1.282491, 1.357166",\ + "0.795144, 0.795144, 0.832481, 0.869818, 0.944492",\ + "0.382470, 0.382470, 0.419807, 0.457144, 0.531819",\ + "-0.168862, -0.168862, -0.131524, -0.094187, -0.019513",\ + "-0.359698, -0.359698, -0.322360, -0.285023, -0.210349"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.646872, 0.234209, -0.178453, -0.729770, -0.920663",\ + "1.126421, 0.713758, 0.301096, -0.250221, -0.441113",\ + "1.605970, 1.193308, 0.780645, 0.229328, 0.038436",\ + "2.246648, 1.833985, 1.421323, 0.870006, 0.679113",\ + "2.500481, 2.087819, 1.675156, 1.123839, 0.932947"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.104171, 0.691509, 0.278847, -0.272470, -0.463363",\ + "1.665704, 1.253042, 0.840379, 0.289062, 0.098170",\ + "2.227238, 1.814575, 1.401913, 0.850596, 0.659703",\ + "2.977446, 2.564783, 2.152121, 1.600804, 1.409911",\ + "3.329353, 2.916691, 2.504029, 1.952712, 1.761819"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.047965, 0.513560, 1.075086, 1.825283, 2.177190",\ + "0.122822, 0.684347, 1.245873, 1.996070, 2.347977",\ + "0.293609, 0.855134, 1.416659, 2.166857, 2.518764",\ + "0.521780, 1.083305, 1.644831, 2.395029, 2.746935",\ + "0.692912, 1.254437, 1.815962, 2.566160, 2.918067"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.693910, -0.132385, 0.429141, 1.179338, 1.531245",\ + "-1.106583, -0.545058, 0.016467, 0.766665, 1.118572",\ + "-1.519257, -0.957732, -0.396206, 0.353991, 0.705898",\ + "-2.070588, -1.509063, -0.947538, -0.197340, 0.154567",\ + "-2.261424, -1.699899, -1.138374, -0.388176, -0.036269"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.993697, 4.993697, 4.919023, 4.894131, 4.844348",\ + "5.473253, 5.473253, 5.398579, 5.373687, 5.323904",\ + "5.952807, 5.952807, 5.878133, 5.853241, 5.803458",\ + "6.593494, 6.593494, 6.518820, 6.493928, 6.444145",\ + "6.847327, 6.847327, 6.772653, 6.747761, 6.697978"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.766967, 4.766967, 4.692293, 4.667401, 4.617619",\ + "5.328494, 5.328494, 5.253819, 5.228928, 5.179145",\ + "5.890021, 5.890021, 5.815347, 5.790455, 5.740672",\ + "6.640220, 6.640220, 6.565546, 6.540654, 6.490871",\ + "6.992127, 6.992127, 6.917453, 6.892561, 6.842778"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.736282, 1.736282, 1.773620, 1.810957, 1.885631",\ + "1.907066, 1.907066, 1.944404, 1.981741, 2.056415",\ + "2.077850, 2.077850, 2.115187, 2.152524, 2.227199",\ + "2.306017, 2.306017, 2.343354, 2.380691, 2.455365",\ + "2.477151, 2.477151, 2.514488, 2.551826, 2.626500"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.303672, 1.303672, 1.341009, 1.378346, 1.453020",\ + "0.891008, 0.891008, 0.928345, 0.965682, 1.040356",\ + "0.478344, 0.478344, 0.515681, 0.553018, 0.627692",\ + "-0.072976, -0.072976, -0.035639, 0.001699, 0.076373",\ + "-0.263859, -0.263859, -0.226522, -0.189184, -0.114510"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.944815, 0.532153, 0.119490, -0.431827, -0.622719",\ + "1.424370, 1.011708, 0.599046, 0.047729, -0.143164",\ + "1.903926, 1.491263, 1.078601, 0.527284, 0.336391",\ + "2.544611, 2.131949, 1.719286, 1.167969, 0.977077",\ + "2.798445, 2.385782, 1.973120, 1.421803, 1.230910"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.306684, 0.894021, 0.481359, -0.069958, -0.260851",\ + "1.868210, 1.455547, 1.042885, 0.491568, 0.300676",\ + "2.429737, 2.017074, 1.604412, 1.053095, 0.862202",\ + "3.179936, 2.767274, 2.354611, 1.803294, 1.612402",\ + "3.531843, 3.119181, 2.706518, 2.155201, 1.964309"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.340225, 0.221300, 0.782826, 1.533023, 1.884930",\ + "-0.169441, 0.392084, 0.953610, 1.703807, 2.055714",\ + "0.001343, 0.562868, 1.124393, 1.874591, 2.226498",\ + "0.229510, 0.791035, 1.352560, 2.102758, 2.454664",\ + "0.400644, 0.962169, 1.523694, 2.273892, 2.625799"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.895355, -0.333830, 0.227695, 0.977893, 1.329799",\ + "-1.308019, -0.746494, -0.184969, 0.565229, 0.917135",\ + "-1.720683, -1.159158, -0.597633, 0.152565, 0.504472",\ + "-2.272003, -1.710478, -1.148952, -0.398755, -0.046848",\ + "-2.462886, -1.901361, -1.339836, -0.589638, -0.237731"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.280152"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.426372"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.056663, 5.056663, 4.981988, 4.957097, 4.907314",\ + "5.536177, 5.536177, 5.461502, 5.436611, 5.386828",\ + "6.015691, 6.015691, 5.941016, 5.916125, 5.866342",\ + "6.656321, 6.656321, 6.581646, 6.556755, 6.506972",\ + "6.910153, 6.910153, 6.835479, 6.810588, 6.760805"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.818073, 4.818073, 4.743399, 4.718508, 4.668725",\ + "5.379643, 5.379643, 5.304969, 5.280078, 5.230295",\ + "5.941214, 5.941214, 5.866539, 5.841648, 5.791865",\ + "6.691471, 6.691471, 6.616796, 6.591906, 6.542122",\ + "7.043379, 7.043379, 6.968704, 6.943813, 6.894030"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.510032, 1.510032, 1.547369, 1.584706, 1.659380",\ + "1.645309, 1.645309, 1.682646, 1.719983, 1.794657",\ + "1.850957, 1.850957, 1.888294, 1.925632, 2.000306",\ + "2.078468, 2.078468, 2.115806, 2.153143, 2.227817",\ + "2.249582, 2.249582, 2.286919, 2.324256, 2.398931"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.112558, 1.112558, 1.149896, 1.187233, 1.261907",\ + "0.785681, 0.785681, 0.823018, 0.860355, 0.935030",\ + "0.288761, 0.288761, 0.326098, 0.363436, 0.438110",\ + "-0.260987, -0.260987, -0.223650, -0.186313, -0.111639",\ + "-0.451563, -0.451563, -0.414226, -0.376889, -0.302215"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.631150, 2.801933, 2.972716, 3.200883, 3.372018",\ + "3.110664, 3.281447, 3.452230, 3.680396, 3.851531",\ + "3.590177, 3.760960, 3.931743, 4.159909, 4.331044",\ + "4.230807, 4.401590, 4.572373, 4.800540, 4.971675",\ + "4.484640, 4.655423, 4.826206, 5.054373, 5.225508"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.796758, 2.967541, 3.138325, 3.366491, 3.537626",\ + "3.358328, 3.529111, 3.699894, 3.928061, 4.099195",\ + "3.919898, 4.090681, 4.261464, 4.489631, 4.660766",\ + "4.670156, 4.840939, 5.011722, 5.239888, 5.411023",\ + "5.022065, 5.192848, 5.363631, 5.591798, 5.762933"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.555472, 3.142809, 2.730147, 2.178830, 1.987937",\ + "4.034986, 3.622323, 3.209661, 2.658344, 2.467451",\ + "4.514499, 4.101836, 3.689174, 3.137857, 2.946965",\ + "5.155129, 4.742467, 4.329805, 3.778488, 3.587595",\ + "5.408963, 4.996301, 4.583638, 4.032321, 3.841429"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.364537, 2.951874, 2.539212, 1.987895, 1.797002",\ + "3.926106, 3.513444, 3.100781, 2.549464, 2.358572",\ + "4.487677, 4.075014, 3.662352, 3.111035, 2.920142",\ + "5.237934, 4.825272, 4.412610, 3.861293, 3.670400",\ + "5.589844, 5.177181, 4.764519, 4.213202, 4.022309"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.549538, 1.029094, 1.508651, 2.149338, 2.403171",\ + "0.684815, 1.164371, 1.643928, 2.284615, 2.538448",\ + "0.890463, 1.370019, 1.849576, 2.490263, 2.744096",\ + "1.117974, 1.597531, 2.077087, 2.717775, 2.971608",\ + "1.289088, 1.768645, 2.248201, 2.888889, 3.142721"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.423691, 0.903248, 1.382804, 2.023492, 2.277325",\ + "0.096813, 0.576370, 1.055927, 1.696614, 1.950447",\ + "-0.400107, 0.079450, 0.559006, 1.199694, 1.453527",\ + "-0.949855, -0.470298, 0.009258, 0.649946, 0.903779",\ + "-1.140431, -0.660874, -0.181318, 0.459370, 0.713203"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.220732, 0.340793, 0.902319, 1.652516, 2.004423",\ + "-0.085454, 0.476071, 1.037596, 1.787794, 2.139700",\ + "0.120194, 0.681719, 1.243244, 1.993442, 2.345349",\ + "0.347705, 0.909230, 1.470756, 2.220953, 2.572860",\ + "0.518819, 1.080344, 1.641869, 2.392067, 2.743974"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.720894, -0.159369, 0.402156, 1.152354, 1.504261",\ + "-1.047772, -0.486247, 0.075279, 0.825476, 1.177383",\ + "-1.544692, -0.983166, -0.421641, 0.328557, 0.680463",\ + "-2.094440, -1.532915, -0.971390, -0.221192, 0.130715",\ + "-2.285016, -1.723491, -1.161966, -0.411768, -0.059861"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.574825, 10.867780, 11.064313, 11.087978, 11.155708",\ + "10.574825, 10.867780, 11.064313, 11.087978, 11.155708",\ + "10.612163, 10.905117, 11.101650, 11.125316, 11.193046",\ + "10.649500, 10.942454, 11.138988, 11.162653, 11.230383",\ + "10.724174, 11.017128, 11.213661, 11.237327, 11.305057"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.647407, 10.952484, 11.157540, 11.182232, 11.252898",\ + "10.647407, 10.952484, 11.157540, 11.182232, 11.252898",\ + "10.684745, 10.989822, 11.194879, 11.219570, 11.290236",\ + "10.722081, 11.027159, 11.232215, 11.256907, 11.327573",\ + "10.796756, 11.101833, 11.306890, 11.331581, 11.402247"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.470449, 10.592077, 10.649983, 10.656956, 10.676911",\ + "10.470449, 10.592077, 10.649983, 10.656956, 10.676911",\ + "10.545124, 10.666752, 10.724658, 10.731630, 10.751586",\ + "10.570016, 10.691644, 10.749550, 10.756522, 10.776478",\ + "10.619799, 10.741426, 10.799333, 10.806305, 10.826261"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "10.293403, 10.386842, 10.442274, 10.448949, 10.468052",\ + "10.293403, 10.386842, 10.442274, 10.448949, 10.468052",\ + "10.368077, 10.461516, 10.516949, 10.523623, 10.542727",\ + "10.392968, 10.486407, 10.541840, 10.548514, 10.567617",\ + "10.442752, 10.536191, 10.591623, 10.598298, 10.617401"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.125923, 5.125923, 5.051249, 5.026358, 4.976574",\ + "5.605418, 5.605418, 5.530744, 5.505853, 5.456069",\ + "6.084912, 6.084912, 6.010238, 5.985347, 5.935564",\ + "6.725515, 6.725515, 6.650841, 6.625950, 6.576167",\ + "6.979348, 6.979348, 6.904674, 6.879783, 6.829999"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.762525, 4.762525, 4.687850, 4.662959, 4.613176",\ + "5.324117, 5.324117, 5.249442, 5.224551, 5.174768",\ + "5.885708, 5.885708, 5.811033, 5.786142, 5.736359",\ + "6.635993, 6.635993, 6.561318, 6.536427, 6.486644",\ + "6.987902, 6.987902, 6.913227, 6.888336, 6.838553"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.715540, 1.715540, 1.752877, 1.790214, 1.864889",\ + "1.886354, 1.886354, 1.923691, 1.961029, 2.035703",\ + "2.057168, 2.057168, 2.094505, 2.131843, 2.206517",\ + "2.285376, 2.285376, 2.322713, 2.360050, 2.434724",\ + "2.456479, 2.456479, 2.493817, 2.531154, 2.605828"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.011420, 1.011420, 1.048757, 1.086094, 1.160768",\ + "0.598667, 0.598667, 0.636004, 0.673342, 0.748016",\ + "0.185914, 0.185914, 0.223251, 0.260589, 0.335263",\ + "-0.365524, -0.365524, -0.328186, -0.290849, -0.216175",\ + "-0.555952, -0.555952, -0.518614, -0.481277, -0.406603"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "11.304028, 11.596982, 11.793515, 11.817181, 11.856318",\ + "11.304028, 11.596982, 11.793515, 11.817181, 11.856318",\ + "11.291941, 11.584895, 11.781428, 11.805094, 11.856318",\ + "11.191232, 11.484186, 11.680719, 11.704385, 11.772115",\ + "10.796738, 11.089692, 11.286225, 11.309891, 11.377621"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "11.079678, 11.384756, 11.589811, 11.614502, 11.656577",\ + "11.079678, 11.384756, 11.589811, 11.614502, 11.656577",\ + "11.067591, 11.372669, 11.577724, 11.602415, 11.656577",\ + "10.966882, 11.271959, 11.477015, 11.501707, 11.572373",\ + "10.572391, 10.877468, 11.082524, 11.107216, 11.177882"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.447977, 3.569605, 3.627511, 3.634483, 3.654439",\ + "3.447977, 3.569605, 3.627511, 3.634483, 3.654439",\ + "3.447977, 3.569605, 3.627511, 3.634483, 3.654439",\ + "3.363846, 3.485474, 3.543380, 3.550353, 3.570308",\ + "2.969735, 3.091362, 3.149268, 3.156241, 3.176197"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.434492, 3.527930, 3.583362, 3.590037, 3.609140",\ + "3.434492, 3.527930, 3.583362, 3.590037, 3.609140",\ + "3.434492, 3.527930, 3.583362, 3.590037, 3.609140",\ + "3.350361, 3.443799, 3.499231, 3.505906, 3.525010",\ + "2.956249, 3.049688, 3.105120, 3.111795, 3.130898"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.169022, 5.461976, 5.658509, 5.682175, 5.749905",\ + "5.648583, 5.941538, 6.138071, 6.161736, 6.229466",\ + "6.128145, 6.421100, 6.617633, 6.641298, 6.709028",\ + "6.768840, 7.061794, 7.258327, 7.281993, 7.349723",\ + "7.022673, 7.315627, 7.512160, 7.535826, 7.603556"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.517043, 5.822121, 6.027177, 6.051868, 6.122535",\ + "6.078563, 6.383641, 6.588696, 6.613388, 6.684054",\ + "6.640082, 6.945160, 7.150216, 7.174907, 7.245574",\ + "7.390273, 7.695351, 7.900407, 7.925098, 7.995765",\ + "7.742180, 8.047258, 8.252314, 8.277005, 8.347672"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.497410, 4.619038, 4.676944, 4.683917, 4.703873",\ + "4.326630, 4.448257, 4.506164, 4.513136, 4.533092",\ + "4.155849, 4.277476, 4.335382, 4.342355, 4.362311",\ + "3.927686, 4.049313, 4.107220, 4.114192, 4.134148",\ + "3.756548, 3.878176, 3.936082, 3.943055, 3.963010"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.754326, 4.847765, 4.903197, 4.909872, 4.928975",\ + "5.166982, 5.260421, 5.315853, 5.322528, 5.341631",\ + "5.579638, 5.673076, 5.728508, 5.735183, 5.754287",\ + "6.130946, 6.224384, 6.279816, 6.286491, 6.305594",\ + "6.321874, 6.415313, 6.470745, 6.477420, 6.496523"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.284481, 5.577435, 5.773969, 5.797634, 5.865364",\ + "5.846006, 6.138961, 6.335494, 6.359159, 6.426889",\ + "6.407532, 6.700486, 6.897019, 6.920685, 6.988415",\ + "7.157730, 7.450685, 7.647218, 7.670883, 7.738613",\ + "7.509637, 7.802591, 7.999125, 8.022790, 8.090520"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.704159, 6.009237, 6.214293, 6.238984, 6.309650",\ + "6.265684, 6.570762, 6.775817, 6.800508, 6.871176",\ + "6.827209, 7.132287, 7.337342, 7.362033, 7.432700",\ + "7.577406, 7.882484, 8.087540, 8.112230, 8.182898",\ + "7.929313, 8.234390, 8.439446, 8.464138, 8.534804"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.538973, 4.660600, 4.718506, 4.725479, 4.745435",\ + "4.951636, 5.073263, 5.131169, 5.138142, 5.158098",\ + "5.364298, 5.485925, 5.543831, 5.550804, 5.570760",\ + "5.915615, 6.037242, 6.095148, 6.102121, 6.122077",\ + "6.106507, 6.228135, 6.286041, 6.293014, 6.312969"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.600531, 4.693969, 4.749401, 4.756076, 4.775179",\ + "5.013193, 5.106632, 5.162064, 5.168739, 5.187842",\ + "5.425855, 5.519294, 5.574726, 5.581401, 5.600504",\ + "5.977172, 6.070611, 6.126043, 6.132718, 6.151821",\ + "6.168065, 6.261503, 6.316935, 6.323610, 6.342713"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "7.124811, 7.417766, 7.614299, 7.637964, 7.705694",\ + "7.604366, 7.897321, 8.093854, 8.117519, 8.185249",\ + "8.083923, 8.376878, 8.573411, 8.597076, 8.664806",\ + "8.724611, 9.017566, 9.214099, 9.237764, 9.305494",\ + "8.978444, 9.271399, 9.467932, 9.491597, 9.559327"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215",\ + "1.494989, 2.051095, 2.434785, 2.480986, 2.613215"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "7.670717, 7.975795, 8.180851, 8.205542, 8.276209",\ + "8.150273, 8.455351, 8.660407, 8.685099, 8.755765",\ + "8.629829, 8.934908, 9.139963, 9.164654, 9.235321",\ + "9.270517, 9.575596, 9.780651, 9.805342, 9.876009",\ + "9.524350, 9.829428, 10.034484, 10.059175, 10.129842"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814",\ + "1.504010, 2.075259, 2.467437, 2.514660, 2.649814"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.603174, 4.724802, 4.782708, 4.789680, 4.809636",\ + "4.432392, 4.554019, 4.611925, 4.618897, 4.638854",\ + "4.261609, 4.383236, 4.441142, 4.448114, 4.468071",\ + "4.033442, 4.155069, 4.212976, 4.219948, 4.239904",\ + "3.862307, 3.983934, 4.041841, 4.048813, 4.068769"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616",\ + "0.758809, 0.765616, 0.765616, 0.765616, 0.765616"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.693202, 4.786640, 4.842072, 4.848747, 4.867850",\ + "4.522418, 4.615857, 4.671289, 4.677964, 4.697067",\ + "4.351635, 4.445074, 4.500506, 4.507181, 4.526284",\ + "4.123469, 4.216908, 4.272339, 4.279015, 4.298118",\ + "3.952333, 4.045772, 4.101204, 4.107879, 4.126983"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668",\ + "0.423505, 0.480749, 0.520966, 0.525808, 0.539668"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.618219, 0.205557, -0.207105, -0.758422, -0.949315",\ + "1.097713, 0.685051, 0.272389, -0.278928, -0.469821",\ + "1.577207, 1.164545, 0.751883, 0.200566, 0.009673",\ + "2.217811, 1.805149, 1.392487, 0.841170, 0.650277",\ + "2.471643, 2.058981, 1.646319, 1.095002, 0.904109"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.252960, 0.840297, 0.427635, -0.123682, -0.314574",\ + "1.814551, 1.401888, 0.989226, 0.437909, 0.247017",\ + "2.376142, 1.963479, 1.550817, 0.999500, 0.808608",\ + "3.126429, 2.713766, 2.301104, 1.749787, 1.558895",\ + "3.478338, 3.065676, 2.653014, 2.101697, 1.910804"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.047626, 0.513899, 1.075424, 1.825622, 2.177529",\ + "0.123188, 0.684713, 1.246238, 1.996436, 2.348343",\ + "0.294002, 0.855527, 1.417053, 2.167250, 2.519157",\ + "0.522210, 1.083735, 1.645260, 2.395458, 2.747365",\ + "0.693313, 1.254838, 1.816364, 2.566561, 2.918468"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.886305, -0.324780, 0.236745, 0.986943, 1.338850",\ + "-1.299058, -0.737533, -0.176007, 0.574190, 0.926097",\ + "-1.711811, -1.150285, -0.588760, 0.161438, 0.513344",\ + "-2.263248, -1.701723, -1.140198, -0.390000, -0.038093",\ + "-2.453676, -1.892151, -1.330626, -0.580428, -0.228521"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "5.012711, 5.012711, 4.938037, 4.913145, 4.863362",\ + "5.492184, 5.492184, 5.417510, 5.392618, 5.342835",\ + "5.971655, 5.971655, 5.896981, 5.872089, 5.822307",\ + "6.612231, 6.612231, 6.537557, 6.512665, 6.462882",\ + "6.866064, 6.866064, 6.791389, 6.766498, 6.716715"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "4.715963, 4.715963, 4.641289, 4.616397, 4.566614",\ + "5.277577, 5.277577, 5.202903, 5.178011, 5.128228",\ + "5.839192, 5.839192, 5.764518, 5.739626, 5.689843",\ + "6.589509, 6.589509, 6.514834, 6.489943, 6.440160",\ + "6.941420, 6.941420, 6.866746, 6.841854, 6.792071"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.799391, 1.799391, 1.836728, 1.874065, 1.948739",\ + "1.970216, 1.970216, 2.007553, 2.044890, 2.119565",\ + "2.141041, 2.141041, 2.178378, 2.215716, 2.290390",\ + "2.369263, 2.369263, 2.406601, 2.443938, 2.518612",\ + "2.540356, 2.540356, 2.577693, 2.615031, 2.689705"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.416581, 1.416581, 1.453919, 1.491256, 1.565930",\ + "1.003796, 1.003796, 1.041134, 1.078471, 1.153145",\ + "0.591011, 0.591011, 0.628349, 0.665686, 0.740360",\ + "0.039531, 0.039531, 0.076868, 0.114205, 0.188879",\ + "-0.150731, -0.150731, -0.113394, -0.076057, -0.001383"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.543006, 0.130343, -0.282319, -0.833636, -1.024529",\ + "1.022477, 0.609815, 0.197152, -0.354165, -0.545057",\ + "1.501949, 1.089286, 0.676624, 0.125307, -0.065585",\ + "2.142523, 1.729861, 1.317199, 0.765882, 0.574989",\ + "2.396357, 1.983694, 1.571032, 1.019715, 0.828822"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.972549, 0.559886, 0.147224, -0.404093, -0.594986",\ + "1.534163, 1.121500, 0.708838, 0.157521, -0.033372",\ + "2.095777, 1.683115, 1.270452, 0.719135, 0.528243",\ + "2.846094, 2.433432, 2.020769, 1.469452, 1.278560",\ + "3.198005, 2.785343, 2.372680, 1.821363, 1.630471"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.058658, 0.620183, 1.181708, 1.931906, 2.283813",\ + "0.229483, 0.791008, 1.352533, 2.102731, 2.454638",\ + "0.400308, 0.961833, 1.523358, 2.273556, 2.625463",\ + "0.628530, 1.190055, 1.751581, 2.501778, 2.853685",\ + "0.799623, 1.361148, 1.922673, 2.672871, 3.024778"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.506113, 0.055412, 0.616938, 1.367135, 1.719042",\ + "-0.918898, -0.357373, 0.204153, 0.954350, 1.306257",\ + "-1.331683, -0.770158, -0.208632, 0.541565, 0.893472",\ + "-1.883164, -1.321639, -0.760113, -0.009916, 0.341991",\ + "-2.073425, -1.511900, -0.950375, -0.200177, 0.151730"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.495247"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.436064"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.856414 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.661651, 6.783239, 6.841151, 6.848125, 6.868082",\ + "6.661651, 6.783239, 6.841151, 6.848125, 6.868082",\ + "6.698988, 6.820577, 6.878489, 6.885462, 6.905419",\ + "6.736325, 6.857914, 6.915826, 6.922799, 6.942757",\ + "6.810999, 6.932588, 6.990499, 6.997473, 7.017430"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.995470, 7.088897, 7.144332, 7.151007, 7.170111",\ + "6.995470, 7.088897, 7.144332, 7.151007, 7.170111",\ + "7.032808, 7.126235, 7.181669, 7.188344, 7.207449",\ + "7.070145, 7.163572, 7.219007, 7.225682, 7.244786",\ + "7.144819, 7.238246, 7.293681, 7.300356, 7.319460"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423360, 0.480752, 0.520919, 0.525756, 0.539598",\ + "0.423360, 0.480752, 0.520919, 0.525756, 0.539598",\ + "0.423360, 0.480752, 0.520919, 0.525756, 0.539598",\ + "0.423360, 0.480752, 0.520919, 0.525756, 0.539598",\ + "0.423360, 0.480752, 0.520919, 0.525756, 0.539598"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.368319, 6.489908, 6.547820, 6.554793, 6.574751",\ + "6.368319, 6.489908, 6.547820, 6.554793, 6.574751",\ + "6.442993, 6.564583, 6.622494, 6.629467, 6.649426",\ + "6.467884, 6.589474, 6.647385, 6.654358, 6.674316",\ + "6.517668, 6.639257, 6.697169, 6.704142, 6.724100"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608",\ + "0.758812, 0.765608, 0.765608, 0.765608, 0.765608"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.522439, 6.615867, 6.671301, 6.677976, 6.697081",\ + "6.522439, 6.615867, 6.671301, 6.677976, 6.697081",\ + "6.597114, 6.690540, 6.745975, 6.752650, 6.771754",\ + "6.622005, 6.715432, 6.770866, 6.777542, 6.796646",\ + "6.671788, 6.765215, 6.820650, 6.827325, 6.846429"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423360, 0.480752, 0.520919, 0.525756, 0.539598",\ + "0.423360, 0.480752, 0.520919, 0.525756, 0.539598",\ + "0.423360, 0.480752, 0.520919, 0.525756, 0.539598",\ + "0.423360, 0.480752, 0.520919, 0.525756, 0.539598",\ + "0.423360, 0.480752, 0.520919, 0.525756, 0.539598"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.071333, 5.192922, 5.250834, 5.257807, 5.277764",\ + "5.550890, 5.672479, 5.730391, 5.737364, 5.757321",\ + "6.030446, 6.152036, 6.209947, 6.216920, 6.236878",\ + "6.671134, 6.792723, 6.850635, 6.857608, 6.877565",\ + "6.924967, 7.046556, 7.104467, 7.111441, 7.131398"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777883, 0.789784, 0.789784, 0.789784, 0.789784",\ + "0.777883, 0.789784, 0.789784, 0.789784, 0.789784",\ + "0.777883, 0.789784, 0.789784, 0.789784, 0.789784",\ + "0.777883, 0.789784, 0.789784, 0.789784, 0.789784",\ + "0.777883, 0.789784, 0.789784, 0.789784, 0.789784"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.917464, 5.010891, 5.066326, 5.073001, 5.092105",\ + "5.397021, 5.490448, 5.545883, 5.552558, 5.571662",\ + "5.876577, 5.970004, 6.025439, 6.032114, 6.051218",\ + "6.517266, 6.610693, 6.666127, 6.672802, 6.691907",\ + "6.771099, 6.864526, 6.919961, 6.926636, 6.945740"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444249, 0.500522, 0.538764, 0.543368, 0.556547",\ + "0.444249, 0.500522, 0.538764, 0.543368, 0.556547",\ + "0.444249, 0.500522, 0.538764, 0.543368, 0.556547",\ + "0.444249, 0.500522, 0.538764, 0.543368, 0.556547",\ + "0.444249, 0.500522, 0.538764, 0.543368, 0.556547"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.161983, 4.162599, 4.163104, 4.163164, 4.163338",\ + "3.991201, 3.991817, 3.992321, 3.992382, 3.992555",\ + "3.820417, 3.821033, 3.821537, 3.821599, 3.821772",\ + "3.592251, 3.592867, 3.593371, 3.593432, 3.593606",\ + "3.421116, 3.421732, 3.422236, 3.422297, 3.422471"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.111385, 4.116995, 4.117048, 4.117054, 4.117073",\ + "3.940603, 3.946212, 3.946266, 3.946272, 3.946290",\ + "3.769819, 3.775429, 3.775482, 3.775489, 3.775507",\ + "3.541653, 3.547263, 3.547316, 3.547322, 3.547341",\ + "3.370518, 3.376128, 3.376181, 3.376187, 3.376206"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "6.008796, 6.130378, 6.188291, 6.195264, 6.215222",\ + "6.008796, 6.130378, 6.188291, 6.195264, 6.215222",\ + "6.046133, 6.167716, 6.225628, 6.232601, 6.252560",\ + "6.083470, 6.205053, 6.262965, 6.269938, 6.289897",\ + "6.158144, 6.279727, 6.337639, 6.344613, 6.364571"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.873267, 5.966692, 6.022128, 6.028803, 6.047907",\ + "5.873267, 5.966692, 6.022128, 6.028803, 6.047907",\ + "5.910604, 6.004030, 6.059465, 6.066140, 6.085244",\ + "5.947942, 6.041367, 6.096802, 6.103477, 6.122581",\ + "6.022616, 6.116041, 6.171476, 6.178152, 6.197256"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.752884, 5.874466, 5.932379, 5.939352, 5.959311",\ + "5.752884, 5.874466, 5.932379, 5.939352, 5.959311",\ + "5.827559, 5.949141, 6.007053, 6.014027, 6.033985",\ + "5.852449, 5.974032, 6.031945, 6.038918, 6.058876",\ + "5.902233, 6.023816, 6.081728, 6.088701, 6.108660"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.707622, 5.801046, 5.856482, 5.863157, 5.882261",\ + "5.707622, 5.801046, 5.856482, 5.863157, 5.882261",\ + "5.782296, 5.875721, 5.931156, 5.937831, 5.956935",\ + "5.807187, 5.900612, 5.956047, 5.962722, 5.981827",\ + "5.856970, 5.950395, 6.005830, 6.012505, 6.031610"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.946088, 5.067670, 5.125583, 5.132556, 5.152514",\ + "5.425645, 5.547227, 5.605140, 5.612113, 5.632071",\ + "5.905201, 6.026784, 6.084696, 6.091670, 6.111628",\ + "6.545889, 6.667472, 6.725384, 6.732358, 6.752316",\ + "6.799722, 6.921305, 6.979217, 6.986190, 7.006148"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777883, 0.789784, 0.789784, 0.789784, 0.789784",\ + "0.777883, 0.789784, 0.789784, 0.789784, 0.789784",\ + "0.777883, 0.789784, 0.789784, 0.789784, 0.789784",\ + "0.777883, 0.789784, 0.789784, 0.789784, 0.789784",\ + "0.777883, 0.789784, 0.789784, 0.789784, 0.789784"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.825585, 4.919010, 4.974445, 4.981121, 5.000225",\ + "5.305142, 5.398567, 5.454002, 5.460678, 5.479782",\ + "5.784698, 5.878123, 5.933558, 5.940234, 5.959338",\ + "6.425385, 6.518811, 6.574246, 6.580921, 6.600025",\ + "6.679218, 6.772643, 6.828078, 6.834754, 6.853858"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444249, 0.500521, 0.538763, 0.543368, 0.556547",\ + "0.444249, 0.500521, 0.538763, 0.543368, 0.556547",\ + "0.444249, 0.500521, 0.538763, 0.543368, 0.556547",\ + "0.444249, 0.500521, 0.538763, 0.543368, 0.556547",\ + "0.444249, 0.500521, 0.538763, 0.543368, 0.556547"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.005713, 4.006331, 4.006836, 4.006897, 4.007071",\ + "3.834930, 3.835548, 3.836053, 3.836114, 3.836288",\ + "3.664147, 3.664765, 3.665270, 3.665331, 3.665505",\ + "3.435981, 3.436599, 3.437104, 3.437165, 3.437339",\ + "3.264846, 3.265464, 3.265969, 3.266030, 3.266204"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.955106, 3.960708, 3.960762, 3.960768, 3.960787",\ + "3.784323, 3.789925, 3.789979, 3.789985, 3.790004",\ + "3.613540, 3.619142, 3.619196, 3.619202, 3.619221",\ + "3.385374, 3.390976, 3.391029, 3.391036, 3.391054",\ + "3.214239, 3.219841, 3.219894, 3.219901, 3.219919"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.456086, 4.577669, 4.635581, 4.642555, 4.662513",\ + "4.935643, 5.057226, 5.115138, 5.122111, 5.142070",\ + "5.415199, 5.536782, 5.594694, 5.601667, 5.621626",\ + "6.055887, 6.177470, 6.235382, 6.242355, 6.262314",\ + "6.309720, 6.431303, 6.489215, 6.496188, 6.516147"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.237123, 4.330548, 4.385983, 4.392658, 4.411763",\ + "4.798648, 4.892074, 4.947509, 4.954184, 4.973288",\ + "5.360174, 5.453599, 5.509034, 5.515709, 5.534813",\ + "6.110372, 6.203796, 6.259232, 6.265907, 6.285011",\ + "6.462277, 6.555703, 6.611138, 6.617813, 6.636917"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.953407, 4.074989, 4.132902, 4.139875, 4.159833",\ + "3.782624, 3.904206, 3.962119, 3.969092, 3.989050",\ + "3.611841, 3.733423, 3.791336, 3.798309, 3.818267",\ + "3.383674, 3.505257, 3.563169, 3.570143, 3.590101",\ + "3.212539, 3.334122, 3.392034, 3.399008, 3.418966"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.029534, 4.122959, 4.178394, 4.185069, 4.204174",\ + "4.442196, 4.535622, 4.591057, 4.597732, 4.616836",\ + "4.854858, 4.948284, 5.003719, 5.010394, 5.029498",\ + "5.406176, 5.499600, 5.555036, 5.561711, 5.580815",\ + "5.597068, 5.690493, 5.745928, 5.752604, 5.771708"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586",\ + "0.423334, 0.480753, 0.520911, 0.525747, 0.539586"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.904705, 6.026289, 6.084201, 6.091175, 6.111133",\ + "5.904705, 6.026289, 6.084201, 6.091175, 6.111133",\ + "5.942042, 6.063626, 6.121539, 6.128512, 6.148470",\ + "5.979380, 6.100964, 6.158876, 6.165849, 6.185807",\ + "6.054054, 6.175638, 6.233550, 6.240523, 6.260482"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777881, 0.789780, 0.789780, 0.789780, 0.789780",\ + "0.777881, 0.789780, 0.789780, 0.789780, 0.789780",\ + "0.777881, 0.789780, 0.789780, 0.789780, 0.789780",\ + "0.777881, 0.789780, 0.789780, 0.789780, 0.789780",\ + "0.777881, 0.789780, 0.789780, 0.789780, 0.789780"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.856534, 5.949678, 6.004738, 6.011368, 6.030343",\ + "5.856534, 5.949678, 6.004738, 6.011368, 6.030343",\ + "5.893871, 5.987015, 6.042075, 6.048705, 6.067680",\ + "5.931209, 6.024353, 6.079413, 6.086043, 6.105018",\ + "6.005883, 6.099027, 6.154087, 6.160717, 6.179692"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444254, 0.500511, 0.538756, 0.543361, 0.556541",\ + "0.444254, 0.500511, 0.538756, 0.543361, 0.556541",\ + "0.444254, 0.500511, 0.538756, 0.543361, 0.556541",\ + "0.444254, 0.500511, 0.538756, 0.543361, 0.556541",\ + "0.444254, 0.500511, 0.538756, 0.543361, 0.556541"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.311230, 5.311903, 5.312434, 5.312499, 5.312681",\ + "5.311230, 5.311903, 5.312434, 5.312499, 5.312681",\ + "5.385904, 5.386578, 5.387109, 5.387173, 5.387356",\ + "5.410795, 5.411469, 5.412000, 5.412064, 5.412247",\ + "5.460578, 5.461252, 5.461783, 5.461847, 5.462030"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "5.260349, 5.265716, 5.265777, 5.265784, 5.265805",\ + "5.260349, 5.265716, 5.265777, 5.265784, 5.265805",\ + "5.335023, 5.340390, 5.340451, 5.340458, 5.340479",\ + "5.359915, 5.365281, 5.365342, 5.365350, 5.365371",\ + "5.409698, 5.415064, 5.415125, 5.415133, 5.415154"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.004162, 4.125746, 4.183659, 4.190632, 4.210590",\ + "4.483676, 4.605260, 4.663172, 4.670146, 4.690104",\ + "4.963190, 5.084774, 5.142686, 5.149660, 5.169618",\ + "5.603820, 5.725404, 5.783317, 5.790290, 5.810248",\ + "5.857654, 5.979238, 6.037150, 6.044123, 6.064081"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.838981, 3.932407, 3.987842, 3.994517, 4.013621",\ + "4.400551, 4.493977, 4.549411, 4.556087, 4.575191",\ + "4.962121, 5.055547, 5.110981, 5.117657, 5.136761",\ + "5.712379, 5.805804, 5.861239, 5.867914, 5.887018",\ + "6.064287, 6.157712, 6.213148, 6.219823, 6.238927"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423339, 0.480753, 0.520913, 0.525749, 0.539589",\ + "0.423339, 0.480753, 0.520913, 0.525749, 0.539589",\ + "0.423339, 0.480753, 0.520913, 0.525749, 0.539589",\ + "0.423339, 0.480753, 0.520913, 0.525749, 0.539589",\ + "0.423339, 0.480753, 0.520913, 0.525749, 0.539589"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.667497, 3.789081, 3.846993, 3.853966, 3.873924",\ + "3.532219, 3.653804, 3.711716, 3.718689, 3.738647",\ + "3.326571, 3.448155, 3.506068, 3.513041, 3.532999",\ + "3.099060, 3.220644, 3.278556, 3.285530, 3.305488",\ + "2.927946, 3.049531, 3.107443, 3.114416, 3.134374"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607",\ + "0.758812, 0.765607, 0.765607, 0.765607, 0.765607"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.719985, 3.813410, 3.868845, 3.875520, 3.894624",\ + "4.046862, 4.140287, 4.195723, 4.202398, 4.221502",\ + "4.543781, 4.637207, 4.692642, 4.699317, 4.718421",\ + "5.093530, 5.186955, 5.242390, 5.249065, 5.268169",\ + "5.284106, 5.377531, 5.432966, 5.439641, 5.458746"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.423339, 0.480753, 0.520913, 0.525749, 0.539589",\ + "0.423339, 0.480753, 0.520913, 0.525749, 0.539589",\ + "0.423339, 0.480753, 0.520913, 0.525749, 0.539589",\ + "0.423339, 0.480753, 0.520913, 0.525749, 0.539589",\ + "0.423339, 0.480753, 0.520913, 0.525749, 0.539589"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.782792, 4.904376, 4.962288, 4.969261, 4.989220",\ + "5.262349, 5.383933, 5.441845, 5.448818, 5.468777",\ + "5.741905, 5.863489, 5.921401, 5.928374, 5.948333",\ + "6.382592, 6.504176, 6.562088, 6.569062, 6.589020",\ + "6.636425, 6.758009, 6.815921, 6.822895, 6.842853"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.777881, 0.789780, 0.789780, 0.789780, 0.789780",\ + "0.777881, 0.789780, 0.789780, 0.789780, 0.789780",\ + "0.777881, 0.789780, 0.789780, 0.789780, 0.789780",\ + "0.777881, 0.789780, 0.789780, 0.789780, 0.789780",\ + "0.777881, 0.789780, 0.789780, 0.789780, 0.789780"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "4.741196, 4.834340, 4.889400, 4.896030, 4.915006",\ + "5.220753, 5.313897, 5.368958, 5.375587, 5.394563",\ + "5.700309, 5.793453, 5.848514, 5.855144, 5.874119",\ + "6.340998, 6.434142, 6.489202, 6.495832, 6.514808",\ + "6.594831, 6.687975, 6.743035, 6.749665, 6.768641"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.444254, 0.500511, 0.538756, 0.543361, 0.556541",\ + "0.444254, 0.500511, 0.538756, 0.543361, 0.556541",\ + "0.444254, 0.500511, 0.538756, 0.543361, 0.556541",\ + "0.444254, 0.500511, 0.538756, 0.543361, 0.556541",\ + "0.444254, 0.500511, 0.538756, 0.543361, 0.556541"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.968866, 3.969540, 3.970071, 3.970135, 3.970318",\ + "3.798083, 3.798757, 3.799288, 3.799352, 3.799535",\ + "3.627300, 3.627974, 3.628505, 3.628569, 3.628752",\ + "3.399134, 3.399807, 3.400338, 3.400403, 3.400585",\ + "3.227999, 3.228672, 3.229203, 3.229268, 3.229450"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "3.917985, 3.923352, 3.923413, 3.923420, 3.923442",\ + "3.747202, 3.752569, 3.752630, 3.752637, 3.752658",\ + "3.576419, 3.581786, 3.581847, 3.581854, 3.581875",\ + "3.348253, 3.353619, 3.353681, 3.353688, 3.353709",\ + "3.177118, 3.182484, 3.182546, 3.182553, 3.182574"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.047768, 1.676429, 2.105538, 2.157208, 2.305089"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.047768 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.806500"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.825460"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/lib/ss/caravan.max.lib b/signoff/caravan/primetime/lib/ss/caravan.max.lib new file mode 100644 index 00000000..71f06db2 --- /dev/null +++ b/signoff/caravan/primetime/lib/ss/caravan.max.lib @@ -0,0 +1,4508 @@ + +library("caravan.max") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 05:02:13 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.6000 ; + nom_temperature : 100.0000 ; + operating_conditions( "sky130_fd_sc_hd__ss_1.60v_100C" ) { + process : 1.0000 ; + voltage : 1.6000 ; + temperature : 100.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__ss_1.60v_100C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.749178, 13.749178, 13.532543, 13.460332, 13.315908",\ + "14.398136, 14.398136, 14.181501, 14.109290, 13.964867",\ + "15.047094, 15.047094, 14.830460, 14.758248, 14.613825",\ + "15.914103, 15.914103, 15.697468, 15.625257, 15.480833",\ + "16.244837, 16.244837, 16.028202, 15.955991, 15.811567"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.456417, 14.456417, 14.239782, 14.167571, 14.023148",\ + "14.931957, 14.931957, 14.715322, 14.643111, 14.498688",\ + "15.407497, 15.407497, 15.190863, 15.118651, 14.974228",\ + "16.088963, 16.088963, 15.872328, 15.800117, 15.655693",\ + "16.382973, 16.382973, 16.166338, 16.094128, 15.949703"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.225621, 2.225621, 2.333939, 2.442256, 2.658891",\ + "2.148982, 2.148982, 2.257299, 2.365617, 2.582252",\ + "2.072343, 2.072343, 2.180660, 2.288978, 2.505612",\ + "1.969954, 1.969954, 2.078271, 2.186588, 2.403223",\ + "1.999615, 1.999615, 2.107932, 2.216249, 2.432884"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.885792, 0.885792, 0.994109, 1.102427, 1.319061",\ + "0.516591, 0.516591, 0.624908, 0.733226, 0.949861",\ + "0.148041, 0.148041, 0.256358, 0.364676, 0.581310",\ + "-0.344345, -0.344345, -0.236028, -0.127710, 0.088924",\ + "-0.534042, -0.534042, -0.425725, -0.317408, -0.100773"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.674218, 4.305341, 3.936786, 3.444397, 3.254699",\ + "5.323176, 4.954299, 4.585744, 4.093356, 3.903657",\ + "5.972136, 5.603259, 5.234704, 4.742315, 4.552616",\ + "6.839143, 6.470266, 6.101711, 5.609322, 5.419623",\ + "7.169877, 6.801000, 6.432445, 5.940056, 5.750358"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.959934, 6.591057, 6.222502, 5.730114, 5.540415",\ + "7.435474, 7.066597, 6.698042, 6.205654, 6.015955",\ + "7.911016, 7.542140, 7.173584, 6.681196, 6.491497",\ + "8.592480, 8.223602, 7.855048, 7.362659, 7.172960",\ + "8.886490, 8.517612, 8.149057, 7.656669, 7.466970"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.301248, -2.825708, -2.350168, -1.668415, -1.373487",\ + "-3.377887, -2.902347, -2.426807, -1.745054, -1.450126",\ + "-3.454526, -2.978987, -2.503447, -1.821693, -1.526765",\ + "-3.556916, -3.081376, -2.605836, -1.924083, -1.629155",\ + "-3.527255, -3.051715, -2.576175, -1.894422, -1.599494"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.449034, -4.973495, -4.497955, -3.816201, -3.521273",\ + "-5.818234, -5.342694, -4.867155, -4.185401, -3.890473",\ + "-6.186785, -5.711246, -5.235706, -4.553952, -4.259024",\ + "-6.679171, -6.203631, -5.728092, -5.046338, -4.751410",\ + "-6.868868, -6.393329, -5.917789, -5.236035, -4.941107"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.696790, 13.696790, 13.480155, 13.407944, 13.263520",\ + "14.345748, 14.345748, 14.129113, 14.056902, 13.912478",\ + "14.994708, 14.994708, 14.778073, 14.705862, 14.561439",\ + "15.861718, 15.861718, 15.645083, 15.572872, 15.428449",\ + "16.192806, 16.192806, 15.976171, 15.903959, 15.759536"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.043483, 14.043483, 13.826848, 13.754637, 13.610213",\ + "14.519015, 14.519015, 14.302381, 14.230169, 14.085746",\ + "14.994552, 14.994552, 14.777917, 14.705706, 14.561282",\ + "15.676177, 15.676177, 15.459542, 15.387331, 15.242908",\ + "15.971145, 15.971145, 15.754510, 15.682299, 15.537875"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.579859, 0.579859, 0.688176, 0.796494, 1.013128",\ + "0.503216, 0.503216, 0.611533, 0.719851, 0.936485",\ + "0.426571, 0.426571, 0.534888, 0.643206, 0.859840",\ + "0.324176, 0.324176, 0.432493, 0.540811, 0.757445",\ + "0.353840, 0.353840, 0.462157, 0.570475, 0.787109"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.382623, -1.382623, -1.274305, -1.165988, -0.949353",\ + "-1.751632, -1.751632, -1.643314, -1.534997, -1.318362",\ + "-2.120170, -2.120170, -2.011852, -1.903535, -1.686900",\ + "-2.612538, -2.612538, -2.504221, -2.395904, -2.179269",\ + "-2.802240, -2.802240, -2.693923, -2.585606, -2.368971"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.432007, 5.063130, 4.694575, 4.202186, 4.012487",\ + "6.080968, 5.712091, 5.343536, 4.851147, 4.661448",\ + "6.729926, 6.361049, 5.992494, 5.500105, 5.310407",\ + "7.596938, 7.228061, 6.859506, 6.367117, 6.177419",\ + "7.928026, 7.559149, 7.190594, 6.698205, 6.508507"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.776964, 7.408087, 7.039532, 6.547143, 6.357445",\ + "8.252499, 7.883622, 7.515067, 7.022678, 6.832979",\ + "8.728035, 8.359158, 7.990603, 7.498214, 7.308516",\ + "9.409660, 9.040783, 8.672228, 8.179840, 7.990141",\ + "9.704626, 9.335749, 8.967194, 8.474806, 8.285107"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.013677, -3.538137, -3.062598, -2.380844, -2.085916",\ + "-4.090320, -3.614780, -3.139241, -2.457487, -2.162559",\ + "-4.166965, -3.691425, -3.215886, -2.534132, -2.239204",\ + "-4.269360, -3.793820, -3.318281, -2.636527, -2.341599",\ + "-4.239696, -3.764156, -3.288617, -2.606863, -2.311935"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.579506, -6.103967, -5.628427, -4.946673, -4.651745",\ + "-6.948515, -6.472976, -5.997436, -5.315682, -5.020754",\ + "-7.317053, -6.841514, -6.365974, -5.684220, -5.389292",\ + "-7.809422, -7.333882, -6.858343, -6.176589, -5.881661",\ + "-7.999123, -7.523583, -7.048044, -6.366290, -6.071362"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.172158, 14.172158, 13.955523, 13.883312, 13.738889",\ + "14.821115, 14.821115, 14.604480, 14.532269, 14.387845",\ + "15.470075, 15.470075, 15.253440, 15.181229, 15.036805",\ + "16.337082, 16.337082, 16.120445, 16.048235, 15.903811",\ + "16.667812, 16.667812, 16.451176, 16.378965, 16.234543"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.894195, 14.894195, 14.677560, 14.605349, 14.460925",\ + "15.369742, 15.369742, 15.153108, 15.080896, 14.936473",\ + "15.845290, 15.845290, 15.628655, 15.556444, 15.412021",\ + "16.526657, 16.526657, 16.310020, 16.237810, 16.093388",\ + "16.820667, 16.820667, 16.604031, 16.531820, 16.387398"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.014726, 2.014726, 2.123044, 2.231361, 2.447996",\ + "1.938094, 1.938094, 2.046411, 2.154728, 2.371363",\ + "1.861462, 1.861462, 1.969779, 2.078096, 2.294731",\ + "1.759081, 1.759081, 1.867398, 1.975716, 2.192350",\ + "1.788738, 1.788738, 1.897055, 2.005373, 2.222007"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.852458, 0.852458, 0.960775, 1.069093, 1.285728",\ + "0.483136, 0.483136, 0.591454, 0.699771, 0.916406",\ + "0.114570, 0.114570, 0.222887, 0.331204, 0.547839",\ + "-0.377834, -0.377834, -0.269517, -0.161200, 0.055435",\ + "-0.567527, -0.567527, -0.459209, -0.350892, -0.134257"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.814162, 4.445285, 4.076730, 3.584342, 3.394643",\ + "5.463120, 5.094244, 4.725688, 4.233300, 4.043601",\ + "6.112079, 5.743202, 5.374647, 4.882258, 4.692559",\ + "6.979087, 6.610210, 6.241655, 5.749266, 5.559567",\ + "7.309817, 6.940940, 6.572385, 6.079997, 5.890298"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.337910, 5.969033, 5.600478, 5.108089, 4.918390",\ + "6.813457, 6.444580, 6.076025, 5.583636, 5.393937",\ + "7.289003, 6.920126, 6.551571, 6.059183, 5.869484",\ + "7.970367, 7.601490, 7.232935, 6.740547, 6.550848",\ + "8.264378, 7.895501, 7.526946, 7.034557, 6.844858"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.432484, -2.956944, -2.481405, -1.799651, -1.504723",\ + "-3.509117, -3.033577, -2.558037, -1.876284, -1.581356",\ + "-3.585749, -3.110209, -2.634670, -1.952916, -1.657988",\ + "-3.688130, -3.212590, -2.737051, -2.055297, -1.760369",\ + "-3.658473, -3.182933, -2.707393, -2.025640, -1.730711"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.155165, -4.679626, -4.204086, -3.522332, -3.227404",\ + "-5.524487, -5.048947, -4.573408, -3.891654, -3.596726",\ + "-5.893054, -5.417514, -4.941974, -4.260221, -3.965292",\ + "-6.385458, -5.909918, -5.434378, -4.752625, -4.457696",\ + "-6.575150, -6.099610, -5.624071, -4.942317, -4.647389"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.057248, 14.057248, 13.840613, 13.768402, 13.623979",\ + "14.706212, 14.706212, 14.489577, 14.417366, 14.272943",\ + "15.355178, 15.355178, 15.138543, 15.066332, 14.921908",\ + "16.222198, 16.222198, 16.005562, 15.933352, 15.788928",\ + "16.552933, 16.552933, 16.336296, 16.264086, 16.119663"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.723048, 14.723048, 14.506413, 14.434202, 14.289779",\ + "15.198596, 15.198596, 14.981961, 14.909750, 14.765326",\ + "15.674140, 15.674140, 15.457505, 15.385294, 15.240870",\ + "16.356060, 16.356060, 16.139423, 16.067213, 15.922790",\ + "16.650066, 16.650066, 16.433430, 16.361219, 16.216797"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.018120, 1.018120, 1.126437, 1.234755, 1.451389",\ + "0.941482, 0.941482, 1.049799, 1.158116, 1.374751",\ + "0.864843, 0.864843, 0.973161, 1.081478, 1.298113",\ + "0.762455, 0.762455, 0.870772, 0.979090, 1.195724",\ + "0.792114, 0.792114, 0.900432, 1.008749, 1.225384"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.199036, 0.199036, 0.307353, 0.415670, 0.632305",\ + "-0.169670, -0.169670, -0.061353, 0.046965, 0.263599",\ + "-0.538245, -0.538245, -0.429928, -0.321610, -0.104976",\ + "-1.030663, -1.030663, -0.922346, -0.814029, -0.597394",\ + "-1.220357, -1.220357, -1.112040, -1.003722, -0.787087"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.137494, 4.768617, 4.400062, 3.907673, 3.717975",\ + "5.786460, 5.417583, 5.049028, 4.556639, 4.366940",\ + "6.435427, 6.066550, 5.697995, 5.205606, 5.015907",\ + "7.302445, 6.933568, 6.565013, 6.072625, 5.882926",\ + "7.633180, 7.264303, 6.895748, 6.403359, 6.213660"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.727620, 6.358743, 5.990188, 5.497799, 5.308101",\ + "7.203166, 6.834289, 6.465734, 5.973345, 5.783647",\ + "7.678712, 7.309835, 6.941280, 6.448891, 6.259192",\ + "8.360629, 7.991752, 7.623197, 7.130808, 6.941110",\ + "8.654634, 8.285757, 7.917202, 7.424813, 7.235114"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.603429, -3.127890, -2.652350, -1.970596, -1.675668",\ + "-3.680068, -3.204529, -2.728989, -2.047235, -1.752307",\ + "-3.756707, -3.281167, -2.805627, -2.123874, -1.828946",\ + "-3.859095, -3.383555, -2.908016, -2.226262, -1.931334",\ + "-3.829436, -3.353896, -2.878356, -2.196603, -1.901675"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.972537, -4.496997, -4.021457, -3.339704, -3.044775",\ + "-5.341242, -4.865703, -4.390163, -3.708409, -3.413481",\ + "-5.709817, -5.234278, -4.758738, -4.076984, -3.782056",\ + "-6.202236, -5.726696, -5.251156, -4.569403, -4.274475",\ + "-6.391929, -5.916389, -5.440850, -4.759096, -4.464168"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.836967, 13.836967, 13.620333, 13.548121, 13.403698",\ + "14.485973, 14.485973, 14.269339, 14.197127, 14.052704",\ + "15.134977, 15.134977, 14.918343, 14.846131, 14.701708",\ + "16.002050, 16.002050, 15.785416, 15.713204, 15.568781",\ + "16.332767, 16.332767, 16.116133, 16.043922, 15.899498"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.522581, 14.522581, 14.305946, 14.233735, 14.089312",\ + "14.998199, 14.998199, 14.781565, 14.709353, 14.564930",\ + "15.473818, 15.473818, 15.257183, 15.184972, 15.040548",\ + "16.157570, 16.157570, 15.940935, 15.868724, 15.724300",\ + "16.451551, 16.451551, 16.234917, 16.162704, 16.018282"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.094115, 1.094115, 1.202433, 1.310750, 1.527385",\ + "1.017510, 1.017510, 1.125828, 1.234145, 1.450780",\ + "0.940906, 0.940906, 1.049223, 1.157540, 1.374175",\ + "0.838190, 0.838190, 0.946507, 1.054825, 1.271460",\ + "0.867814, 0.867814, 0.976131, 1.084449, 1.301084"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.292315, -1.292315, -1.183997, -1.075680, -0.859045",\ + "-1.661123, -1.661123, -1.552806, -1.444489, -1.227854",\ + "-2.029932, -2.029932, -1.921615, -1.813297, -1.596663",\ + "-2.523670, -2.523670, -2.415353, -2.307035, -2.090401",\ + "-2.713306, -2.713306, -2.604989, -2.496672, -2.280037"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.184812, 4.815935, 4.447380, 3.954991, 3.765292",\ + "5.833817, 5.464941, 5.096385, 4.603997, 4.414298",\ + "6.482822, 6.113945, 5.745390, 5.253002, 5.063303",\ + "7.349894, 6.981017, 6.612462, 6.120073, 5.930374",\ + "7.680613, 7.311736, 6.943181, 6.450792, 6.261093"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.045258, 7.676381, 7.307826, 6.815437, 6.625738",\ + "8.520876, 8.151999, 7.783444, 7.291055, 7.101357",\ + "8.996494, 8.627617, 8.259062, 7.766674, 7.576975",\ + "9.680246, 9.311369, 8.942814, 8.450426, 8.260727",\ + "9.974228, 9.605350, 9.236795, 8.744408, 8.554708"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.580280, -3.104740, -2.629200, -1.947447, -1.652519",\ + "-3.656885, -3.181345, -2.705805, -2.024052, -1.729124",\ + "-3.733490, -3.257950, -2.782410, -2.100657, -1.805728",\ + "-3.836205, -3.360665, -2.885126, -2.203372, -1.908444",\ + "-3.806581, -3.331041, -2.855502, -2.173748, -1.878820"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.770963, -6.295424, -5.819884, -5.138130, -4.843202",\ + "-7.139772, -6.664232, -6.188693, -5.506939, -5.212011",\ + "-7.508581, -7.033041, -6.557501, -5.875748, -5.580820",\ + "-8.002319, -7.526779, -7.051239, -6.369486, -6.074558",\ + "-8.191956, -7.716415, -7.240876, -6.559122, -6.264194"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.027304, 14.027304, 13.810669, 13.738458, 13.594034",\ + "14.676279, 14.676279, 14.459644, 14.387433, 14.243010",\ + "15.325258, 15.325258, 15.108624, 15.036412, 14.891989",\ + "16.192289, 16.192289, 15.975655, 15.903443, 15.759020",\ + "16.523020, 16.523020, 16.306385, 16.234173, 16.089750"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.690432, 14.690432, 14.473797, 14.401586, 14.257162",\ + "15.165998, 15.165998, 14.949364, 14.877152, 14.732729",\ + "15.641565, 15.641565, 15.424931, 15.352719, 15.208296",\ + "16.323992, 16.323992, 16.107357, 16.035145, 15.890722",\ + "16.617990, 16.617990, 16.401356, 16.329144, 16.184721"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.544386, 1.544386, 1.652704, 1.761021, 1.977656",\ + "1.467758, 1.467758, 1.576075, 1.684392, 1.901027",\ + "1.391129, 1.391129, 1.499446, 1.607763, 1.824398",\ + "1.288753, 1.288753, 1.397070, 1.505387, 1.722022",\ + "1.318402, 1.318402, 1.426719, 1.535037, 1.751671"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.074660, 0.074660, 0.182978, 0.291295, 0.507930",\ + "-0.293980, -0.293980, -0.185662, -0.077345, 0.139290",\ + "-0.662621, -0.662621, -0.554303, -0.445986, -0.229351",\ + "-1.155124, -1.155124, -1.046806, -0.938489, -0.721854",\ + "-1.344802, -1.344802, -1.236485, -1.128167, -0.911532"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.700585, 4.331708, 3.963153, 3.470765, 3.281066",\ + "5.349563, 4.980686, 4.612131, 4.119742, 3.930043",\ + "5.998540, 5.629663, 5.261108, 4.768719, 4.579021",\ + "6.865573, 6.496696, 6.128141, 5.635752, 5.446054",\ + "7.196303, 6.827426, 6.458871, 5.966483, 5.776784"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.391432, 6.022555, 5.654000, 5.161611, 4.971912",\ + "6.866998, 6.498121, 6.129566, 5.637177, 5.447478",\ + "7.342564, 6.973687, 6.605132, 6.112743, 5.923044",\ + "8.024992, 7.656115, 7.287560, 6.795171, 6.605473",\ + "8.318991, 7.950114, 7.581559, 7.089170, 6.899471"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.096726, -2.621186, -2.145647, -1.463893, -1.168965",\ + "-3.173355, -2.697815, -2.222275, -1.540522, -1.245594",\ + "-3.249984, -2.774444, -2.298904, -1.617151, -1.322223",\ + "-3.352360, -2.876820, -2.401280, -1.719527, -1.424599",\ + "-3.322711, -2.847171, -2.371632, -1.689878, -1.394950"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.023767, -4.548227, -4.072688, -3.390934, -3.096006",\ + "-5.392407, -4.916867, -4.441328, -3.759574, -3.464646",\ + "-5.761048, -5.285508, -4.809968, -4.128215, -3.833287",\ + "-6.253551, -5.778011, -5.302472, -4.620718, -4.325790",\ + "-6.443229, -5.967690, -5.492150, -4.810396, -4.515468"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.683361, 14.683361, 14.466726, 14.394515, 14.250092",\ + "15.332394, 15.332394, 15.115759, 15.043548, 14.899124",\ + "15.981424, 15.981424, 15.764790, 15.692578, 15.548155",\ + "16.848530, 16.848530, 16.631895, 16.559685, 16.415260",\ + "17.179230, 17.179230, 16.962595, 16.890385, 16.745960"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.189911, 15.189911, 14.973276, 14.901065, 14.756641",\ + "15.665596, 15.665596, 15.448961, 15.376750, 15.232327",\ + "16.141285, 16.141285, 15.924650, 15.852439, 15.708015",\ + "16.827236, 16.827236, 16.610601, 16.538391, 16.393967",\ + "17.121212, 17.121212, 16.904577, 16.832367, 16.687943"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.855188, 0.855188, 0.963506, 1.071823, 1.288458",\ + "0.778621, 0.778621, 0.886938, 0.995255, 1.211890",\ + "0.702052, 0.702052, 0.810369, 0.918687, 1.135322",\ + "0.599368, 0.599368, 0.707685, 0.816003, 1.032638",\ + "0.628976, 0.628976, 0.737293, 0.845611, 1.062245"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.352753, -1.352753, -1.244435, -1.136118, -0.919483",\ + "-1.721705, -1.721705, -1.613388, -1.505071, -1.288436",\ + "-2.090658, -2.090658, -1.982341, -1.874023, -1.657389",\ + "-2.584846, -2.584846, -2.476529, -2.368212, -2.151577",\ + "-2.774451, -2.774451, -2.666134, -2.557817, -2.341182"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.467275, 6.098398, 5.729843, 5.237454, 5.047755",\ + "7.116307, 6.747430, 6.378875, 5.886487, 5.696788",\ + "7.765340, 7.396463, 7.027908, 6.535519, 6.345820",\ + "8.632449, 8.263573, 7.895017, 7.402628, 7.212930",\ + "8.963147, 8.594271, 8.225716, 7.733326, 7.543628"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.520481, 8.151604, 7.783049, 7.290660, 7.100962",\ + "8.996168, 8.627291, 8.258736, 7.766347, 7.576649",\ + "9.471855, 9.102978, 8.734423, 8.242035, 8.052336",\ + "10.157808, 9.788931, 9.420376, 8.927988, 8.738289",\ + "10.451782, 10.082905, 9.714350, 9.221962, 9.032263"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.047319, -3.571780, -3.096240, -2.414486, -2.119558",\ + "-4.123886, -3.648346, -3.172807, -2.491053, -2.196125",\ + "-4.200455, -3.724915, -3.249375, -2.567622, -2.272694",\ + "-4.303139, -3.827599, -3.352059, -2.670306, -2.375378",\ + "-4.273531, -3.797991, -3.322452, -2.640698, -2.345770"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.042147, -6.566607, -6.091067, -5.409314, -5.114386",\ + "-7.411098, -6.935559, -6.460019, -5.778265, -5.483337",\ + "-7.780052, -7.304513, -6.828973, -6.147219, -5.852291",\ + "-8.274240, -7.798701, -7.323161, -6.641407, -6.346479",\ + "-8.463844, -7.988305, -7.512765, -6.831011, -6.536083"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "16.148251, 16.148251, 15.931616, 15.859405, 15.714981",\ + "16.797266, 16.797266, 16.580631, 16.508419, 16.363997",\ + "17.446280, 17.446280, 17.229645, 17.157433, 17.013010",\ + "18.313364, 18.313364, 18.096729, 18.024517, 17.880095",\ + "18.644079, 18.644079, 18.427444, 18.355232, 18.210810"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "16.791485, 16.791485, 16.574850, 16.502640, 16.358215",\ + "17.267117, 17.267117, 17.050482, 16.978271, 16.833847",\ + "17.742752, 17.742752, 17.526117, 17.453907, 17.309483",\ + "18.426899, 18.426899, 18.210264, 18.138054, 17.993629",\ + "18.720875, 18.720875, 18.504240, 18.432030, 18.287605"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.659130, -0.659130, -0.550813, -0.442495, -0.225861",\ + "-0.735727, -0.735727, -0.627410, -0.519093, -0.302458",\ + "-0.812325, -0.812325, -0.704008, -0.595691, -0.379056",\ + "-0.915029, -0.915029, -0.806711, -0.698394, -0.481759",\ + "-0.885411, -0.885411, -0.777094, -0.668777, -0.452142"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.540685, -2.540685, -2.432367, -2.324050, -2.107415",\ + "-2.909543, -2.909543, -2.801226, -2.692908, -2.476274",\ + "-3.278402, -3.278402, -3.170085, -3.061768, -2.845133",\ + "-3.772272, -3.772272, -3.663955, -3.555637, -3.339003",\ + "-3.961896, -3.961896, -3.853579, -3.745261, -3.528626"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.861283, 6.492406, 6.123851, 5.631462, 5.441763",\ + "7.510298, 7.141421, 6.772866, 6.280478, 6.090779",\ + "8.159311, 7.790434, 7.421879, 6.929490, 6.739791",\ + "9.026394, 8.657517, 8.288962, 7.796574, 7.606875",\ + "9.357111, 8.988234, 8.619678, 8.127290, 7.937591"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "9.639540, 9.270663, 8.902108, 8.409719, 8.220020",\ + "10.115173, 9.746297, 9.377742, 8.885353, 8.695654",\ + "10.590805, 10.221929, 9.853374, 9.360985, 9.171286",\ + "11.274952, 10.906075, 10.537520, 10.045132, 9.855433",\ + "11.568930, 11.200053, 10.831498, 10.339109, 10.149410"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.342668, -4.867128, -4.391588, -3.709835, -3.414907",\ + "-5.419265, -4.943725, -4.468185, -3.786432, -3.491504",\ + "-5.495863, -5.020323, -4.544784, -3.863030, -3.568102",\ + "-5.598566, -5.123026, -4.647487, -3.965733, -3.670805",\ + "-5.568949, -5.093409, -4.617869, -3.936116, -3.641188"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-8.032147, -7.556608, -7.081068, -6.399314, -6.104386",\ + "-8.401006, -7.925466, -7.449926, -6.768173, -6.473245",\ + "-8.769865, -8.294325, -7.818786, -7.137032, -6.842104",\ + "-9.263735, -8.788195, -8.312655, -7.630902, -7.335974",\ + "-9.453359, -8.977819, -8.502279, -7.820526, -7.525598"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.149250, 14.149250, 13.932615, 13.860404, 13.715981",\ + "14.798244, 14.798244, 14.581610, 14.509398, 14.364975",\ + "15.447239, 15.447239, 15.230604, 15.158393, 15.013969",\ + "16.314295, 16.314295, 16.097660, 16.025448, 15.881025",\ + "16.645020, 16.645020, 16.428385, 16.356174, 16.211750"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.650078, 14.650078, 14.433443, 14.361232, 14.216808",\ + "15.125675, 15.125675, 14.909040, 14.836829, 14.692406",\ + "15.601273, 15.601273, 15.384638, 15.312427, 15.168003",\ + "16.284492, 16.284492, 16.067858, 15.995646, 15.851223",\ + "16.578484, 16.578484, 16.361849, 16.289639, 16.145214"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.527917, 0.527917, 0.636234, 0.744552, 0.961186",\ + "0.451303, 0.451303, 0.559620, 0.667937, 0.884572",\ + "0.374688, 0.374688, 0.483006, 0.591323, 0.807958",\ + "0.272330, 0.272330, 0.380648, 0.488965, 0.705600",\ + "0.301603, 0.301603, 0.409921, 0.518238, 0.734873"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.677732, -0.677732, -0.569414, -0.461097, -0.244462",\ + "-1.046473, -1.046473, -0.938155, -0.829838, -0.613203",\ + "-1.415214, -1.415214, -1.306896, -1.198579, -0.981944",\ + "-1.907851, -1.907851, -1.799534, -1.691216, -1.474582",\ + "-2.098454, -2.098454, -1.990136, -1.881819, -1.665184"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.527102, 6.158226, 5.789670, 5.297282, 5.107583",\ + "7.176097, 6.807220, 6.438665, 5.946276, 5.756577",\ + "7.825093, 7.456216, 7.087661, 6.595273, 6.405574",\ + "8.692148, 8.323271, 7.954716, 7.462327, 7.272629",\ + "9.022872, 8.653996, 8.285440, 7.793051, 7.603353"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.249479, 7.880602, 7.512047, 7.019659, 6.829960",\ + "8.725076, 8.356199, 7.987644, 7.495255, 7.305556",\ + "9.200673, 8.831797, 8.463242, 7.970852, 7.781154",\ + "9.883895, 9.515018, 9.146463, 8.654074, 8.464375",\ + "10.177883, 9.809006, 9.440451, 8.948063, 8.758364"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.998948, -4.523408, -4.047868, -3.366115, -3.071187",\ + "-5.075562, -4.600022, -4.124483, -3.442729, -3.147801",\ + "-5.152176, -4.676637, -4.201097, -3.519343, -3.224415",\ + "-5.254534, -4.778995, -4.303455, -3.621701, -3.326773",\ + "-5.225261, -4.749722, -4.274182, -3.592428, -3.297500"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.012519, -6.536980, -6.061440, -5.379686, -5.084758",\ + "-7.381260, -6.905721, -6.430181, -5.748427, -5.453499",\ + "-7.750001, -7.274462, -6.798922, -6.117168, -5.822240",\ + "-8.242640, -7.767099, -7.291560, -6.609806, -6.314878",\ + "-8.433241, -7.957702, -7.482162, -6.800408, -6.505480"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.132546, 14.132546, 13.915912, 13.843700, 13.699277",\ + "14.781539, 14.781539, 14.564904, 14.492693, 14.348269",\ + "15.430532, 15.430532, 15.213897, 15.141685, 14.997262",\ + "16.297588, 16.297588, 16.080952, 16.008741, 15.864318",\ + "16.628311, 16.628311, 16.411678, 16.339466, 16.195042"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.610065, 14.610065, 14.393430, 14.321218, 14.176795",\ + "15.085658, 15.085658, 14.869023, 14.796812, 14.652389",\ + "15.561255, 15.561255, 15.344621, 15.272409, 15.127986",\ + "16.244442, 16.244442, 16.027805, 15.955595, 15.811172",\ + "16.538429, 16.538429, 16.321793, 16.249582, 16.105160"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.946424, -0.946424, -0.838107, -0.729790, -0.513155",\ + "-1.023040, -1.023040, -0.914722, -0.806405, -0.589770",\ + "-1.099655, -1.099655, -0.991338, -0.883020, -0.666386",\ + "-1.202013, -1.202013, -1.093696, -0.985378, -0.768744",\ + "-1.172740, -1.172740, -1.064423, -0.956105, -0.739470"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.004131, -2.004131, -1.895814, -1.787497, -1.570862",\ + "-2.372867, -2.372867, -2.264549, -2.156232, -1.939597",\ + "-2.741603, -2.741603, -2.633286, -2.524968, -2.308333",\ + "-3.234235, -3.234235, -3.125917, -3.017600, -2.800965",\ + "-3.424833, -3.424833, -3.316516, -3.208199, -2.991564"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.504185, 7.135308, 6.766753, 6.274364, 6.084665",\ + "8.153177, 7.784300, 7.415745, 6.923357, 6.733658",\ + "8.802170, 8.433292, 8.064737, 7.572349, 7.382650",\ + "9.669225, 9.300348, 8.931793, 8.439404, 8.249705",\ + "9.999949, 9.631071, 9.262516, 8.770128, 8.580429"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.613667, 8.244789, 7.876235, 7.383846, 7.194147",\ + "9.089264, 8.720387, 8.351831, 7.859443, 7.669744",\ + "9.564857, 9.195980, 8.827425, 8.335037, 8.145338",\ + "10.248043, 9.879166, 9.510611, 9.018223, 8.828524",\ + "10.542032, 10.173155, 9.804600, 9.312212, 9.122513"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.539960, -5.064421, -4.588881, -3.907127, -3.612199",\ + "-5.616576, -5.141036, -4.665496, -3.983743, -3.688815",\ + "-5.693191, -5.217651, -4.742112, -4.060358, -3.765430",\ + "-5.795549, -5.320009, -4.844470, -4.162716, -3.867788",\ + "-5.766276, -5.290736, -4.815197, -4.133443, -3.838515"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.201014, -6.725474, -6.249935, -5.568181, -5.273253",\ + "-7.569750, -7.094211, -6.618671, -5.936917, -5.641989",\ + "-7.938487, -7.462947, -6.987407, -6.305654, -6.010725",\ + "-8.431118, -7.955579, -7.480039, -6.798285, -6.503357",\ + "-8.621716, -8.146176, -7.670637, -6.988883, -6.693955"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.712736, 14.712736, 14.496101, 14.423890, 14.279467",\ + "15.361721, 15.361721, 15.145086, 15.072875, 14.928452",\ + "16.010704, 16.010704, 15.794069, 15.721858, 15.577435",\ + "16.877748, 16.877748, 16.661114, 16.588902, 16.444479",\ + "17.208475, 17.208475, 16.991840, 16.919628, 16.775206"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.076057, 15.076057, 14.859423, 14.787211, 14.642788",\ + "15.551640, 15.551640, 15.335005, 15.262794, 15.118370",\ + "16.027218, 16.027218, 15.810583, 15.738372, 15.593948",\ + "16.709976, 16.709976, 16.493341, 16.421131, 16.276707",\ + "17.003967, 17.003967, 16.787333, 16.715122, 16.570698"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.242805, -1.242805, -1.134488, -1.026171, -0.809536",\ + "-1.319428, -1.319428, -1.211111, -1.102794, -0.886159",\ + "-1.396051, -1.396051, -1.287734, -1.179417, -0.962782",\ + "-1.498420, -1.498420, -1.390102, -1.281785, -1.065150",\ + "-1.468777, -1.468777, -1.360459, -1.252142, -1.035507"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.783422, -1.783422, -1.675104, -1.566787, -1.350152",\ + "-2.152103, -2.152103, -2.043786, -1.935469, -1.718834",\ + "-2.520786, -2.520786, -2.412469, -2.304152, -2.087517",\ + "-3.013346, -3.013346, -2.905028, -2.796711, -2.580076",\ + "-3.203013, -3.203013, -3.094696, -2.986379, -2.769744"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.286092, 7.917215, 7.548660, 7.056271, 6.866572",\ + "8.935077, 8.566200, 8.197645, 7.705256, 7.515557",\ + "9.584060, 9.215183, 8.846628, 8.354239, 8.164540",\ + "10.451102, 10.082226, 9.713671, 9.221281, 9.031583",\ + "10.781830, 10.412952, 10.044397, 9.552010, 9.362310"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "9.631601, 9.262724, 8.894169, 8.401781, 8.212082",\ + "10.107181, 9.738304, 9.369749, 8.877359, 8.687661",\ + "10.582760, 10.213882, 9.845327, 9.352940, 9.163240",\ + "11.265516, 10.896639, 10.528084, 10.035696, 9.845997",\ + "11.559510, 11.190634, 10.822079, 10.329689, 10.139991"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.689903, -6.214363, -5.738823, -5.057070, -4.762142",\ + "-6.766526, -6.290986, -5.815446, -5.133693, -4.838765",\ + "-6.843149, -6.367609, -5.892069, -5.210316, -4.915388",\ + "-6.945517, -6.469977, -5.994438, -5.312684, -5.017756",\ + "-6.915874, -6.440334, -5.964795, -5.283041, -4.988113"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.791057, -7.315517, -6.839978, -6.158224, -5.863296",\ + "-8.159739, -7.684199, -7.208660, -6.526906, -6.231978",\ + "-8.528421, -8.052882, -7.577343, -6.895589, -6.600661",\ + "-9.020981, -8.545442, -8.069902, -7.388148, -7.093220",\ + "-9.210649, -8.735109, -8.259569, -7.577816, -7.282888"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.375360, 14.375360, 14.158726, 14.086514, 13.942091",\ + "15.024342, 15.024342, 14.807707, 14.735496, 14.591072",\ + "15.673321, 15.673321, 15.456686, 15.384475, 15.240051",\ + "16.540358, 16.540358, 16.323723, 16.251511, 16.107088",\ + "16.871086, 16.871086, 16.654451, 16.582241, 16.437817"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.240339, 15.240339, 15.023705, 14.951493, 14.807070",\ + "15.715914, 15.715914, 15.499279, 15.427068, 15.282644",\ + "16.191484, 16.191484, 15.974850, 15.902638, 15.758215",\ + "16.874048, 16.874048, 16.657413, 16.585201, 16.440779",\ + "17.168043, 17.168043, 16.951408, 16.879196, 16.734774"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.090502, -1.090502, -0.982184, -0.873867, -0.657232",\ + "-1.167129, -1.167129, -1.058811, -0.950494, -0.733859",\ + "-1.243755, -1.243755, -1.135438, -1.027121, -0.810486",\ + "-1.346128, -1.346128, -1.237810, -1.129493, -0.912858",\ + "-1.316481, -1.316481, -1.208163, -1.099846, -0.883211"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.508520, -2.508520, -2.400203, -2.291885, -2.075251",\ + "-2.877177, -2.877177, -2.768860, -2.660542, -2.443908",\ + "-3.245834, -3.245834, -3.137517, -3.029200, -2.812565",\ + "-3.738359, -3.738359, -3.630042, -3.521725, -3.305090",\ + "-3.928033, -3.928033, -3.819715, -3.711398, -3.494763"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.576286, 7.207409, 6.838854, 6.346466, 6.156767",\ + "8.225266, 7.856390, 7.487834, 6.995446, 6.805747",\ + "8.874247, 8.505369, 8.136814, 7.644426, 7.454727",\ + "9.741283, 9.372406, 9.003851, 8.511463, 8.321764",\ + "10.072013, 9.703136, 9.334581, 8.842192, 8.652493"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "9.554321, 9.185444, 8.816889, 8.324501, 8.134802",\ + "10.029892, 9.661015, 9.292459, 8.800072, 8.610373",\ + "10.505464, 10.136587, 9.768032, 9.275642, 9.085944",\ + "11.188025, 10.819147, 10.450592, 9.958204, 9.768505",\ + "11.482021, 11.113144, 10.744589, 10.252201, 10.062502"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.712052, -5.236512, -4.760972, -4.079219, -3.784291",\ + "-5.788679, -5.313139, -4.837599, -4.155846, -3.860918",\ + "-5.865305, -5.389766, -4.914226, -4.232472, -3.937544",\ + "-5.967678, -5.492138, -5.016598, -4.334845, -4.039917",\ + "-5.938031, -5.462491, -4.986951, -4.305198, -4.010270"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.680092, -7.204553, -6.729013, -6.047259, -5.752331",\ + "-8.048750, -7.573210, -7.097670, -6.415916, -6.120988",\ + "-8.417406, -7.941867, -7.466327, -6.784574, -6.489645",\ + "-8.909931, -8.434392, -7.958852, -7.277099, -6.982171",\ + "-9.099606, -8.624065, -8.148525, -7.466772, -7.171844"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.392277, 13.392277, 13.175642, 13.103430, 12.959007",\ + "14.041265, 14.041265, 13.824631, 13.752419, 13.607996",\ + "14.690252, 14.690252, 14.473618, 14.401405, 14.256983",\ + "15.557302, 15.557302, 15.340668, 15.268456, 15.124033",\ + "15.888027, 15.888027, 15.671392, 15.599180, 15.454758"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.193214, 14.193214, 13.976580, 13.904367, 13.759945",\ + "14.668804, 14.668804, 14.452169, 14.379957, 14.235535",\ + "15.144390, 15.144390, 14.927755, 14.855543, 14.711121",\ + "15.827339, 15.827339, 15.610704, 15.538492, 15.394070",\ + "16.121330, 16.121330, 15.904696, 15.832483, 15.688061"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.394730, -1.394730, -1.286412, -1.178095, -0.961460",\ + "-1.471350, -1.471350, -1.363032, -1.254715, -1.038080",\ + "-1.547969, -1.547969, -1.439651, -1.331334, -1.114699",\ + "-1.650333, -1.650333, -1.542016, -1.433699, -1.217064",\ + "-1.620694, -1.620694, -1.512377, -1.404059, -1.187425"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.724595, -2.724595, -2.616278, -2.507960, -2.291326",\ + "-3.093301, -3.093301, -2.984983, -2.876666, -2.660031",\ + "-3.462008, -3.462008, -3.353691, -3.245374, -3.028739",\ + "-3.954600, -3.954600, -3.846283, -3.737966, -3.521331",\ + "-4.144262, -4.144262, -4.035945, -3.927628, -3.710993"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.214573, 7.845696, 7.477141, 6.984752, 6.795053",\ + "8.863562, 8.494684, 8.126129, 7.633741, 7.444042",\ + "9.512551, 9.143675, 8.775120, 8.282730, 8.093032",\ + "10.379600, 10.010723, 9.642168, 9.149778, 8.960080",\ + "10.710325, 10.341448, 9.972893, 9.480505, 9.290806"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "9.741793, 9.372915, 9.004360, 8.511972, 8.322273",\ + "10.217380, 9.848503, 9.479948, 8.987558, 8.797860",\ + "10.692966, 10.324089, 9.955534, 9.463144, 9.273446",\ + "11.375915, 11.007038, 10.638483, 10.146093, 9.956395",\ + "11.669907, 11.301029, 10.932474, 10.440086, 10.250387"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.069125, -5.593585, -5.118045, -4.436292, -4.141364",\ + "-6.145745, -5.670205, -5.194665, -4.512912, -4.217984",\ + "-6.222364, -5.746824, -5.271285, -4.589531, -4.294603",\ + "-6.324728, -5.849189, -5.373649, -4.691895, -4.396967",\ + "-6.295089, -5.819550, -5.344010, -4.662256, -4.367328"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-8.203243, -7.727704, -7.252164, -6.570411, -6.275483",\ + "-8.571949, -8.096410, -7.620870, -6.939116, -6.644188",\ + "-8.940657, -8.465117, -7.989578, -7.307824, -7.012896",\ + "-9.433249, -8.957709, -8.482170, -7.800416, -7.505488",\ + "-9.622911, -9.147371, -8.671831, -7.990078, -7.695150"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.589542, 13.589542, 13.372908, 13.300696, 13.156273",\ + "14.238508, 14.238508, 14.021873, 13.949662, 13.805239",\ + "14.887472, 14.887472, 14.670837, 14.598626, 14.454203",\ + "15.754490, 15.754490, 15.537855, 15.465644, 15.321220",\ + "16.085224, 16.085224, 15.868589, 15.796378, 15.651955"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.339212, 14.339212, 14.122578, 14.050366, 13.905943",\ + "14.814756, 14.814756, 14.598122, 14.525910, 14.381487",\ + "15.290297, 15.290297, 15.073662, 15.001451, 14.857027",\ + "15.972139, 15.972139, 15.755505, 15.683293, 15.538870",\ + "16.266146, 16.266146, 16.049511, 15.977300, 15.832876"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.331719, 2.331719, 2.440036, 2.548354, 2.764988",\ + "2.255080, 2.255080, 2.363397, 2.471714, 2.688349",\ + "2.178440, 2.178440, 2.286757, 2.395074, 2.611709",\ + "2.076049, 2.076049, 2.184367, 2.292684, 2.509319",\ + "2.105710, 2.105710, 2.214027, 2.322344, 2.538979"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.823281, 0.823281, 0.931599, 1.039916, 1.256551",\ + "0.454494, 0.454494, 0.562811, 0.671128, 0.887763",\ + "0.085928, 0.085928, 0.194245, 0.302563, 0.519197",\ + "-0.406476, -0.406476, -0.298159, -0.189841, 0.026793",\ + "-0.596172, -0.596172, -0.487855, -0.379538, -0.162903"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.463382, 4.094505, 3.725950, 3.233561, 3.043862",\ + "5.112347, 4.743470, 4.374915, 3.882526, 3.692827",\ + "5.761311, 5.392434, 5.023879, 4.531490, 4.341791",\ + "6.628328, 6.259451, 5.890896, 5.398508, 5.208809",\ + "6.959064, 6.590187, 6.221632, 5.729243, 5.539544"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.726063, 6.357186, 5.988631, 5.496242, 5.306543",\ + "7.201606, 6.832729, 6.464174, 5.971785, 5.782086",\ + "7.677150, 7.308273, 6.939718, 6.447329, 6.257630",\ + "8.358990, 7.990113, 7.621558, 7.129169, 6.939470",\ + "8.652996, 8.284119, 7.915564, 7.423175, 7.233477"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.195144, -2.719604, -2.244065, -1.562311, -1.267383",\ + "-3.271784, -2.796244, -2.320704, -1.638951, -1.344023",\ + "-3.348424, -2.872884, -2.397345, -1.715591, -1.420663",\ + "-3.450815, -2.975275, -2.499735, -1.817982, -1.523054",\ + "-3.421154, -2.945615, -2.470075, -1.788321, -1.493393"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.511507, -5.035967, -4.560427, -3.878674, -3.583745",\ + "-5.880294, -5.404755, -4.929215, -4.247461, -3.952533",\ + "-6.248859, -5.773319, -5.297780, -4.616026, -4.321098",\ + "-6.741263, -6.265723, -5.790184, -5.108430, -4.813502",\ + "-6.930959, -6.455420, -5.979880, -5.298126, -5.003198"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.489462, 13.489462, 13.272827, 13.200616, 13.056192",\ + "14.138435, 14.138435, 13.921801, 13.849589, 13.705166",\ + "14.787407, 14.787407, 14.570772, 14.498561, 14.354137",\ + "15.654432, 15.654432, 15.437798, 15.365586, 15.221163",\ + "15.985165, 15.985165, 15.768530, 15.696319, 15.551895"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.243200, 14.243200, 14.026566, 13.954354, 13.809931",\ + "14.718760, 14.718760, 14.502125, 14.429914, 14.285490",\ + "15.194315, 15.194315, 14.977680, 14.905469, 14.761045",\ + "15.876482, 15.876482, 15.659847, 15.587636, 15.443213",\ + "16.170485, 16.170485, 15.953850, 15.881639, 15.737215"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.768199, 2.768199, 2.876518, 2.984835, 3.201470",\ + "2.691566, 2.691566, 2.799884, 2.908202, 3.124836",\ + "2.614933, 2.614933, 2.723251, 2.831568, 3.048203",\ + "2.512550, 2.512550, 2.620868, 2.729186, 2.945820",\ + "2.542204, 2.542204, 2.650522, 2.758840, 2.975474"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.647969, 2.647969, 2.756287, 2.864604, 3.081239",\ + "2.279361, 2.279361, 2.387680, 2.495997, 2.712632",\ + "1.910754, 1.910754, 2.019072, 2.127389, 2.344024",\ + "1.418294, 1.418294, 1.526612, 1.634930, 1.851564",\ + "1.228608, 1.228608, 1.336926, 1.445244, 1.661879"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.354627, 2.985750, 2.617195, 2.124806, 1.935108",\ + "4.003600, 3.634723, 3.266168, 2.773779, 2.584081",\ + "4.652571, 4.283694, 3.915139, 3.422750, 3.233051",\ + "5.519597, 5.150720, 4.782165, 4.289776, 4.100078",\ + "5.850329, 5.481452, 5.112897, 4.620509, 4.430810"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.696056, 4.327179, 3.958624, 3.466236, 3.276537",\ + "5.171612, 4.802735, 4.434180, 3.941791, 3.752092",\ + "5.647167, 5.278290, 4.909735, 4.417346, 4.227648",\ + "6.329336, 5.960459, 5.591904, 5.099515, 4.909817",\ + "6.623341, 6.254464, 5.885909, 5.393520, 5.203821"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.035060, -1.559520, -1.083981, -0.402227, -0.107299",\ + "-2.111693, -1.636154, -1.160614, -0.478860, -0.183932",\ + "-2.188327, -1.712787, -1.237247, -0.555494, -0.260566",\ + "-2.290709, -1.815170, -1.339630, -0.657876, -0.362948",\ + "-2.261056, -1.785516, -1.309977, -0.628223, -0.333295"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.496718, -3.021178, -2.545639, -1.863885, -1.568957",\ + "-3.865324, -3.389785, -2.914245, -2.232491, -1.937563",\ + "-4.233933, -3.758393, -3.282854, -2.601100, -2.306172",\ + "-4.726391, -4.250852, -3.775312, -3.093558, -2.798630",\ + "-4.916077, -4.440537, -3.964998, -3.283244, -2.988316"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.483656, 13.483656, 13.267021, 13.194810, 13.050386",\ + "14.132622, 14.132622, 13.915987, 13.843776, 13.699352",\ + "14.781590, 14.781590, 14.564955, 14.492743, 14.348320",\ + "15.648609, 15.648609, 15.431974, 15.359763, 15.215340",\ + "15.979342, 15.979342, 15.762707, 15.690495, 15.546072"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.937754, 13.937754, 13.721119, 13.648908, 13.504484",\ + "14.413301, 14.413301, 14.196667, 14.124455, 13.980032",\ + "14.888845, 14.888845, 14.672211, 14.599999, 14.455576",\ + "15.570772, 15.570772, 15.354137, 15.281926, 15.137503",\ + "15.864775, 15.864775, 15.648140, 15.575929, 15.431505"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.527828, 2.527828, 2.636146, 2.744463, 2.961098",\ + "2.451190, 2.451190, 2.559508, 2.667825, 2.884460",\ + "2.374552, 2.374552, 2.482870, 2.591187, 2.807822",\ + "2.272164, 2.272164, 2.380481, 2.488799, 2.705433",\ + "2.301823, 2.301823, 2.410140, 2.518457, 2.735092"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.338233, 1.338233, 1.446550, 1.554868, 1.771502",\ + "0.969534, 0.969534, 1.077851, 1.186169, 1.402803",\ + "0.600958, 0.600958, 0.709275, 0.817593, 1.034227",\ + "0.108540, 0.108540, 0.216857, 0.325174, 0.541809",\ + "-0.081154, -0.081154, 0.027164, 0.135481, 0.352116"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.507641, 4.138764, 3.770209, 3.277820, 3.088121",\ + "5.156606, 4.787729, 4.419174, 3.926785, 3.737086",\ + "5.805573, 5.436697, 5.068141, 4.575753, 4.386054",\ + "6.672593, 6.303716, 5.935161, 5.442772, 5.253074",\ + "7.003327, 6.634450, 6.265895, 5.773507, 5.583808"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.923257, 5.554380, 5.185825, 4.693436, 4.503737",\ + "6.398803, 6.029926, 5.661371, 5.168982, 4.979283",\ + "6.874350, 6.505473, 6.136918, 5.644529, 5.454830",\ + "7.556274, 7.187397, 6.818842, 6.326454, 6.136755",\ + "7.850280, 7.481403, 7.112848, 6.620459, 6.430760"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.919382, -2.443842, -1.968303, -1.286549, -0.991621",\ + "-2.996020, -2.520480, -2.044940, -1.363187, -1.068259",\ + "-3.072658, -2.597118, -2.121579, -1.439825, -1.144897",\ + "-3.175046, -2.699507, -2.223967, -1.542213, -1.247285",\ + "-3.145388, -2.669848, -2.194308, -1.512555, -1.217627"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.669390, -4.193851, -3.718311, -3.036557, -2.741629",\ + "-5.038087, -4.562548, -4.087008, -3.405254, -3.110326",\ + "-5.406664, -4.931125, -4.455585, -3.773831, -3.478903",\ + "-5.899083, -5.423543, -4.948003, -4.266250, -3.971322",\ + "-6.088776, -5.613236, -5.137697, -4.455943, -4.161015"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.959297, 13.959297, 13.742662, 13.670451, 13.526028",\ + "14.608271, 14.608271, 14.391636, 14.319425, 14.175001",\ + "15.257244, 15.257244, 15.040609, 14.968398, 14.823975",\ + "16.124271, 16.124271, 15.907637, 15.835425, 15.691002",\ + "16.455004, 16.455004, 16.238369, 16.166157, 16.021734"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.597069, 14.597069, 14.380434, 14.308223, 14.163799",\ + "15.072628, 15.072628, 14.855993, 14.783782, 14.639359",\ + "15.548183, 15.548183, 15.331549, 15.259337, 15.114914",\ + "16.230434, 16.230434, 16.013800, 15.941588, 15.797165",\ + "16.524437, 16.524437, 16.307802, 16.235592, 16.091167"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.677884, 2.677884, 2.786201, 2.894518, 3.111153",\ + "2.601252, 2.601252, 2.709569, 2.817887, 3.034522",\ + "2.524620, 2.524620, 2.632937, 2.741254, 2.957889",\ + "2.422239, 2.422239, 2.530556, 2.638874, 2.855508",\ + "2.451891, 2.451891, 2.560209, 2.668526, 2.885161"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.519865, 1.519865, 1.628182, 1.736499, 1.953134",\ + "1.151247, 1.151247, 1.259564, 1.367882, 1.584517",\ + "0.782630, 0.782630, 0.890947, 0.999265, 1.215899",\ + "0.290156, 0.290156, 0.398474, 0.506791, 0.723426",\ + "0.100473, 0.100473, 0.208791, 0.317108, 0.533743"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.876630, 3.507753, 3.139198, 2.646809, 2.457110",\ + "4.525604, 4.156727, 3.788172, 3.295784, 3.106085",\ + "5.174577, 4.805700, 4.437145, 3.944756, 3.755057",\ + "6.041607, 5.672730, 5.304175, 4.811786, 4.622087",\ + "6.372338, 6.003461, 5.634906, 5.142518, 4.952819"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.531339, 5.162462, 4.793907, 4.301518, 4.111819",\ + "6.006898, 5.638021, 5.269466, 4.777077, 4.587379",\ + "6.482457, 6.113580, 5.745025, 5.252636, 5.062938",\ + "7.164707, 6.795830, 6.427275, 5.934886, 5.745188",\ + "7.458709, 7.089832, 6.721277, 6.228888, 6.039189"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.943665, -1.468125, -0.992585, -0.310832, -0.015903",\ + "-2.020296, -1.544756, -1.069217, -0.387463, -0.092535",\ + "-2.096929, -1.621389, -1.145849, -0.464096, -0.169168",\ + "-2.199309, -1.723770, -1.248230, -0.566476, -0.271548",\ + "-2.169657, -1.694117, -1.218577, -0.536824, -0.241896"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.651707, -3.176167, -2.700628, -2.018874, -1.723946",\ + "-4.020324, -3.544785, -3.069245, -2.387491, -2.092563",\ + "-4.388942, -3.913403, -3.437863, -2.756109, -2.461181",\ + "-4.881416, -4.405876, -3.930336, -3.248583, -2.953655",\ + "-5.071099, -4.595559, -4.120019, -3.438266, -3.143338"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.635003, 13.635003, 13.418368, 13.346157, 13.201734",\ + "14.283980, 14.283980, 14.067346, 13.995134, 13.850711",\ + "14.932958, 14.932958, 14.716323, 14.644112, 14.499688",\ + "15.799991, 15.799991, 15.583356, 15.511145, 15.366721",\ + "16.130722, 16.130722, 15.914086, 15.841875, 15.697452"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.971599, 13.971599, 13.754964, 13.682753, 13.538329",\ + "14.447162, 14.447162, 14.230527, 14.158316, 14.013892",\ + "14.922729, 14.922729, 14.706094, 14.633883, 14.489459",\ + "15.605140, 15.605140, 15.388505, 15.316294, 15.171870",\ + "15.899138, 15.899138, 15.682504, 15.610292, 15.465869"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.827202, 2.827202, 2.935519, 3.043837, 3.260471",\ + "2.750572, 2.750572, 2.858890, 2.967207, 3.183842",\ + "2.673944, 2.673944, 2.782261, 2.890578, 3.107213",\ + "2.571567, 2.571567, 2.679884, 2.788201, 3.004836",\ + "2.601216, 2.601216, 2.709534, 2.817851, 3.034486"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.533964, 0.533964, 0.642282, 0.750599, 0.967234",\ + "0.165326, 0.165326, 0.273643, 0.381961, 0.598596",\ + "-0.203312, -0.203312, -0.094995, 0.013323, 0.229958",\ + "-0.695813, -0.695813, -0.587496, -0.479178, -0.262544",\ + "-0.885491, -0.885491, -0.777174, -0.668857, -0.452222"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.162668, 2.793791, 2.425236, 1.932847, 1.743149",\ + "3.811646, 3.442769, 3.074214, 2.581825, 2.392126",\ + "4.460622, 4.091745, 3.723190, 3.230801, 3.041102",\ + "5.327656, 4.958779, 4.590224, 4.097835, 3.908136",\ + "5.658386, 5.289509, 4.920954, 4.428566, 4.238867"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.111418, 5.742541, 5.373986, 4.881597, 4.691898",\ + "6.586983, 6.218106, 5.849551, 5.357162, 5.167463",\ + "7.062548, 6.693671, 6.325116, 5.832727, 5.643028",\ + "7.744959, 7.376082, 7.007527, 6.515138, 6.325439",\ + "8.038958, 7.670081, 7.301526, 6.809137, 6.619438"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.847194, -1.371655, -0.896115, -0.214361, 0.080567",\ + "-1.923824, -1.448284, -0.972744, -0.290991, 0.003937",\ + "-2.000453, -1.524913, -1.049373, -0.367620, -0.072691",\ + "-2.102829, -1.627290, -1.151750, -0.469996, -0.175068",\ + "-2.073180, -1.597640, -1.122100, -0.440347, -0.145419"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.944685, -4.469145, -3.993605, -3.311852, -3.016923",\ + "-5.313323, -4.837783, -4.362243, -3.680490, -3.385561",\ + "-5.681961, -5.206421, -4.730881, -4.049128, -3.754200",\ + "-6.174462, -5.698922, -5.223382, -4.541629, -4.246701",\ + "-6.364140, -5.888600, -5.413061, -4.731307, -4.436379"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.373248, 14.373248, 14.156613, 14.084402, 13.939979",\ + "15.022216, 15.022216, 14.805581, 14.733370, 14.588946",\ + "15.671182, 15.671182, 15.454547, 15.382336, 15.237912",\ + "16.538204, 16.538204, 16.321568, 16.249357, 16.104935",\ + "16.868935, 16.868935, 16.652302, 16.580090, 16.435665"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.235742, 15.235742, 15.019107, 14.946896, 14.802472",\ + "15.711293, 15.711293, 15.494658, 15.422447, 15.278024",\ + "16.186840, 16.186840, 15.970206, 15.897995, 15.753572",\ + "16.868813, 16.868813, 16.652180, 16.579967, 16.435543",\ + "17.162819, 17.162819, 16.946186, 16.873974, 16.729549"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.016690, 3.016690, 3.125007, 3.233325, 3.449959",\ + "2.940053, 2.940053, 3.048370, 3.156688, 3.373322",\ + "2.863416, 2.863416, 2.971733, 3.080050, 3.296685",\ + "2.761029, 2.761029, 2.869346, 2.977664, 3.194298",\ + "2.790687, 2.790687, 2.899004, 3.007321, 3.223956"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.765281, 1.765281, 1.873599, 1.981916, 2.198551",\ + "1.396634, 1.396634, 1.504951, 1.613268, 1.829903",\ + "1.028050, 1.028050, 1.136368, 1.244685, 1.461320",\ + "0.535624, 0.535624, 0.643941, 0.752258, 0.968893",\ + "0.345932, 0.345932, 0.454249, 0.562567, 0.779202"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.065252, 2.696375, 2.327820, 1.835431, 1.645732",\ + "3.714220, 3.345343, 2.976788, 2.484399, 2.294700",\ + "4.363186, 3.994309, 3.625754, 3.133365, 2.943666",\ + "5.230206, 4.861330, 4.492774, 4.000386, 3.810687",\ + "5.560941, 5.192064, 4.823509, 4.331120, 4.141421"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.670834, 4.301957, 3.933402, 3.441013, 3.251314",\ + "5.146382, 4.777505, 4.408950, 3.916562, 3.726863",\ + "5.621930, 5.253053, 4.884498, 4.392109, 4.202411",\ + "6.303905, 5.935028, 5.566473, 5.074084, 4.884385",\ + "6.597910, 6.229033, 5.860478, 5.368089, 5.178391"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.624423, -1.148883, -0.673343, 0.008410, 0.303339",\ + "-1.701059, -1.225520, -0.749980, -0.068226, 0.226702",\ + "-1.777697, -1.302157, -0.826617, -0.144864, 0.150064",\ + "-1.880084, -1.404544, -0.929004, -0.247251, 0.047678",\ + "-1.850426, -1.374886, -0.899346, -0.217593, 0.077335"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.333147, -2.857607, -2.382068, -1.700314, -1.405386",\ + "-3.701794, -3.226254, -2.750715, -2.068961, -1.774033",\ + "-4.070377, -3.594837, -3.119298, -2.437544, -2.142616",\ + "-4.562804, -4.087264, -3.611724, -2.929971, -2.635043",\ + "-4.752495, -4.276956, -3.801416, -3.119662, -2.824734"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.206791, 14.206791, 13.990156, 13.917945, 13.773521",\ + "14.855759, 14.855759, 14.639124, 14.566913, 14.422489",\ + "15.504725, 15.504725, 15.288090, 15.215878, 15.071455",\ + "16.371744, 16.371744, 16.155109, 16.082897, 15.938475",\ + "16.702478, 16.702478, 16.485844, 16.413631, 16.269209"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.118269, 15.118269, 14.901634, 14.829423, 14.684999",\ + "15.593817, 15.593817, 15.377182, 15.304971, 15.160547",\ + "16.069365, 16.069365, 15.852730, 15.780519, 15.636095",\ + "16.751307, 16.751307, 16.534672, 16.462460, 16.318037",\ + "17.045309, 17.045309, 16.828674, 16.756462, 16.612040"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.314323, 3.314323, 3.422640, 3.530958, 3.747592",\ + "3.237685, 3.237685, 3.346003, 3.454320, 3.670955",\ + "3.161047, 3.161047, 3.269365, 3.377682, 3.594317",\ + "3.058659, 3.058659, 3.166976, 3.275294, 3.491929",\ + "3.088318, 3.088318, 3.196635, 3.304953, 3.521587"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.154938, 3.154938, 3.263256, 3.371573, 3.588208",\ + "2.786256, 2.786256, 2.894574, 3.002892, 3.219526",\ + "2.417678, 2.417678, 2.525996, 2.634314, 2.850948",\ + "1.925258, 1.925258, 2.033576, 2.141893, 2.358528",\ + "1.735564, 1.735564, 1.843883, 1.952200, 2.168835"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.897961, 2.529084, 2.160529, 1.668140, 1.478441",\ + "3.546927, 3.178051, 2.809495, 2.317107, 2.127408",\ + "4.195895, 3.827018, 3.458463, 2.966074, 2.776376",\ + "5.062914, 4.694037, 4.325482, 3.833093, 3.643394",\ + "5.393648, 5.024771, 4.656216, 4.163827, 3.974129"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.176167, 4.807290, 4.438735, 3.946346, 3.756647",\ + "5.651713, 5.282836, 4.914281, 4.421893, 4.232194",\ + "6.127261, 5.758384, 5.389829, 4.897440, 4.707742",\ + "6.809202, 6.440325, 6.071770, 5.579381, 5.389683",\ + "7.103208, 6.734331, 6.365776, 5.873387, 5.683688"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.588186, -1.112647, -0.637107, 0.044647, 0.339575",\ + "-1.664824, -1.189284, -0.713745, -0.031991, 0.262937",\ + "-1.741462, -1.265922, -0.790382, -0.108629, 0.186299",\ + "-1.843850, -1.368310, -0.892771, -0.211017, 0.083911",\ + "-1.814191, -1.338652, -0.863112, -0.181358, 0.113570"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.918042, -3.442502, -2.966963, -2.285209, -1.990281",\ + "-4.286724, -3.811184, -3.335645, -2.653891, -2.358963",\ + "-4.655302, -4.179762, -3.704223, -3.022469, -2.727541",\ + "-5.147722, -4.672183, -4.196643, -3.514889, -3.219961",\ + "-5.337416, -4.861876, -4.386336, -3.704583, -3.409655"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.042664, 14.042664, 13.826029, 13.753818, 13.609394",\ + "14.691624, 14.691624, 14.474989, 14.402778, 14.258354",\ + "15.340580, 15.340580, 15.123945, 15.051734, 14.907310",\ + "16.207590, 16.207590, 15.990955, 15.918744, 15.774321",\ + "16.538679, 16.538679, 16.322044, 16.249832, 16.105410"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.942310, 14.942310, 14.725676, 14.653464, 14.509041",\ + "15.417847, 15.417847, 15.201212, 15.129001, 14.984577",\ + "15.893379, 15.893379, 15.676744, 15.604533, 15.460110",\ + "16.574947, 16.574947, 16.358313, 16.286102, 16.141678",\ + "16.869911, 16.869911, 16.653276, 16.581066, 16.436642"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "4.061650, 4.061650, 4.169967, 4.278285, 4.494919",\ + "3.985005, 3.985005, 4.093323, 4.201640, 4.418275",\ + "3.908361, 3.908361, 4.016678, 4.124996, 4.341630",\ + "3.805964, 3.805964, 3.914281, 4.022598, 4.239233",\ + "3.835629, 3.835629, 3.943947, 4.052264, 4.268899"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.086124, 2.086124, 2.194441, 2.302759, 2.519393",\ + "1.717050, 1.717050, 1.825367, 1.933685, 2.150320",\ + "1.348515, 1.348515, 1.456832, 1.565150, 1.781785",\ + "0.856152, 0.856152, 0.964469, 1.072786, 1.289421",\ + "0.666449, 0.666449, 0.774766, 0.883083, 1.099718"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.975507, 1.606630, 1.238075, 0.745686, 0.555987",\ + "2.624466, 2.255589, 1.887034, 1.394645, 1.204947",\ + "3.273425, 2.904548, 2.535993, 2.043604, 1.853906",\ + "4.140434, 3.771557, 3.403002, 2.910613, 2.720914",\ + "4.471523, 4.102646, 3.734091, 3.241702, 3.052003"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.669903, 4.301026, 3.932471, 3.440083, 3.250384",\ + "5.145438, 4.776561, 4.408006, 3.915617, 3.725918",\ + "5.620972, 5.252095, 4.883540, 4.391151, 4.201453",\ + "6.302538, 5.933661, 5.565106, 5.072718, 4.883019",\ + "6.597502, 6.228625, 5.860070, 5.367682, 5.177983"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.622272, -0.146732, 0.328808, 1.010561, 1.305490",\ + "-0.698916, -0.223377, 0.252163, 0.933917, 1.228845",\ + "-0.775560, -0.300021, 0.175519, 0.857273, 1.152201",\ + "-0.877958, -0.402419, 0.073121, 0.754875, 1.049803",\ + "-0.848292, -0.372753, 0.102787, 0.784541, 1.079469"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.405331, -2.929791, -2.454251, -1.772498, -1.477570",\ + "-3.774405, -3.298865, -2.823325, -2.141572, -1.846643",\ + "-4.142940, -3.667400, -3.191860, -2.510107, -2.215178",\ + "-4.635303, -4.159764, -3.684224, -3.002470, -2.707542",\ + "-4.825006, -4.349467, -3.873927, -3.192173, -2.897245"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.585736, 14.585736, 14.369102, 14.296890, 14.152467",\ + "15.234700, 15.234700, 15.018065, 14.945854, 14.801431",\ + "15.883664, 15.883664, 15.667029, 15.594818, 15.450395",\ + "16.750679, 16.750679, 16.534046, 16.461834, 16.317410",\ + "17.081764, 17.081764, 16.865131, 16.792919, 16.648495"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.441722, 15.441722, 15.225087, 15.152876, 15.008452",\ + "15.917266, 15.917266, 15.700631, 15.628420, 15.483996",\ + "16.392807, 16.392807, 16.176170, 16.103960, 15.959537",\ + "17.074589, 17.074589, 16.857952, 16.785742, 16.641319",\ + "17.369545, 17.369545, 17.152908, 17.080698, 16.936275"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "4.121809, 4.121809, 4.230126, 4.338444, 4.555079",\ + "4.045168, 4.045168, 4.153486, 4.261803, 4.478438",\ + "3.968528, 3.968528, 4.076846, 4.185163, 4.401798",\ + "3.866137, 3.866137, 3.974454, 4.082771, 4.299406",\ + "3.895798, 3.895798, 4.004115, 4.112432, 4.329067"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.344498, 3.344498, 3.452815, 3.561133, 3.777768",\ + "2.975651, 2.975651, 3.083968, 3.192286, 3.408921",\ + "2.607092, 2.607092, 2.715410, 2.823728, 3.040363",\ + "2.114698, 2.114698, 2.223015, 2.331334, 2.547968",\ + "1.925000, 1.925000, 2.033318, 2.141636, 2.358271"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.782645, 2.413768, 2.045213, 1.552824, 1.363126",\ + "3.431608, 3.062731, 2.694176, 2.201787, 2.012089",\ + "4.080573, 3.711696, 3.343141, 2.850752, 2.661054",\ + "4.947587, 4.578710, 4.210155, 3.717766, 3.528068",\ + "5.278673, 4.909796, 4.541241, 4.048852, 3.859154"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.971620, 4.602743, 4.234188, 3.741799, 3.552100",\ + "5.447160, 5.078283, 4.709728, 4.217339, 4.027640",\ + "5.922702, 5.553825, 5.185270, 4.692881, 4.503182",\ + "6.604484, 6.235607, 5.867052, 5.374663, 5.184964",\ + "6.899438, 6.530561, 6.162006, 5.669617, 5.479918"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.405054, -0.929514, -0.453975, 0.227779, 0.522707",\ + "-1.481695, -1.006155, -0.530615, 0.151138, 0.446066",\ + "-1.558335, -1.082795, -0.607255, 0.074498, 0.369426",\ + "-1.660727, -1.185187, -0.709647, -0.027894, 0.267035",\ + "-1.631065, -1.155526, -0.679986, 0.001768, 0.296696"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.722353, -3.246814, -2.771274, -2.089520, -1.794592",\ + "-4.091200, -3.615661, -3.140121, -2.458367, -2.163439",\ + "-4.459758, -3.984219, -3.508679, -2.826925, -2.531997",\ + "-4.952153, -4.476613, -4.001073, -3.319320, -3.024392",\ + "-5.141851, -4.666311, -4.190772, -3.509018, -3.214090"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.890959"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.475694"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.703202, 14.703202, 14.486567, 14.414356, 14.269933",\ + "15.352160, 15.352160, 15.135526, 15.063314, 14.918891",\ + "16.001118, 16.001118, 15.784484, 15.712273, 15.567849",\ + "16.868126, 16.868126, 16.651489, 16.579279, 16.434856",\ + "17.198837, 17.198837, 16.982201, 16.909990, 16.765568"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.531795, 15.531795, 15.315160, 15.242949, 15.098525",\ + "16.007381, 16.007381, 15.790746, 15.718534, 15.574111",\ + "16.482967, 16.482967, 16.266331, 16.194120, 16.049698",\ + "17.163723, 17.163723, 16.947086, 16.874876, 16.730453",\ + "17.457733, 17.457733, 17.241096, 17.168886, 17.024464"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.498427, 3.498427, 3.606745, 3.715062, 3.931697",\ + "3.437766, 3.437766, 3.546083, 3.654401, 3.871036",\ + "3.345548, 3.345548, 3.453865, 3.562182, 3.778817",\ + "3.243526, 3.243526, 3.351843, 3.460160, 3.676795",\ + "3.273155, 3.273155, 3.381473, 3.489790, 3.706425"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.784827, 1.784827, 1.893144, 2.001462, 2.218096",\ + "1.492850, 1.492850, 1.601167, 1.709485, 1.926119",\ + "1.048986, 1.048986, 1.157304, 1.265621, 1.482256",\ + "0.557935, 0.557935, 0.666252, 0.774570, 0.991204",\ + "0.368274, 0.368274, 0.476591, 0.584908, 0.801543"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.575869, 7.499228, 7.422587, 7.320194, 7.349856",\ + "8.224827, 8.148186, 8.071545, 7.969152, 7.998814",\ + "8.873785, 8.797144, 8.720503, 8.618111, 8.647772",\ + "9.740793, 9.664152, 9.587511, 9.485119, 9.514780",\ + "10.071505, 9.994864, 9.918222, 9.815830, 9.845491"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.431208, 8.354567, 8.277925, 8.175532, 8.205194",\ + "8.906796, 8.830154, 8.753513, 8.651120, 8.680782",\ + "9.382381, 9.305740, 9.229099, 9.126706, 9.156368",\ + "10.063135, 9.986494, 9.909853, 9.807461, 9.837122",\ + "10.357145, 10.280504, 10.203863, 10.101471, 10.131132"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "11.678067, 11.309191, 10.940636, 10.448246, 10.258548",\ + "12.327025, 11.958149, 11.589594, 11.097204, 10.907506",\ + "12.975986, 12.607109, 12.238554, 11.746164, 11.556466",\ + "13.842992, 13.474115, 13.105560, 12.613171, 12.423472",\ + "14.173703, 13.804827, 13.436272, 12.943882, 12.754184"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "11.691537, 11.322660, 10.954105, 10.461716, 10.272017",\ + "12.167125, 11.798248, 11.429693, 10.937304, 10.747605",\ + "12.642711, 12.273834, 11.905279, 11.412889, 11.223191",\ + "13.323466, 12.954590, 12.586035, 12.093645, 11.903947",\ + "13.617476, 13.248600, 12.880045, 12.387655, 12.197957"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.176270, 1.825233, 2.474195, 3.341208, 3.672291",\ + "1.115608, 1.764571, 2.413533, 3.280547, 3.611629",\ + "1.023390, 1.672352, 2.321315, 3.188328, 3.519411",\ + "0.921368, 1.570330, 2.219293, 3.086306, 3.417388",\ + "0.950998, 1.599960, 2.248923, 3.115936, 3.447019"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.994667, 1.643630, 2.292592, 3.159606, 3.490688",\ + "0.702691, 1.351653, 2.000616, 2.867629, 3.198711",\ + "0.258827, 0.907789, 1.556752, 2.423765, 2.754848",\ + "-0.232226, 0.416737, 1.065699, 1.932713, 2.263795",\ + "-0.421886, 0.227077, 0.876039, 1.743052, 2.074135"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.122920, -0.647380, -0.171840, 0.509913, 0.804842",\ + "-1.183581, -0.708041, -0.232502, 0.449252, 0.744180",\ + "-1.275799, -0.800260, -0.324720, 0.357034, 0.651962",\ + "-1.377821, -0.902282, -0.426742, 0.255012, 0.549940",\ + "-1.348192, -0.872652, -0.397112, 0.284641, 0.579569"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.225791, -2.750252, -2.274712, -1.592958, -1.298030",\ + "-3.517767, -3.042228, -2.566688, -1.884934, -1.590006",\ + "-3.961631, -3.486092, -3.010552, -2.328798, -2.033870",\ + "-4.452681, -3.977141, -3.501602, -2.819848, -2.524920",\ + "-4.642342, -4.166802, -3.691263, -3.009509, -2.714581"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "29.055191, 29.464758, 29.748960, 29.779856, 29.882088",\ + "29.055191, 29.464758, 29.748960, 29.779856, 29.882088",\ + "29.163509, 29.573076, 29.857279, 29.888174, 29.990406",\ + "29.271824, 29.681393, 29.965595, 29.996490, 30.098722",\ + "29.488461, 29.898027, 30.182230, 30.213125, 30.315357"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "30.290878, 30.770487, 31.092001, 31.126953, 31.242607",\ + "30.290878, 30.770487, 31.092001, 31.126953, 31.242607",\ + "30.399197, 30.878805, 31.200319, 31.235271, 31.350925",\ + "30.507515, 30.987122, 31.308636, 31.343588, 31.459242",\ + "30.724148, 31.203756, 31.525270, 31.560223, 31.675877"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "28.942028, 29.346388, 29.564259, 29.587944, 29.666315",\ + "28.942028, 29.346388, 29.564259, 29.587944, 29.666315",\ + "29.158661, 29.563023, 29.780895, 29.804579, 29.882950",\ + "29.230873, 29.635235, 29.853107, 29.876791, 29.955162",\ + "29.375298, 29.779657, 29.997528, 30.021214, 30.099585"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "30.148901, 30.389896, 30.520445, 30.534637, 30.581598",\ + "30.148901, 30.389896, 30.520445, 30.534637, 30.581598",\ + "30.365536, 30.606529, 30.737080, 30.751272, 30.798233",\ + "30.437748, 30.678741, 30.809292, 30.823484, 30.870445",\ + "30.582170, 30.823166, 30.953714, 30.967907, 31.014868"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.611820, 14.611820, 14.395185, 14.322974, 14.178551",\ + "15.260777, 15.260777, 15.044142, 14.971931, 14.827507",\ + "15.909735, 15.909735, 15.693100, 15.620889, 15.476465",\ + "16.776743, 16.776743, 16.560108, 16.487896, 16.343473",\ + "17.107441, 17.107441, 16.890806, 16.818596, 16.674171"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.069450, 15.069450, 14.852816, 14.780604, 14.636181",\ + "15.545063, 15.545063, 15.328428, 15.256217, 15.111794",\ + "16.020676, 16.020676, 15.804041, 15.731830, 15.587406",\ + "16.701019, 16.701019, 16.484385, 16.412174, 16.267750",\ + "16.995029, 16.995029, 16.778395, 16.706184, 16.561760"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "4.098861, 4.098861, 4.207178, 4.315495, 4.532130",\ + "4.022294, 4.022294, 4.130611, 4.238928, 4.455563",\ + "3.945726, 3.945726, 4.054044, 4.162361, 4.378996",\ + "3.843433, 3.843433, 3.951750, 4.060068, 4.276702",\ + "3.873044, 3.873044, 3.981362, 4.089679, 4.306314"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.354961, 1.354961, 1.463279, 1.571596, 1.788231",\ + "0.984391, 0.984391, 1.092709, 1.201026, 1.417661",\ + "0.615671, 0.615671, 0.723989, 0.832306, 1.048941",\ + "0.123062, 0.123062, 0.231380, 0.339697, 0.556332",\ + "-0.066577, -0.066577, 0.041740, 0.150058, 0.366693"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "45.165867, 45.575436, 45.859638, 45.890533, 45.992764",\ + "45.266388, 45.675957, 45.960159, 45.991055, 46.093285",\ + "45.403839, 45.813408, 46.097610, 46.128506, 46.230736",\ + "45.646042, 46.055611, 46.339813, 46.370708, 46.472939",\ + "46.036850, 46.446419, 46.730621, 46.761517, 46.863747"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "39.928764, 40.408371, 40.729885, 40.764839, 40.880489",\ + "40.029285, 40.508892, 40.830406, 40.865360, 40.981010",\ + "40.166737, 40.646343, 40.967857, 41.002811, 41.118462",\ + "40.408939, 40.888546, 41.210060, 41.245014, 41.360664",\ + "40.799747, 41.279354, 41.600868, 41.635822, 41.751472"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "9.097862, 9.502223, 9.720095, 9.743778, 9.822150",\ + "9.198383, 9.602745, 9.820616, 9.844300, 9.922672",\ + "9.335834, 9.740194, 9.958066, 9.981750, 10.060122",\ + "9.578035, 9.982397, 10.200268, 10.223953, 10.302324",\ + "9.968845, 10.373206, 10.591078, 10.614761, 10.693133"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.030187, 10.271181, 10.401731, 10.415923, 10.462883",\ + "10.130709, 10.371702, 10.502253, 10.516445, 10.563405",\ + "10.268158, 10.509153, 10.639703, 10.653894, 10.700855",\ + "10.510361, 10.751355, 10.881905, 10.896097, 10.943058",\ + "10.901170, 11.142164, 11.272715, 11.286906, 11.333866"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.688573, 14.098141, 14.382343, 14.413239, 14.515471",\ + "14.337539, 14.747107, 15.031309, 15.062204, 15.164436",\ + "14.986505, 15.396072, 15.680275, 15.711170, 15.813402",\ + "15.853522, 16.263090, 16.547293, 16.578188, 16.680420",\ + "16.184258, 16.593824, 16.878027, 16.908922, 17.011154"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.472640, 15.952248, 16.273762, 16.308714, 16.424368",\ + "15.948187, 16.427795, 16.749310, 16.784262, 16.899914",\ + "16.423733, 16.903339, 17.224855, 17.259808, 17.375460",\ + "17.105658, 17.585266, 17.906780, 17.941732, 18.057384",\ + "17.399662, 17.879269, 18.200785, 18.235737, 18.351389"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.219920, 12.624281, 12.842153, 12.865837, 12.944208",\ + "12.296557, 12.700918, 12.918790, 12.942474, 13.020845",\ + "12.373196, 12.777557, 12.995428, 13.019113, 13.097485",\ + "12.445926, 12.850286, 13.068158, 13.091843, 13.170214",\ + "12.445926, 12.850286, 13.068158, 13.091843, 13.170214"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.948943, 14.189938, 14.320488, 14.334680, 14.381639",\ + "14.317641, 14.558636, 14.689186, 14.703378, 14.750338",\ + "14.686219, 14.927214, 15.057764, 15.071956, 15.118916",\ + "15.178637, 15.419631, 15.550180, 15.564373, 15.611334",\ + "15.368330, 15.609324, 15.739874, 15.754066, 15.801027"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "14.292657, 14.702225, 14.986427, 15.017323, 15.119555",\ + "14.768195, 15.177763, 15.461966, 15.492861, 15.595093",\ + "15.243735, 15.653303, 15.937506, 15.968401, 16.070633",\ + "15.925488, 16.335056, 16.619259, 16.650154, 16.752386",\ + "16.220417, 16.629986, 16.914188, 16.945084, 17.047316"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.524494, 17.004101, 17.325617, 17.360569, 17.476221",\ + "17.000034, 17.479641, 17.801155, 17.836107, 17.951761",\ + "17.475571, 17.955179, 18.276693, 18.311646, 18.427299",\ + "18.157326, 18.636934, 18.958448, 18.993401, 19.109055",\ + "18.452255, 18.931862, 19.253376, 19.288328, 19.403982"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.293961, 12.698321, 12.916193, 12.939877, 13.018249",\ + "12.662837, 13.067198, 13.285069, 13.308754, 13.387125",\ + "13.031392, 13.435753, 13.653625, 13.677309, 13.755680",\ + "13.523780, 13.928141, 14.146012, 14.169697, 14.248068",\ + "13.713479, 14.117840, 14.335711, 14.359396, 14.437767"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.360486, 13.601480, 13.732031, 13.746222, 13.793182",\ + "13.729362, 13.970357, 14.100906, 14.115099, 14.162060",\ + "14.097919, 14.338913, 14.469463, 14.483655, 14.530615",\ + "14.590307, 14.831302, 14.961851, 14.976044, 15.023005",\ + "14.780005, 15.020999, 15.151548, 15.165741, 15.212702"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "19.433952, 19.843521, 20.127724, 20.158619, 20.260851",\ + "20.082916, 20.492485, 20.776688, 20.807583, 20.909815",\ + "20.731876, 21.141445, 21.425648, 21.456543, 21.558775",\ + "21.598892, 22.008461, 22.292664, 22.323559, 22.425791",\ + "21.929974, 22.339542, 22.623745, 22.654640, 22.756872"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352",\ + "2.171267, 2.946524, 3.483036, 3.541361, 3.734352"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "21.966854, 22.446461, 22.767975, 22.802927, 22.918581",\ + "22.615818, 23.095425, 23.416939, 23.451891, 23.567545",\ + "23.264778, 23.744385, 24.065899, 24.100851, 24.216505",\ + "24.131790, 24.611397, 24.932911, 24.967863, 25.083517",\ + "24.462872, 24.942480, 25.263994, 25.298946, 25.414600"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551",\ + "2.316000, 3.166845, 3.746177, 3.809157, 4.017551"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.611090, 13.015451, 13.233322, 13.257007, 13.335379",\ + "12.687731, 13.092093, 13.309963, 13.333648, 13.412020",\ + "12.764372, 13.168734, 13.386604, 13.410289, 13.488661",\ + "12.837103, 13.241465, 13.459335, 13.483020, 13.561392",\ + "12.837103, 13.241465, 13.459335, 13.483020, 13.561392"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139",\ + "1.869098, 2.019312, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.846781, 14.087775, 14.218325, 14.232517, 14.279478",\ + "13.923422, 14.164416, 14.294966, 14.309158, 14.356119",\ + "14.000063, 14.241057, 14.371607, 14.385799, 14.432760",\ + "14.072794, 14.313788, 14.444338, 14.458530, 14.505491",\ + "14.072794, 14.313788, 14.444338, 14.458530, 14.505491"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871",\ + "1.322952, 1.412946, 1.461927, 1.467252, 1.484871"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.867054, 1.498178, 1.129622, 0.637234, 0.447535",\ + "2.516012, 2.147135, 1.778580, 1.286191, 1.096492",\ + "3.164969, 2.796093, 2.427537, 1.935149, 1.745450",\ + "4.031977, 3.663100, 3.294545, 2.802156, 2.612457",\ + "4.362674, 3.993797, 3.625242, 3.132853, 2.943154"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.265301, 4.896424, 4.527869, 4.035480, 3.845781",\ + "5.740913, 5.372036, 5.003481, 4.511093, 4.321394",\ + "6.216526, 5.847649, 5.479094, 4.986705, 4.797007",\ + "6.896869, 6.527992, 6.159437, 5.667048, 5.477349",\ + "7.190879, 6.822002, 6.453447, 5.961058, 5.771359"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.575535, -0.099996, 0.375544, 1.057298, 1.352226",\ + "-0.652103, -0.176563, 0.298976, 0.980730, 1.275658",\ + "-0.728670, -0.253130, 0.222410, 0.904163, 1.199091",\ + "-0.830964, -0.355424, 0.120116, 0.801869, 1.096797",\ + "-0.801352, -0.325812, 0.149727, 0.831481, 1.126409"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.123686, -3.648147, -3.172607, -2.490853, -2.195925",\ + "-4.494257, -4.018718, -3.543178, -2.861424, -2.566496",\ + "-4.862978, -4.387438, -3.911898, -3.230145, -2.935216",\ + "-5.355587, -4.880047, -4.404507, -3.722754, -3.427825",\ + "-5.545226, -5.069686, -4.594146, -3.912393, -3.617465"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.419413, 14.419413, 14.202778, 14.130567, 13.986143",\ + "15.068369, 15.068369, 14.851734, 14.779523, 14.635099",\ + "15.717327, 15.717327, 15.500692, 15.428481, 15.284058",\ + "16.584333, 16.584333, 16.367699, 16.295486, 16.151064",\ + "16.915014, 16.915014, 16.698380, 16.626167, 16.481745"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.987104, 14.987104, 14.770470, 14.698258, 14.553835",\ + "15.462751, 15.462751, 15.246117, 15.173905, 15.029482",\ + "15.938398, 15.938398, 15.721764, 15.649552, 15.505129",\ + "16.618216, 16.618216, 16.401581, 16.329369, 16.184946",\ + "16.912226, 16.912226, 16.695591, 16.623379, 16.478956"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "4.436288, 4.436288, 4.544606, 4.652923, 4.869558",\ + "4.359755, 4.359755, 4.468072, 4.576390, 4.793025",\ + "4.283221, 4.283221, 4.391539, 4.499856, 4.716491",\ + "4.180972, 4.180972, 4.289289, 4.397607, 4.614242",\ + "4.210561, 4.210561, 4.318879, 4.427196, 4.643831"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.796106, 3.796106, 3.904424, 4.012742, 4.229377",\ + "3.424896, 3.424896, 3.533214, 3.641532, 3.858167",\ + "3.056099, 3.056099, 3.164416, 3.272735, 3.489369",\ + "2.563384, 2.563384, 2.671701, 2.780020, 2.996655",\ + "2.373773, 2.373773, 2.482090, 2.590408, 2.807043"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.593186, 1.224309, 0.855754, 0.363366, 0.173667",\ + "2.242143, 1.873266, 1.504711, 1.012322, 0.822624",\ + "2.891100, 2.522223, 2.153668, 1.661280, 1.471581",\ + "3.758108, 3.389231, 3.020676, 2.528287, 2.338588",\ + "4.088788, 3.719911, 3.351356, 2.858967, 2.669269"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.784741, 3.415864, 3.047309, 2.554921, 2.365222",\ + "4.260388, 3.891511, 3.522956, 3.030568, 2.840869",\ + "4.736036, 4.367159, 3.998604, 3.506216, 3.316517",\ + "5.415855, 5.046978, 4.678423, 4.186035, 3.996336",\ + "5.709867, 5.340990, 4.972435, 4.480046, 4.290347"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.204823, 0.270716, 0.746256, 1.428010, 1.722938",\ + "-0.281357, 0.194183, 0.669723, 1.351476, 1.646404",\ + "-0.357891, 0.117649, 0.593189, 1.274942, 1.569870",\ + "-0.460140, 0.015400, 0.490940, 1.172693, 1.467621",\ + "-0.430551, 0.044989, 0.520529, 1.202282, 1.497211"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.474633, -1.999094, -1.523554, -0.841800, -0.546872",\ + "-2.845844, -2.370305, -1.894765, -1.213011, -0.918083",\ + "-3.214643, -2.739103, -2.263563, -1.581810, -1.286881",\ + "-3.707357, -3.231817, -2.756278, -2.074524, -1.779596",\ + "-3.896968, -3.421429, -2.945889, -2.264135, -1.969207"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "1.262132"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.099301"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.991811 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "17.754343, 18.144907, 18.362789, 18.386477, 18.464851",\ + "17.754343, 18.144907, 18.362789, 18.386477, 18.464851",\ + "17.862661, 18.253225, 18.471107, 18.494795, 18.573170",\ + "17.970978, 18.361542, 18.579426, 18.603111, 18.681486",\ + "18.187613, 18.578176, 18.796059, 18.819746, 18.898121"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869132, 2.019267, 2.088649, 2.096192, 2.121149",\ + "1.869132, 2.019267, 2.088649, 2.096192, 2.121149",\ + "1.869132, 2.019267, 2.088649, 2.096192, 2.121149",\ + "1.869132, 2.019267, 2.088649, 2.096192, 2.121149",\ + "1.869132, 2.019267, 2.088649, 2.096192, 2.121149"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "19.691175, 19.932243, 20.062771, 20.076960, 20.123913",\ + "19.691175, 19.932243, 20.062771, 20.076960, 20.123913",\ + "19.799494, 20.040562, 20.171087, 20.185276, 20.232229",\ + "19.907810, 20.148878, 20.279404, 20.293594, 20.340546",\ + "20.124445, 20.365513, 20.496038, 20.510227, 20.557180"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322864, 1.413113, 1.462001, 1.467316, 1.484902",\ + "1.322864, 1.413113, 1.462001, 1.467316, 1.484902",\ + "1.322864, 1.413113, 1.462001, 1.467316, 1.484902",\ + "1.322864, 1.413113, 1.462001, 1.467316, 1.484902",\ + "1.322864, 1.413113, 1.462001, 1.467316, 1.484902"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "17.254541, 17.645105, 17.862988, 17.886675, 17.965050",\ + "17.254541, 17.645105, 17.862988, 17.886675, 17.965050",\ + "17.471176, 17.861740, 18.079624, 18.103310, 18.181684",\ + "17.543388, 17.933952, 18.151836, 18.175522, 18.253897",\ + "17.687811, 18.078375, 18.296257, 18.319944, 18.398319"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869132, 2.019267, 2.088649, 2.096192, 2.121149",\ + "1.869132, 2.019267, 2.088649, 2.096192, 2.121149",\ + "1.869132, 2.019267, 2.088649, 2.096192, 2.121149",\ + "1.869132, 2.019267, 2.088649, 2.096192, 2.121149",\ + "1.869132, 2.019267, 2.088649, 2.096192, 2.121149"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "18.690361, 18.931429, 19.061954, 19.076145, 19.123096",\ + "18.690361, 18.931429, 19.061954, 19.076145, 19.123096",\ + "18.906996, 19.148064, 19.278591, 19.292780, 19.339731",\ + "18.979208, 19.220276, 19.350801, 19.364990, 19.411943",\ + "19.123631, 19.364698, 19.495224, 19.509415, 19.556366"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322864, 1.413113, 1.462001, 1.467316, 1.484902",\ + "1.322864, 1.413113, 1.462001, 1.467316, 1.484902",\ + "1.322864, 1.413113, 1.462001, 1.467316, 1.484902",\ + "1.322864, 1.413113, 1.462001, 1.467316, 1.484902",\ + "1.322864, 1.413113, 1.462001, 1.467316, 1.484902"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.365328, 13.755892, 13.973774, 13.997459, 14.075835",\ + "14.014290, 14.404854, 14.622737, 14.646423, 14.724798",\ + "14.663254, 15.053818, 15.271700, 15.295385, 15.373761",\ + "15.530266, 15.920830, 16.138714, 16.162399, 16.240774",\ + "15.861349, 16.251913, 16.469795, 16.493481, 16.571857"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.064011, 2.137868, 2.145897, 2.172465",\ + "1.903822, 2.064011, 2.137868, 2.145897, 2.172465",\ + "1.903822, 2.064011, 2.137868, 2.145897, 2.172465",\ + "1.903822, 2.064011, 2.137868, 2.145897, 2.172465",\ + "1.903822, 2.064011, 2.137868, 2.145897, 2.172465"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.778214, 14.019281, 14.149808, 14.163998, 14.210950",\ + "14.427176, 14.668243, 14.798770, 14.812960, 14.859912",\ + "15.076138, 15.317205, 15.447732, 15.461922, 15.508874",\ + "15.943151, 16.184219, 16.314745, 16.328934, 16.375887",\ + "16.274233, 16.515301, 16.645828, 16.660017, 16.706970"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403244, 1.504030, 1.553025, 1.558351, 1.575976",\ + "1.403244, 1.504030, 1.553025, 1.558351, 1.575976",\ + "1.403244, 1.504030, 1.553025, 1.558351, 1.575976",\ + "1.403244, 1.504030, 1.553025, 1.558351, 1.575976",\ + "1.403244, 1.504030, 1.553025, 1.558351, 1.575976"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.239580, 11.239874, 11.239990, 11.240003, 11.240045",\ + "11.316221, 11.316515, 11.316631, 11.316644, 11.316686",\ + "11.392862, 11.393156, 11.393272, 11.393285, 11.393327",\ + "11.465593, 11.465887, 11.466003, 11.466016, 11.466058",\ + "11.465593, 11.465887, 11.466003, 11.466016, 11.466058"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.112997, 11.119061, 11.119970, 11.120070, 11.120397",\ + "11.189638, 11.195702, 11.196611, 11.196711, 11.197038",\ + "11.266279, 11.272343, 11.273252, 11.273352, 11.273679",\ + "11.339010, 11.345074, 11.345984, 11.346083, 11.346410",\ + "11.339010, 11.345074, 11.345984, 11.346083, 11.346410"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.968840, 16.359386, 16.577271, 16.600956, 16.679333",\ + "15.968840, 16.359386, 16.577271, 16.600956, 16.679333",\ + "16.077156, 16.467703, 16.685587, 16.709274, 16.787649",\ + "16.185474, 16.576021, 16.793905, 16.817593, 16.895967",\ + "16.402109, 16.792656, 17.010540, 17.034225, 17.112602"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.532501, 16.773582, 16.904104, 16.918293, 16.965244",\ + "16.532501, 16.773582, 16.904104, 16.918293, 16.965244",\ + "16.640820, 16.881899, 17.012421, 17.026611, 17.073561",\ + "16.749136, 16.990215, 17.120739, 17.134928, 17.181879",\ + "16.965771, 17.206852, 17.337374, 17.351562, 17.398514"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.542391, 15.932936, 16.150822, 16.174507, 16.252884",\ + "15.542391, 15.932936, 16.150822, 16.174507, 16.252884",\ + "15.759026, 16.149570, 16.367455, 16.391142, 16.469519",\ + "15.831238, 16.221783, 16.439667, 16.463354, 16.541731",\ + "15.975660, 16.366205, 16.584091, 16.607777, 16.686153"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.269566, 16.510645, 16.641167, 16.655355, 16.702307",\ + "16.269566, 16.510645, 16.641167, 16.655355, 16.702307",\ + "16.486198, 16.727280, 16.857801, 16.871990, 16.918941",\ + "16.558411, 16.799490, 16.930012, 16.944202, 16.991154",\ + "16.702833, 16.943913, 17.074436, 17.088625, 17.135574"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.050648, 13.441195, 13.659079, 13.682765, 13.761141",\ + "13.699612, 14.090157, 14.308042, 14.331728, 14.410105",\ + "14.348574, 14.739119, 14.957004, 14.980690, 15.059067",\ + "15.215588, 15.606133, 15.824018, 15.847704, 15.926081",\ + "15.546669, 15.937214, 16.155098, 16.178785, 16.257162"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.064009, 2.137867, 2.145897, 2.172464",\ + "1.903822, 2.064009, 2.137867, 2.145897, 2.172464",\ + "1.903822, 2.064009, 2.137867, 2.145897, 2.172464",\ + "1.903822, 2.064009, 2.137867, 2.145897, 2.172464",\ + "1.903822, 2.064009, 2.137867, 2.145897, 2.172464"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.658758, 13.899837, 14.030359, 14.044549, 14.091499",\ + "14.307720, 14.548800, 14.679321, 14.693511, 14.740461",\ + "14.956682, 15.197762, 15.328283, 15.342473, 15.389423",\ + "15.823696, 16.064775, 16.195297, 16.209488, 16.256437",\ + "16.154778, 16.395857, 16.526379, 16.540569, 16.587519"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403256, 1.504030, 1.553025, 1.558351, 1.575976",\ + "1.403256, 1.504030, 1.553025, 1.558351, 1.575976",\ + "1.403256, 1.504030, 1.553025, 1.558351, 1.575976",\ + "1.403256, 1.504030, 1.553025, 1.558351, 1.575976",\ + "1.403256, 1.504030, 1.553025, 1.558351, 1.575976"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.875717, 10.876007, 10.876122, 10.876134, 10.876175",\ + "10.952358, 10.952648, 10.952763, 10.952775, 10.952816",\ + "11.028999, 11.029289, 11.029404, 11.029416, 11.029457",\ + "11.101730, 11.102020, 11.102135, 11.102147, 11.102188",\ + "11.101730, 11.102020, 11.102135, 11.102147, 11.102188"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.749017, 10.755135, 10.756048, 10.756147, 10.756476",\ + "10.825658, 10.831776, 10.832689, 10.832788, 10.833117",\ + "10.902299, 10.908417, 10.909330, 10.909430, 10.909759",\ + "10.975030, 10.981148, 10.982061, 10.982161, 10.982490",\ + "10.975030, 10.981148, 10.982061, 10.982161, 10.982490"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.484372, 11.874918, 12.092802, 12.116489, 12.194865",\ + "12.133335, 12.523880, 12.741765, 12.765451, 12.843828",\ + "12.782297, 13.172842, 13.390727, 13.414413, 13.492790",\ + "13.649311, 14.039856, 14.257741, 14.281427, 14.359804",\ + "13.980392, 14.370937, 14.588822, 14.612509, 14.690886"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.009722, 12.250801, 12.381324, 12.395514, 12.442464",\ + "12.485262, 12.726341, 12.856863, 12.871053, 12.918003",\ + "12.960801, 13.201881, 13.332403, 13.346592, 13.393543",\ + "13.642555, 13.883635, 14.014156, 14.028346, 14.075296",\ + "13.937483, 14.178563, 14.309086, 14.323275, 14.370226"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.469751, 10.860296, 11.078181, 11.101868, 11.180244",\ + "10.546392, 10.936937, 11.154822, 11.178509, 11.256886",\ + "10.623034, 11.013578, 11.231463, 11.255150, 11.333527",\ + "10.695765, 11.086309, 11.304194, 11.327881, 11.406258",\ + "10.695765, 11.086309, 11.304194, 11.327881, 11.406258"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151",\ + "1.869138, 2.019259, 2.088648, 2.096191, 2.121151"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.425193, 11.666273, 11.796795, 11.810984, 11.857935",\ + "11.794069, 12.035150, 12.165672, 12.179861, 12.226812",\ + "12.162624, 12.403705, 12.534227, 12.548416, 12.595367",\ + "12.655014, 12.896093, 13.026615, 13.040805, 13.087755",\ + "12.844712, 13.085793, 13.216314, 13.230503, 13.277454"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908",\ + "1.322849, 1.413141, 1.462014, 1.467327, 1.484908"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.771811, 16.162361, 16.380245, 16.403931, 16.482307",\ + "15.771811, 16.162361, 16.380245, 16.403931, 16.482307",\ + "15.880129, 16.270679, 16.488564, 16.512249, 16.590626",\ + "15.988445, 16.378996, 16.596880, 16.620567, 16.698942",\ + "16.205080, 16.595631, 16.813515, 16.837200, 16.915577"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.063982, 2.137853, 2.145884, 2.172457",\ + "1.903822, 2.063982, 2.137853, 2.145884, 2.172457",\ + "1.903822, 2.063982, 2.137853, 2.145884, 2.172457",\ + "1.903822, 2.063982, 2.137853, 2.145884, 2.172457",\ + "1.903822, 2.063982, 2.137853, 2.145884, 2.172457"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.331120, 16.572197, 16.702719, 16.716908, 16.763859",\ + "16.331120, 16.572197, 16.702719, 16.716908, 16.763859",\ + "16.439434, 16.680511, 16.811035, 16.825226, 16.872175",\ + "16.547752, 16.788830, 16.919353, 16.933544, 16.980494",\ + "16.764389, 17.005466, 17.135988, 17.150177, 17.197128"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403408, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403408, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403408, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403408, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403408, 1.504027, 1.553024, 1.558350, 1.575975"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "14.389338, 14.389586, 14.389685, 14.389695, 14.389730",\ + "14.389338, 14.389586, 14.389685, 14.389695, 14.389730",\ + "14.605974, 14.606222, 14.606320, 14.606331, 14.606367",\ + "14.678185, 14.678432, 14.678531, 14.678541, 14.678577",\ + "14.822608, 14.822856, 14.822954, 14.822965, 14.823000"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "14.261381, 14.268086, 14.269044, 14.269148, 14.269492",\ + "14.261381, 14.268086, 14.269044, 14.269148, 14.269492",\ + "14.478017, 14.484722, 14.485680, 14.485784, 14.486128",\ + "14.550227, 14.556932, 14.557890, 14.557994, 14.558338",\ + "14.694651, 14.701356, 14.702313, 14.702417, 14.702762"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.386586, 10.777136, 10.995021, 11.018707, 11.097083",\ + "11.035543, 11.426094, 11.643978, 11.667665, 11.746040",\ + "11.684502, 12.075052, 12.292936, 12.316623, 12.394999",\ + "12.551510, 12.942060, 13.159945, 13.183630, 13.262007",\ + "12.882219, 13.272770, 13.490654, 13.514340, 13.592716"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869137, 2.019261, 2.088648, 2.096191, 2.121151",\ + "1.869137, 2.019261, 2.088648, 2.096191, 2.121151",\ + "1.869137, 2.019261, 2.088648, 2.096191, 2.121151",\ + "1.869137, 2.019261, 2.088648, 2.096191, 2.121151",\ + "1.869137, 2.019261, 2.088648, 2.096191, 2.121151"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.962610, 11.203688, 11.334210, 11.348400, 11.395350",\ + "11.438196, 11.679274, 11.809797, 11.823986, 11.870937",\ + "11.913782, 12.154860, 12.285383, 12.299572, 12.346523",\ + "12.594536, 12.835613, 12.966137, 12.980326, 13.027277",\ + "12.888546, 13.129623, 13.260147, 13.274336, 13.321287"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322853, 1.413134, 1.462011, 1.467325, 1.484906",\ + "1.322853, 1.413134, 1.462011, 1.467325, 1.484906",\ + "1.322853, 1.413134, 1.462011, 1.467325, 1.484906",\ + "1.322853, 1.413134, 1.462011, 1.467325, 1.484906",\ + "1.322853, 1.413134, 1.462011, 1.467325, 1.484906"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "9.707951, 10.098501, 10.316385, 10.340071, 10.418447",\ + "9.768612, 10.159163, 10.377047, 10.400733, 10.479109",\ + "9.860830, 10.251381, 10.469265, 10.492951, 10.571327",\ + "9.933223, 10.323772, 10.541657, 10.565344, 10.643720",\ + "9.933223, 10.323772, 10.541657, 10.565344, 10.643720"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869137, 2.019261, 2.088648, 2.096191, 2.121151",\ + "1.869137, 2.019261, 2.088648, 2.096191, 2.121151",\ + "1.869137, 2.019261, 2.088648, 2.096191, 2.121151",\ + "1.869137, 2.019261, 2.088648, 2.096191, 2.121151",\ + "1.869137, 2.019261, 2.088648, 2.096191, 2.121151"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.556318, 10.797396, 10.927919, 10.942108, 10.989059",\ + "10.848295, 11.089373, 11.219896, 11.234085, 11.281036",\ + "11.292160, 11.533237, 11.663760, 11.677950, 11.724900",\ + "11.783212, 12.024289, 12.154812, 12.169002, 12.215952",\ + "11.972872, 12.213949, 12.344473, 12.358662, 12.405613"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322853, 1.413134, 1.462011, 1.467325, 1.484906",\ + "1.322853, 1.413134, 1.462011, 1.467325, 1.484906",\ + "1.322853, 1.413134, 1.462011, 1.467325, 1.484906",\ + "1.322853, 1.413134, 1.462011, 1.467325, 1.484906",\ + "1.322853, 1.413134, 1.462011, 1.467325, 1.484906"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.683376, 13.073926, 13.291811, 13.315496, 13.393873",\ + "13.332336, 13.722887, 13.940771, 13.964458, 14.042833",\ + "13.981300, 14.371850, 14.589735, 14.613420, 14.691797",\ + "14.848312, 15.238862, 15.456747, 15.480433, 15.558809",\ + "15.179394, 15.569944, 15.787828, 15.811515, 15.889891"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.063982, 2.137853, 2.145884, 2.172457",\ + "1.903822, 2.063982, 2.137853, 2.145884, 2.172457",\ + "1.903822, 2.063982, 2.137853, 2.145884, 2.172457",\ + "1.903822, 2.063982, 2.137853, 2.145884, 2.172457",\ + "1.903822, 2.063982, 2.137853, 2.145884, 2.172457"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.283733, 13.524811, 13.655334, 13.669523, 13.716475",\ + "13.932696, 14.173774, 14.304296, 14.318486, 14.365437",\ + "14.581658, 14.822736, 14.953259, 14.967448, 15.014399",\ + "15.448672, 15.689750, 15.820272, 15.834462, 15.881413",\ + "15.779755, 16.020832, 16.151356, 16.165545, 16.212496"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403408, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403408, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403408, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403408, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403408, 1.504027, 1.553024, 1.558350, 1.575975"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.825113, 10.825362, 10.825460, 10.825471, 10.825506",\ + "10.901754, 10.902003, 10.902102, 10.902112, 10.902147",\ + "10.978395, 10.978644, 10.978743, 10.978753, 10.978788",\ + "11.051126, 11.051375, 11.051474, 11.051484, 11.051519",\ + "11.051126, 11.051375, 11.051474, 11.051484, 11.051519"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.697157, 10.703861, 10.704819, 10.704924, 10.705268",\ + "10.773798, 10.780502, 10.781460, 10.781565, 10.781909",\ + "10.850439, 10.857143, 10.858101, 10.858206, 10.858550",\ + "10.923170, 10.929874, 10.930832, 10.930937, 10.931281",\ + "10.923170, 10.929874, 10.930832, 10.930937, 10.931281"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "1.746320"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.991645"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/lib/ss/caravan.min.lib b/signoff/caravan/primetime/lib/ss/caravan.min.lib new file mode 100644 index 00000000..69286ab5 --- /dev/null +++ b/signoff/caravan/primetime/lib/ss/caravan.min.lib @@ -0,0 +1,4508 @@ + +library("caravan.min") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 05:03:11 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.6000 ; + nom_temperature : 100.0000 ; + operating_conditions( "sky130_fd_sc_hd__ss_1.60v_100C" ) { + process : 1.0000 ; + voltage : 1.6000 ; + temperature : 100.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__ss_1.60v_100C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.108945, 14.108945, 13.892314, 13.820104, 13.675683",\ + "14.757903, 14.757903, 14.541272, 14.469062, 14.324641",\ + "15.406859, 15.406859, 15.190228, 15.118018, 14.973598",\ + "16.273869, 16.273869, 16.057236, 15.985026, 15.840606",\ + "16.604591, 16.604591, 16.387959, 16.315750, 16.171329"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.839620, 14.839620, 14.622989, 14.550778, 14.406358",\ + "15.315183, 15.315183, 15.098552, 15.026341, 14.881921",\ + "15.790746, 15.790746, 15.574115, 15.501904, 15.357484",\ + "16.471870, 16.471870, 16.255241, 16.183029, 16.038609",\ + "16.765881, 16.765881, 16.549252, 16.477039, 16.332619"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.165193, 2.165193, 2.273508, 2.381823, 2.598454",\ + "2.088575, 2.088575, 2.196890, 2.305205, 2.521836",\ + "2.011958, 2.011958, 2.120273, 2.228588, 2.445219",\ + "1.909596, 1.909596, 2.017912, 2.126226, 2.342857",\ + "1.939243, 1.939243, 2.047558, 2.155873, 2.372504"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.796725, 0.796725, 0.905041, 1.013355, 1.229986",\ + "0.427114, 0.427114, 0.535430, 0.643744, 0.860375",\ + "0.058513, 0.058513, 0.166828, 0.275143, 0.491774",\ + "-0.433939, -0.433939, -0.325624, -0.217309, -0.000678",\ + "-0.623619, -0.623619, -0.515304, -0.406989, -0.190358"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.284047, 3.914703, 3.546135, 3.053728, 2.864036",\ + "4.933006, 4.563663, 4.195094, 3.702687, 3.512995",\ + "5.581964, 5.212621, 4.844052, 4.351645, 4.161953",\ + "6.448971, 6.079627, 5.711059, 5.218651, 5.028960",\ + "6.779695, 6.410351, 6.041782, 5.549375, 5.359684"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.534913, 6.165569, 5.797001, 5.304594, 5.114902",\ + "7.010475, 6.641131, 6.272563, 5.780156, 5.590464",\ + "7.486038, 7.116694, 6.748126, 6.255719, 6.066027",\ + "8.167166, 7.797822, 7.429254, 6.936846, 6.747155",\ + "8.461176, 8.091832, 7.723264, 7.230856, 7.041165"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.962764, -2.487216, -2.011668, -1.330322, -1.036312",\ + "-3.039382, -2.563834, -2.088285, -1.406940, -1.112929",\ + "-3.115999, -2.640451, -2.164903, -1.483557, -1.189547",\ + "-3.218360, -2.742813, -2.267264, -1.585918, -1.291908",\ + "-3.188714, -2.713166, -2.237617, -1.556272, -1.262261"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.133071, -4.657524, -4.181975, -3.500629, -3.206619",\ + "-5.502682, -5.027134, -4.551586, -3.870240, -3.576230",\ + "-5.871284, -5.395736, -4.920187, -4.238842, -3.944831",\ + "-6.363736, -5.888188, -5.412639, -4.731293, -4.437283",\ + "-6.553416, -6.077868, -5.602319, -4.920973, -4.626963"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.059946, 14.059946, 13.843315, 13.771105, 13.626684",\ + "14.708902, 14.708902, 14.492271, 14.420061, 14.275640",\ + "15.357861, 15.357861, 15.141230, 15.069019, 14.924599",\ + "16.224871, 16.224871, 16.008240, 15.936029, 15.791609",\ + "16.555595, 16.555595, 16.338964, 16.266754, 16.122334"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.435318, 14.435318, 14.218687, 14.146477, 14.002056",\ + "14.910873, 14.910873, 14.694242, 14.622032, 14.477612",\ + "15.386429, 15.386429, 15.169798, 15.097588, 14.953167",\ + "16.067665, 16.067665, 15.851034, 15.778824, 15.634403",\ + "16.361675, 16.361675, 16.145044, 16.072834, 15.928413"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.579110, 0.579110, 0.687426, 0.795740, 1.012371",\ + "0.502485, 0.502485, 0.610801, 0.719115, 0.935746",\ + "0.425862, 0.425862, 0.534178, 0.642492, 0.859123",\ + "0.323492, 0.323492, 0.431808, 0.540122, 0.756753",\ + "0.353144, 0.353144, 0.461459, 0.569774, 0.786405"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.459938, -1.459938, -1.351623, -1.243308, -1.026677",\ + "-1.829417, -1.829417, -1.721102, -1.612787, -1.396156",\ + "-2.198003, -2.198003, -2.089687, -1.981373, -1.764742",\ + "-2.690434, -2.690434, -2.582118, -2.473804, -2.257173",\ + "-2.880119, -2.880119, -2.771804, -2.663489, -2.446858"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.961992, 4.592649, 4.224080, 3.731673, 3.541981",\ + "5.610950, 5.241606, 4.873037, 4.380630, 4.190938",\ + "6.259908, 5.890564, 5.521996, 5.029588, 4.839897",\ + "7.126917, 6.757573, 6.389005, 5.896597, 5.706906",\ + "7.457643, 7.088299, 6.719730, 6.227323, 6.037632"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.405993, 7.036649, 6.668080, 6.175673, 5.985981",\ + "7.881549, 7.512205, 7.143637, 6.651229, 6.461538",\ + "8.357104, 7.987761, 7.619192, 7.126785, 6.937093",\ + "9.038341, 8.668997, 8.300428, 7.808021, 7.618330",\ + "9.332351, 8.963007, 8.594439, 8.102032, 7.912340"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.617459, -3.141911, -2.666362, -1.985016, -1.691006",\ + "-3.694083, -3.218535, -2.742986, -2.061640, -1.767630",\ + "-3.770707, -3.295159, -2.819610, -2.138264, -1.844254",\ + "-3.873077, -3.397529, -2.921980, -2.240634, -1.946624",\ + "-3.843425, -3.367877, -2.892329, -2.210983, -1.916973"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.253578, -5.778030, -5.302481, -4.621135, -4.327125",\ + "-6.623057, -6.147509, -5.671960, -4.990614, -4.696604",\ + "-6.991642, -6.516095, -6.040546, -5.359200, -5.065190",\ + "-7.484073, -7.008525, -6.532977, -5.851631, -5.557621",\ + "-7.673759, -7.198211, -6.722662, -6.041317, -5.747306"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.500847, 14.500847, 14.284216, 14.212006, 14.067585",\ + "15.149805, 15.149805, 14.933174, 14.860964, 14.716543",\ + "15.798763, 15.798763, 15.582132, 15.509922, 15.365501",\ + "16.665770, 16.665770, 16.449139, 16.376928, 16.232508",\ + "16.996487, 16.996487, 16.779856, 16.707645, 16.563225"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.261829, 15.261829, 15.045198, 14.972988, 14.828568",\ + "15.737400, 15.737400, 15.520769, 15.448559, 15.304138",\ + "16.212975, 16.212975, 15.996344, 15.924133, 15.779713",\ + "16.893936, 16.893936, 16.677305, 16.605095, 16.460674",\ + "17.187946, 17.187946, 16.971315, 16.899105, 16.754684"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.969600, 1.969600, 2.077916, 2.186230, 2.402861",\ + "1.892993, 1.892993, 2.001309, 2.109623, 2.326254",\ + "1.816388, 1.816388, 1.924703, 2.033018, 2.249649",\ + "1.714041, 1.714041, 1.822357, 1.930671, 2.147302",\ + "1.743680, 1.743680, 1.851996, 1.960310, 2.176941"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.717565, 0.717565, 0.825881, 0.934195, 1.150826",\ + "0.347748, 0.347748, 0.456063, 0.564378, 0.781009",\ + "-0.020879, -0.020879, 0.087437, 0.195751, 0.412382",\ + "-0.513364, -0.513364, -0.405048, -0.296734, -0.080103",\ + "-0.703035, -0.703035, -0.594720, -0.486405, -0.269774"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.394795, 4.025452, 3.656883, 3.164476, 2.974784",\ + "5.043753, 4.674409, 4.305840, 3.813433, 3.623742",\ + "5.692711, 5.323367, 4.954799, 4.462391, 4.272700",\ + "6.559719, 6.190375, 5.821807, 5.329400, 5.139708",\ + "6.890434, 6.521091, 6.152522, 5.660115, 5.470423"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.001008, 5.631664, 5.263096, 4.770689, 4.580997",\ + "6.476582, 6.107238, 5.738669, 5.246262, 5.056571",\ + "6.952155, 6.582811, 6.214243, 5.721836, 5.532144",\ + "7.633115, 7.263771, 6.895203, 6.402795, 6.213104",\ + "7.927125, 7.557781, 7.189213, 6.696805, 6.507114"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.075529, -2.599981, -2.124432, -1.443086, -1.149076",\ + "-3.152135, -2.676588, -2.201039, -1.519693, -1.225683",\ + "-3.228741, -2.753193, -2.277645, -1.596299, -1.302289",\ + "-3.331088, -2.855540, -2.379991, -1.698645, -1.404635",\ + "-3.301448, -2.825901, -2.350352, -1.669006, -1.374996"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.877602, -4.402054, -3.926506, -3.245160, -2.951149",\ + "-5.247419, -4.771871, -4.296322, -3.614976, -3.320966",\ + "-5.616046, -5.140499, -4.664950, -3.983604, -3.689594",\ + "-6.108531, -5.632984, -5.157435, -4.476089, -4.182079",\ + "-6.298203, -5.822655, -5.347106, -4.665761, -4.371750"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.397322, 14.397322, 14.180691, 14.108480, 13.964060",\ + "15.046280, 15.046280, 14.829649, 14.757439, 14.613018",\ + "15.695238, 15.695238, 15.478607, 15.406397, 15.261976",\ + "16.562248, 16.562248, 16.345617, 16.273407, 16.128986",\ + "16.892981, 16.892981, 16.676350, 16.604139, 16.459719"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.097141, 15.097141, 14.880510, 14.808300, 14.663879",\ + "15.572685, 15.572685, 15.356054, 15.283844, 15.139423",\ + "16.048225, 16.048225, 15.831594, 15.759384, 15.614964",\ + "16.729645, 16.729645, 16.513014, 16.440804, 16.296383",\ + "17.023651, 17.023651, 16.807020, 16.734810, 16.590389"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.050005, 1.050005, 1.158320, 1.266635, 1.483266",\ + "0.973370, 0.973370, 1.081685, 1.190000, 1.406631",\ + "0.896733, 0.896733, 1.005049, 1.113363, 1.329994",\ + "0.794349, 0.794349, 0.902664, 1.010979, 1.227610",\ + "0.824008, 0.824008, 0.932323, 1.040638, 1.257269"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.146823, 0.146823, 0.255138, 0.363453, 0.580084",\ + "-0.222437, -0.222437, -0.114121, -0.005807, 0.210824",\ + "-0.590995, -0.590995, -0.482679, -0.374365, -0.157734",\ + "-1.083388, -1.083388, -0.975073, -0.866758, -0.650127",\ + "-1.273082, -1.273082, -1.164766, -1.056452, -0.839821"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.624686, 4.255342, 3.886774, 3.394367, 3.204675",\ + "5.273644, 4.904301, 4.535732, 4.043325, 3.853633",\ + "5.922602, 5.553259, 5.184690, 4.692283, 4.502592",\ + "6.789610, 6.420267, 6.051699, 5.559291, 5.369600",\ + "7.120343, 6.750999, 6.382431, 5.890024, 5.700332"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.275756, 5.906413, 5.537845, 5.045437, 4.855746",\ + "6.751300, 6.381957, 6.013389, 5.520981, 5.331290",\ + "7.226842, 6.857499, 6.488931, 5.996523, 5.806832",\ + "7.908258, 7.538915, 7.170346, 6.677939, 6.488247",\ + "8.202269, 7.832925, 7.464356, 6.971949, 6.782258"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.168499, -2.692951, -2.217402, -1.536056, -1.242046",\ + "-3.245134, -2.769586, -2.294038, -1.612691, -1.318681",\ + "-3.321771, -2.846222, -2.370674, -1.689328, -1.395318",\ + "-3.424154, -2.948606, -2.473058, -1.791711, -1.497701",\ + "-3.394495, -2.918947, -2.443398, -1.762052, -1.468042"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.613572, -4.138024, -3.662476, -2.981129, -2.687119",\ + "-4.982832, -4.507284, -4.031735, -3.350389, -3.056379",\ + "-5.351390, -4.875842, -4.400293, -3.718947, -3.424937",\ + "-5.843783, -5.368235, -4.892687, -4.211340, -3.917330",\ + "-6.033477, -5.557929, -5.082380, -4.401034, -4.107024"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.196103, 14.196103, 13.979472, 13.907262, 13.762841",\ + "14.845090, 14.845090, 14.628459, 14.556249, 14.411828",\ + "15.494075, 15.494075, 15.277444, 15.205234, 15.060813",\ + "16.361122, 16.361122, 16.144493, 16.072281, 15.927861",\ + "16.691849, 16.691849, 16.475216, 16.403008, 16.258587"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.935410, 14.935410, 14.718780, 14.646569, 14.502149",\ + "15.410995, 15.410995, 15.194364, 15.122153, 14.977733",\ + "15.886579, 15.886579, 15.669948, 15.597737, 15.453317",\ + "16.569458, 16.569458, 16.352829, 16.280617, 16.136196",\ + "16.863453, 16.863453, 16.646820, 16.574612, 16.430191"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.189731, 1.189731, 1.298047, 1.406361, 1.622992",\ + "1.113110, 1.113110, 1.221426, 1.329740, 1.546371",\ + "1.036490, 1.036490, 1.144805, 1.253120, 1.469751",\ + "0.934124, 0.934124, 1.042440, 1.150754, 1.367385",\ + "0.963766, 0.963766, 1.072081, 1.180396, 1.397027"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.302561, -1.302561, -1.194245, -1.085931, -0.869300",\ + "-1.671258, -1.671258, -1.562943, -1.454628, -1.237997",\ + "-2.039956, -2.039956, -1.931641, -1.823326, -1.606695",\ + "-2.532536, -2.532536, -2.424220, -2.315906, -2.099275",\ + "-2.722198, -2.722198, -2.613883, -2.505569, -2.288938"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.532273, 4.162930, 3.794361, 3.301954, 3.112262",\ + "5.181260, 4.811916, 4.443348, 3.950941, 3.761249",\ + "5.830247, 5.460904, 5.092336, 4.599928, 4.410237",\ + "6.697295, 6.327951, 5.959383, 5.466976, 5.277284",\ + "7.028020, 6.658677, 6.290109, 5.797701, 5.608010"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.557369, 7.188025, 6.819457, 6.327050, 6.137358",\ + "8.032953, 7.663610, 7.295041, 6.802634, 6.612942",\ + "8.508535, 8.139193, 7.770624, 7.278217, 7.088525",\ + "9.191418, 8.822074, 8.453506, 7.961098, 7.771407",\ + "9.485411, 9.116067, 8.747499, 8.255091, 8.065399"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.082536, -2.606987, -2.131439, -1.450093, -1.156083",\ + "-3.159157, -2.683609, -2.208060, -1.526714, -1.232704",\ + "-3.235777, -2.760229, -2.284680, -1.603334, -1.309324",\ + "-3.338142, -2.862594, -2.387046, -1.705699, -1.411689",\ + "-3.308501, -2.832953, -2.357405, -1.676058, -1.382048"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.374509, -5.898961, -5.423412, -4.742066, -4.448056",\ + "-6.743206, -6.267658, -5.792109, -5.110763, -4.816753",\ + "-7.111904, -6.636356, -6.160808, -5.479461, -5.185451",\ + "-7.604484, -7.128935, -6.653387, -5.972041, -5.678030",\ + "-7.794147, -7.318598, -6.843050, -6.161704, -5.867693"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.387832, 14.387832, 14.171201, 14.098990, 13.954570",\ + "15.036799, 15.036799, 14.820168, 14.747958, 14.603538",\ + "15.685760, 15.685760, 15.469129, 15.396918, 15.252498",\ + "16.552776, 16.552776, 16.336143, 16.263935, 16.119514",\ + "16.883862, 16.883862, 16.667229, 16.595020, 16.450600"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.085303, 15.085303, 14.868672, 14.796462, 14.652041",\ + "15.560847, 15.560847, 15.344216, 15.272006, 15.127585",\ + "16.036388, 16.036388, 15.819757, 15.747546, 15.603126",\ + "16.718170, 16.718170, 16.501537, 16.429329, 16.284908",\ + "17.013126, 17.013126, 16.796494, 16.724285, 16.579865"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.583960, 1.583960, 1.692276, 1.800590, 2.017221",\ + "1.507319, 1.507319, 1.615634, 1.723949, 1.940580",\ + "1.430679, 1.430679, 1.538994, 1.647309, 1.863940",\ + "1.328287, 1.328287, 1.436602, 1.544917, 1.761548",\ + "1.357948, 1.357948, 1.466263, 1.574578, 1.791209"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.005056, -0.005056, 0.103259, 0.211574, 0.428205",\ + "-0.373902, -0.373902, -0.265587, -0.157272, 0.059359",\ + "-0.742461, -0.742461, -0.634146, -0.525831, -0.309200",\ + "-1.234856, -1.234856, -1.126540, -1.018226, -0.801595",\ + "-1.424554, -1.424554, -1.316238, -1.207924, -0.991293"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.142348, 3.773005, 3.404436, 2.912029, 2.722337",\ + "4.791311, 4.421968, 4.053399, 3.560992, 3.371300",\ + "5.440273, 5.070930, 4.702361, 4.209954, 4.020262",\ + "6.307289, 5.937945, 5.569377, 5.076970, 4.887278",\ + "6.638376, 6.269032, 5.900464, 5.408057, 5.218365"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.964782, 5.595438, 5.226870, 4.734462, 4.544771",\ + "6.440323, 6.070979, 5.702411, 5.210003, 5.020312",\ + "6.915864, 6.546520, 6.177952, 5.685544, 5.495853",\ + "7.597648, 7.228304, 6.859735, 6.367328, 6.177637",\ + "7.892601, 7.523257, 7.154689, 6.662282, 6.472590"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.662147, -2.186599, -1.711050, -1.029704, -0.735694",\ + "-2.738788, -2.263240, -1.787691, -1.106345, -0.812335",\ + "-2.815428, -2.339880, -1.864331, -1.182985, -0.888975",\ + "-2.917820, -2.442272, -1.966723, -1.285378, -0.991367",\ + "-2.888159, -2.412611, -1.937062, -1.255716, -0.961706"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.703236, -4.227688, -3.752140, -3.070794, -2.776783",\ + "-5.072082, -4.596534, -4.120986, -3.439640, -3.145629",\ + "-5.440641, -4.965093, -4.489544, -3.808198, -3.514188",\ + "-5.933035, -5.457488, -4.981939, -4.300593, -4.006583",\ + "-6.122734, -5.647186, -5.171637, -4.490291, -4.196281"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.884467, 14.884467, 14.667836, 14.595626, 14.451205",\ + "15.533500, 15.533500, 15.316869, 15.244658, 15.100238",\ + "16.182533, 16.182533, 15.965901, 15.893691, 15.749270",\ + "17.049641, 17.049641, 16.833008, 16.760799, 16.616379",\ + "17.380344, 17.380344, 17.163712, 17.091503, 16.947083"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.450898, 15.450898, 15.234267, 15.162057, 15.017636",\ + "15.926576, 15.926576, 15.709945, 15.637734, 15.493314",\ + "16.402252, 16.402252, 16.185623, 16.113411, 15.968991",\ + "17.087749, 17.087749, 16.871120, 16.798908, 16.654488",\ + "17.381718, 17.381718, 17.165089, 17.092876, 16.948456"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.836189, 0.836189, 0.944504, 1.052819, 1.269450",\ + "0.759614, 0.759614, 0.867929, 0.976243, 1.192874",\ + "0.683039, 0.683039, 0.791354, 0.899669, 1.116300",\ + "0.580352, 0.580352, 0.688667, 0.796982, 1.013613",\ + "0.609956, 0.609956, 0.718271, 0.826586, 1.043217"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.362297, -1.362297, -1.253982, -1.145667, -0.929036",\ + "-1.731265, -1.731265, -1.622950, -1.514635, -1.298004",\ + "-2.100233, -2.100233, -1.991918, -1.883603, -1.666972",\ + "-2.594424, -2.594424, -2.486109, -2.377794, -2.161163",\ + "-2.784022, -2.784022, -2.675707, -2.567392, -2.350761"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.755276, 5.385933, 5.017365, 4.524957, 4.335266",\ + "6.404308, 6.034965, 5.666396, 5.173989, 4.984297",\ + "7.053340, 6.683997, 6.315429, 5.823021, 5.633330",\ + "7.920447, 7.551104, 7.182535, 6.690128, 6.500436",\ + "8.251152, 7.881808, 7.513240, 7.020833, 6.831141"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.967040, 7.597696, 7.229128, 6.736721, 6.547029",\ + "8.442717, 8.073374, 7.704805, 7.212398, 7.022707",\ + "8.918396, 8.549052, 8.180484, 7.688076, 7.498385",\ + "9.603889, 9.234546, 8.865977, 8.373569, 8.183878",\ + "9.897856, 9.528513, 9.159945, 8.667538, 8.477846"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.623092, -3.147544, -2.671996, -1.990649, -1.696639",\ + "-3.699667, -3.224119, -2.748571, -2.067225, -1.773214",\ + "-3.776243, -3.300694, -2.825146, -2.143800, -1.849790",\ + "-3.878930, -3.403381, -2.927833, -2.246487, -1.952477",\ + "-3.849326, -3.373777, -2.898229, -2.216883, -1.922873"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.610646, -6.135098, -5.659549, -4.978203, -4.684193",\ + "-6.979614, -6.504066, -6.028517, -5.347171, -5.053161",\ + "-7.348582, -6.873034, -6.397485, -5.716139, -5.422129",\ + "-7.842773, -7.367225, -6.891676, -6.210330, -5.916320",\ + "-8.032372, -7.556823, -7.081275, -6.399928, -6.105918"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "16.228443, 16.228443, 16.011814, 15.939603, 15.795182",\ + "16.877438, 16.877438, 16.660809, 16.588596, 16.444176",\ + "17.526432, 17.526432, 17.309799, 17.237591, 17.093170",\ + "18.393486, 18.393486, 18.176857, 18.104645, 17.960224",\ + "18.724213, 18.724213, 18.507580, 18.435371, 18.290951"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "16.866962, 16.866962, 16.650333, 16.578121, 16.433701",\ + "17.342556, 17.342556, 17.125927, 17.053715, 16.909294",\ + "17.818153, 17.818153, 17.601524, 17.529312, 17.384892",\ + "18.501343, 18.501343, 18.284714, 18.212502, 18.068081",\ + "18.795330, 18.795330, 18.578701, 18.506489, 18.362068"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.359221, -0.359221, -0.250905, -0.142591, 0.074040",\ + "-0.435835, -0.435835, -0.327519, -0.219205, -0.002574",\ + "-0.512451, -0.512451, -0.404136, -0.295821, -0.079190",\ + "-0.614807, -0.614807, -0.506492, -0.398177, -0.181546",\ + "-0.585530, -0.585530, -0.477215, -0.368900, -0.152269"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.408287, -2.408287, -2.299972, -2.191657, -1.975026",\ + "-2.777025, -2.777025, -2.668710, -2.560395, -2.343764",\ + "-3.145761, -3.145761, -3.037446, -2.929132, -2.712501",\ + "-3.638394, -3.638394, -3.530079, -3.421764, -3.205133",\ + "-3.828993, -3.828993, -3.720677, -3.612363, -3.395732"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.074296, 5.704952, 5.336384, 4.843976, 4.654285",\ + "6.723289, 6.353946, 5.985377, 5.492970, 5.303278",\ + "7.372284, 7.002940, 6.634372, 6.141964, 5.952273",\ + "8.239341, 7.869997, 7.501429, 7.009021, 6.819330",\ + "8.570065, 8.200722, 7.832153, 7.339746, 7.150054"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.893073, 8.523729, 8.155161, 7.662754, 7.473062",\ + "9.368669, 8.999325, 8.630756, 8.138350, 7.948658",\ + "9.844265, 9.474921, 9.106353, 8.613945, 8.424253",\ + "10.527454, 10.158111, 9.789542, 9.297134, 9.107443",\ + "10.821444, 10.452100, 10.083531, 9.591124, 9.401432"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.640550, -4.165002, -3.689454, -3.008108, -2.714097",\ + "-4.717165, -4.241617, -3.766068, -3.084722, -2.790712",\ + "-4.793781, -4.318233, -3.842684, -3.161338, -2.867328",\ + "-4.896137, -4.420589, -3.945040, -3.263694, -2.969684",\ + "-4.866860, -4.391312, -3.915763, -3.234417, -2.940407"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.488959, -7.013411, -6.537862, -5.856516, -5.562506",\ + "-7.857697, -7.382149, -6.906600, -6.225255, -5.931244",\ + "-8.226433, -7.750885, -7.275337, -6.593991, -6.299981",\ + "-8.719067, -8.243519, -7.767970, -7.086624, -6.792614",\ + "-8.909664, -8.434116, -7.958568, -7.277222, -6.983212"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.523301, 14.523301, 14.306670, 14.234460, 14.090039",\ + "15.172280, 15.172280, 14.955649, 14.883439, 14.739018",\ + "15.821259, 15.821259, 15.604629, 15.532418, 15.387998",\ + "16.688297, 16.688297, 16.471664, 16.399456, 16.255035",\ + "17.019028, 17.019028, 16.802395, 16.730186, 16.585766"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.050221, 15.050221, 14.833591, 14.761380, 14.616960",\ + "15.525792, 15.525792, 15.309161, 15.236951, 15.092530",\ + "16.001362, 16.001362, 15.784732, 15.712522, 15.568101",\ + "16.683907, 16.683907, 16.467278, 16.395065, 16.250645",\ + "16.977905, 16.977905, 16.761276, 16.689064, 16.544643"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.592349, 0.592349, 0.700665, 0.808979, 1.025610",\ + "0.515722, 0.515722, 0.624038, 0.732352, 0.948983",\ + "0.439095, 0.439095, 0.547411, 0.655725, 0.872356",\ + "0.336722, 0.336722, 0.445038, 0.553352, 0.769983",\ + "0.366369, 0.366369, 0.474685, 0.582999, 0.799630"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.713696, -0.713696, -0.605380, -0.497066, -0.280435",\ + "-1.082352, -1.082352, -0.974036, -0.865722, -0.649091",\ + "-1.451007, -1.451007, -1.342691, -1.234377, -1.017746",\ + "-1.943529, -1.943529, -1.835214, -1.726899, -1.510268",\ + "-2.133203, -2.133203, -2.024887, -1.916573, -1.699942"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.997397, 5.628054, 5.259485, 4.767078, 4.577386",\ + "6.646377, 6.277033, 5.908464, 5.416057, 5.226366",\ + "7.295357, 6.926013, 6.557445, 6.065037, 5.875346",\ + "8.162393, 7.793049, 7.424480, 6.932073, 6.742382",\ + "8.493122, 8.123778, 7.755210, 7.262803, 7.073111"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.792977, 7.423634, 7.055065, 6.562658, 6.372966",\ + "8.268548, 7.899204, 7.530636, 7.038229, 6.848537",\ + "8.744119, 8.374775, 8.006207, 7.513799, 7.324108",\ + "9.426665, 9.057322, 8.688753, 8.196346, 8.006655",\ + "9.720662, 9.351318, 8.982750, 8.490343, 8.300652"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.535590, -4.060042, -3.584493, -2.903147, -2.609137",\ + "-4.612216, -4.136669, -3.661120, -2.979774, -2.685764",\ + "-4.688843, -4.213295, -3.737747, -3.056401, -2.762391",\ + "-4.791216, -4.315669, -3.840120, -3.158774, -2.864764",\ + "-4.761569, -4.286022, -3.810473, -3.129127, -2.835117"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.643468, -6.167921, -5.692372, -5.011026, -4.717016",\ + "-7.012125, -6.536577, -6.061028, -5.379682, -5.085672",\ + "-7.380779, -6.905231, -6.429682, -5.748336, -5.454326",\ + "-7.873302, -7.397754, -6.922205, -6.240860, -5.946849",\ + "-8.062975, -7.587427, -7.111878, -6.430532, -6.136522"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.454546, 14.454546, 14.237915, 14.165705, 14.021284",\ + "15.103523, 15.103523, 14.886892, 14.814682, 14.670261",\ + "15.752502, 15.752502, 15.535872, 15.463661, 15.319241",\ + "16.619539, 16.619539, 16.402908, 16.330698, 16.186277",\ + "16.950270, 16.950270, 16.733639, 16.661428, 16.517008"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.979286, 14.979286, 14.762655, 14.690445, 14.546024",\ + "15.454857, 15.454857, 15.238226, 15.166016, 15.021595",\ + "15.930428, 15.930428, 15.713797, 15.641586, 15.497166",\ + "16.612953, 16.612953, 16.396322, 16.324112, 16.179691",\ + "16.906952, 16.906952, 16.690321, 16.618111, 16.473690"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.797450, -0.797450, -0.689135, -0.580820, -0.364189",\ + "-0.874078, -0.874078, -0.765762, -0.657448, -0.440817",\ + "-0.950705, -0.950705, -0.842389, -0.734075, -0.517444",\ + "-1.053078, -1.053078, -0.944762, -0.836448, -0.619817",\ + "-1.023430, -1.023430, -0.915114, -0.806800, -0.590169"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.016304, -2.016304, -1.907989, -1.799674, -1.583043",\ + "-2.384956, -2.384956, -2.276641, -2.168326, -1.951695",\ + "-2.753610, -2.753610, -2.645294, -2.536980, -2.320349",\ + "-3.246130, -3.246130, -3.137815, -3.029500, -2.812869",\ + "-3.435803, -3.435803, -3.327488, -3.219173, -3.002542"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.843853, 6.474509, 6.105941, 5.613533, 5.423842",\ + "7.492833, 7.123489, 6.754921, 6.262514, 6.072822",\ + "8.141812, 7.772469, 7.403900, 6.911493, 6.721801",\ + "9.008848, 8.639504, 8.270936, 7.778529, 7.588837",\ + "9.339578, 8.970234, 8.601665, 8.109259, 7.919567"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.099492, 7.730148, 7.361580, 6.869173, 6.679481",\ + "8.575064, 8.205720, 7.837152, 7.344744, 7.155053",\ + "9.050632, 8.681289, 8.312720, 7.820313, 7.630621",\ + "9.733159, 9.363815, 8.995247, 8.502840, 8.313148",\ + "10.027157, 9.657813, 9.289245, 8.796837, 8.607145"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.994019, -4.518471, -4.042922, -3.361577, -3.067566",\ + "-5.070647, -4.595099, -4.119550, -3.438204, -3.144194",\ + "-5.147274, -4.671726, -4.196177, -3.514831, -3.220821",\ + "-5.249647, -4.774099, -4.298550, -3.617204, -3.323194",\ + "-5.219999, -4.744451, -4.268902, -3.587556, -3.293546"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.809944, -6.334396, -5.858847, -5.177501, -4.883491",\ + "-7.178596, -6.703048, -6.227499, -5.546154, -5.252143",\ + "-7.547249, -7.071702, -6.596153, -5.914807, -5.620797",\ + "-8.039770, -7.564222, -7.088673, -6.407327, -6.113317",\ + "-8.229443, -7.753895, -7.278347, -6.597001, -6.302990"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.045070, 15.045070, 14.828439, 14.756228, 14.611808",\ + "15.694041, 15.694041, 15.477410, 15.405200, 15.260779",\ + "16.343010, 16.343010, 16.126381, 16.054169, 15.909749",\ + "17.210037, 17.210037, 16.993404, 16.921196, 16.776775",\ + "17.540771, 17.540771, 17.324139, 17.251930, 17.107510"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.463311, 15.463311, 15.246680, 15.174470, 15.030049",\ + "15.938867, 15.938867, 15.722236, 15.650025, 15.505605",\ + "16.414417, 16.414417, 16.197788, 16.125576, 15.981156",\ + "17.096554, 17.096554, 16.879925, 16.807713, 16.663292",\ + "17.390556, 17.390556, 17.173927, 17.101715, 16.957294"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.888269, -0.888269, -0.779954, -0.671639, -0.455009",\ + "-0.964903, -0.964903, -0.856587, -0.748273, -0.531642",\ + "-1.041538, -1.041538, -0.933223, -0.824908, -0.608277",\ + "-1.143921, -1.143921, -1.035605, -0.927291, -0.710660",\ + "-1.114267, -1.114267, -1.005952, -0.897637, -0.681006"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.511706, -1.511706, -1.403391, -1.295076, -1.078445",\ + "-1.880309, -1.880309, -1.771994, -1.663679, -1.447048",\ + "-2.248912, -2.248912, -2.140596, -2.032282, -1.815651",\ + "-2.741364, -2.741364, -2.633049, -2.524734, -2.308104",\ + "-2.931051, -2.931051, -2.822736, -2.714421, -2.497790"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.393707, 7.024364, 6.655795, 6.163388, 5.973696",\ + "8.042677, 7.673333, 7.304765, 6.812357, 6.622666",\ + "8.691648, 8.322305, 7.953736, 7.461329, 7.271637",\ + "9.558674, 9.189330, 8.820762, 8.328354, 8.138662",\ + "9.889406, 9.520062, 9.151494, 8.659086, 8.469395"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.633003, 8.263659, 7.895091, 7.402684, 7.212992",\ + "9.108558, 8.739214, 8.370646, 7.878238, 7.688547",\ + "9.584111, 9.214767, 8.846199, 8.353792, 8.164101",\ + "10.266245, 9.896901, 9.528333, 9.035925, 8.846233",\ + "10.560246, 10.190903, 9.822334, 9.329927, 9.140236"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.933257, -5.457709, -4.982160, -4.300814, -4.006804",\ + "-6.009890, -5.534342, -5.058794, -4.377448, -4.083437",\ + "-6.086525, -5.610978, -5.135429, -4.454083, -4.160073",\ + "-6.188908, -5.713360, -5.237812, -4.556466, -4.262455",\ + "-6.159255, -5.683707, -5.208158, -4.526812, -4.232802"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.106880, -6.631332, -6.155783, -5.474437, -5.180427",\ + "-7.475482, -6.999935, -6.524386, -5.843040, -5.549030",\ + "-7.844085, -7.368537, -6.892989, -6.211643, -5.917633",\ + "-8.336538, -7.860990, -7.385441, -6.704095, -6.410085",\ + "-8.526224, -8.050676, -7.575128, -6.893782, -6.599772"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.730138, 14.730138, 14.513507, 14.441297, 14.296876",\ + "15.379107, 15.379107, 15.162477, 15.090266, 14.945846",\ + "16.028076, 16.028076, 15.811444, 15.739234, 15.594813",\ + "16.895100, 16.895100, 16.678467, 16.606258, 16.461838",\ + "17.225830, 17.225830, 17.009201, 16.936989, 16.792568"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.634582, 15.634582, 15.417951, 15.345740, 15.201320",\ + "16.110134, 16.110134, 15.893502, 15.821292, 15.676871",\ + "16.585686, 16.585686, 16.369053, 16.296844, 16.152424",\ + "17.267738, 17.267738, 17.051105, 16.978897, 16.834476",\ + "17.561741, 17.561741, 17.345108, 17.272900, 17.128479"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.864727, -0.864727, -0.756412, -0.648097, -0.431466",\ + "-0.941362, -0.941362, -0.833047, -0.724732, -0.508101",\ + "-1.017999, -1.017999, -0.909683, -0.801369, -0.584738",\ + "-1.120383, -1.120383, -1.012068, -0.903753, -0.687122",\ + "-1.090727, -1.090727, -0.982411, -0.874097, -0.657466"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.390676, -2.390676, -2.282361, -2.174047, -1.957416",\ + "-2.759270, -2.759270, -2.650954, -2.542640, -2.326009",\ + "-3.127861, -3.127861, -3.019546, -2.911231, -2.694600",\ + "-3.620300, -3.620300, -3.511985, -3.403670, -3.187039",\ + "-3.809990, -3.809990, -3.701674, -3.593360, -3.376729"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.765319, 6.395976, 6.027408, 5.535000, 5.345309",\ + "7.414287, 7.044944, 6.676375, 6.183968, 5.994277",\ + "8.063255, 7.693913, 7.325344, 6.832937, 6.643245",\ + "8.930279, 8.560935, 8.192367, 7.699959, 7.510268",\ + "9.261011, 8.891667, 8.523099, 8.030691, 7.841000"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.841244, 8.471901, 8.103333, 7.610925, 7.421234",\ + "9.316795, 8.947453, 8.578884, 8.086477, 7.896785",\ + "9.792347, 9.423004, 9.054436, 8.562029, 8.372337",\ + "10.474400, 10.105057, 9.736488, 9.244081, 9.054390",\ + "10.768404, 10.399061, 10.030493, 9.538086, 9.348394"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.083230, -4.607682, -4.132133, -3.450787, -3.156777",\ + "-5.159865, -4.684317, -4.208769, -3.527422, -3.233412",\ + "-5.236502, -4.760953, -4.285405, -3.604059, -3.310049",\ + "-5.338886, -4.863338, -4.387790, -3.706443, -3.412433",\ + "-5.309230, -4.833682, -4.358133, -3.676787, -3.382777"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.151072, -6.675523, -6.199975, -5.518629, -5.224618",\ + "-7.519665, -7.044116, -6.568568, -5.887222, -5.593212",\ + "-7.888256, -7.412708, -6.937160, -6.255813, -5.961803",\ + "-8.380695, -7.905147, -7.429599, -6.748252, -6.454242",\ + "-8.570385, -8.094837, -7.619288, -6.937942, -6.643932"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.751518, 13.751518, 13.534887, 13.462677, 13.318256",\ + "14.400494, 14.400494, 14.183863, 14.111652, 13.967232",\ + "15.049467, 15.049467, 14.832836, 14.760626, 14.616205",\ + "15.916500, 15.916500, 15.699869, 15.627659, 15.483238",\ + "16.247231, 16.247231, 16.030600, 15.958389, 15.813969"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.583893, 14.583893, 14.367262, 14.295052, 14.150631",\ + "15.059456, 15.059456, 14.842825, 14.770615, 14.626194",\ + "15.535015, 15.535015, 15.318384, 15.246174, 15.101753",\ + "16.217339, 16.217339, 16.000708, 15.928497, 15.784077",\ + "16.511337, 16.511337, 16.294706, 16.222496, 16.078075"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.181038, -1.181038, -1.072722, -0.964408, -0.747777",\ + "-1.257668, -1.257668, -1.149352, -1.041038, -0.824407",\ + "-1.334299, -1.334299, -1.225984, -1.117669, -0.901038",\ + "-1.436678, -1.436678, -1.328362, -1.220048, -1.003417",\ + "-1.407026, -1.407026, -1.298711, -1.190396, -0.973765"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.535241, -2.535241, -2.426926, -2.318611, -2.101980",\ + "-2.903867, -2.903867, -2.795551, -2.687237, -2.470606",\ + "-3.272494, -3.272494, -3.164179, -3.055864, -2.839233",\ + "-3.764979, -3.764979, -3.656664, -3.548349, -3.331718",\ + "-3.954659, -3.954659, -3.846344, -3.738029, -3.521399"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.170639, 6.801295, 6.432727, 5.940320, 5.750628",\ + "7.819612, 7.450269, 7.081700, 6.589293, 6.399601",\ + "8.468588, 8.099244, 7.730676, 7.238268, 7.048577",\ + "9.335617, 8.966273, 8.597705, 8.105297, 7.915606",\ + "9.666348, 9.297004, 8.928435, 8.436028, 8.246336"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.908792, 8.539449, 8.170880, 7.678473, 7.488781",\ + "9.384356, 9.015012, 8.646443, 8.154036, 7.964345",\ + "9.859917, 9.490573, 9.122005, 8.629597, 8.439905",\ + "10.542240, 10.172896, 9.804328, 9.311920, 9.122229",\ + "10.836239, 10.466895, 10.098327, 9.605919, 9.416227"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.453303, -4.977755, -4.502207, -3.820860, -3.526850",\ + "-5.529933, -5.054385, -4.578836, -3.897490, -3.603480",\ + "-5.606565, -5.131016, -4.655468, -3.974122, -3.680111",\ + "-5.708943, -5.233395, -4.757847, -4.076500, -3.782490",\ + "-5.679292, -5.203743, -4.728195, -4.046849, -3.752839"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.607189, -7.131641, -6.656093, -5.974746, -5.680736",\ + "-7.975815, -7.500267, -7.024718, -6.343372, -6.049362",\ + "-8.344442, -7.868894, -7.393346, -6.711999, -6.417989",\ + "-8.836927, -8.361380, -7.885831, -7.204484, -6.910474",\ + "-9.026608, -8.551060, -8.075511, -7.394165, -7.100154"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.969251, 13.969251, 13.752620, 13.680409, 13.535989",\ + "14.618209, 14.618209, 14.401578, 14.329368, 14.184947",\ + "15.267167, 15.267167, 15.050536, 14.978326, 14.833905",\ + "16.134174, 16.134174, 15.917544, 15.845334, 15.700913",\ + "16.464905, 16.464905, 16.248276, 16.176064, 16.031643"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.742194, 14.742194, 14.525563, 14.453353, 14.308932",\ + "15.217742, 15.217742, 15.001111, 14.928901, 14.784480",\ + "15.693286, 15.693286, 15.476655, 15.404445, 15.260024",\ + "16.374683, 16.374683, 16.158051, 16.085842, 15.941421",\ + "16.668697, 16.668697, 16.452065, 16.379856, 16.235435"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.270995, 2.270995, 2.379311, 2.487625, 2.704256",\ + "2.194361, 2.194361, 2.302676, 2.410991, 2.627622",\ + "2.117726, 2.117726, 2.226042, 2.334356, 2.550987",\ + "2.015343, 2.015343, 2.123658, 2.231973, 2.448604",\ + "2.045001, 2.045001, 2.153316, 2.261631, 2.478262"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.701813, 0.701813, 0.810128, 0.918443, 1.135074",\ + "0.332529, 0.332529, 0.440845, 0.549159, 0.765790",\ + "-0.036033, -0.036033, 0.072283, 0.180597, 0.397228",\ + "-0.528432, -0.528432, -0.420116, -0.311802, -0.095171",\ + "-0.718125, -0.718125, -0.609810, -0.501495, -0.284864"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.085451, 3.716107, 3.347539, 2.855132, 2.665440",\ + "4.734409, 4.365066, 3.996497, 3.504090, 3.314398",\ + "5.383368, 5.014024, 4.645455, 4.153048, 3.963356",\ + "6.250375, 5.881031, 5.512463, 5.020055, 4.830364",\ + "6.581106, 6.211762, 5.843194, 5.350787, 5.161095"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.356880, 5.987536, 5.618968, 5.126561, 4.936869",\ + "6.832426, 6.463082, 6.094514, 5.602107, 5.412415",\ + "7.307972, 6.938628, 6.570060, 6.077652, 5.887961",\ + "7.989368, 7.620025, 7.251456, 6.759049, 6.569357",\ + "8.283379, 7.914035, 7.545466, 7.053059, 6.863368"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.856943, -2.381395, -1.905847, -1.224501, -0.930490",\ + "-2.933578, -2.458030, -1.982481, -1.301135, -1.007125",\ + "-3.010212, -2.534664, -2.059115, -1.377769, -1.083759",\ + "-3.112596, -2.637048, -2.161499, -1.480153, -1.186143",\ + "-3.082937, -2.607389, -2.131841, -1.450495, -1.156485"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.227960, -4.752412, -4.276864, -3.595518, -3.301507",\ + "-5.597243, -5.121695, -4.646146, -3.964800, -3.670790",\ + "-5.965804, -5.490256, -5.014707, -4.333361, -4.039351",\ + "-6.458203, -5.982655, -5.507106, -4.825760, -4.531750",\ + "-6.647896, -6.172348, -5.696800, -5.015454, -4.721444"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.840608, 13.840608, 13.623977, 13.551766, 13.407346",\ + "14.489570, 14.489570, 14.272939, 14.200728, 14.056308",\ + "15.138530, 15.138530, 14.921899, 14.849689, 14.705268",\ + "16.005543, 16.005543, 15.788913, 15.716702, 15.572282",\ + "16.336632, 16.336632, 16.119999, 16.047791, 15.903369"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.633807, 14.633807, 14.417176, 14.344966, 14.200545",\ + "15.109344, 15.109344, 14.892713, 14.820502, 14.676082",\ + "15.584884, 15.584884, 15.368253, 15.296042, 15.151622",\ + "16.266560, 16.266560, 16.049927, 15.977717, 15.833297",\ + "16.561520, 16.561520, 16.344887, 16.272678, 16.128258"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.664303, 2.664303, 2.772618, 2.880933, 3.097564",\ + "2.587661, 2.587661, 2.695976, 2.804291, 3.020922",\ + "2.511018, 2.511018, 2.619334, 2.727648, 2.944279",\ + "2.408624, 2.408624, 2.516940, 2.625254, 2.841885",\ + "2.438287, 2.438287, 2.546603, 2.654917, 2.871548"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.449705, 2.449705, 2.558020, 2.666335, 2.882966",\ + "2.080747, 2.080747, 2.189063, 2.297377, 2.514008",\ + "1.712203, 1.712203, 1.820518, 1.928833, 2.145463",\ + "1.219827, 1.219827, 1.328142, 1.436457, 1.653088",\ + "1.030126, 1.030126, 1.138441, 1.246756, 1.463387"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.091179, 2.721836, 2.353267, 1.860860, 1.671168",\ + "3.740140, 3.370797, 3.002228, 2.509821, 2.320129",\ + "4.389101, 4.019757, 3.651189, 3.158782, 2.969090",\ + "5.256113, 4.886769, 4.518201, 4.025794, 3.836102",\ + "5.587202, 5.217858, 4.849290, 4.356883, 4.167191"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.462890, 4.093546, 3.724977, 3.232570, 3.042879",\ + "4.938426, 4.569082, 4.200514, 3.708107, 3.518415",\ + "5.413962, 5.044619, 4.676050, 4.183643, 3.993951",\ + "6.095639, 5.726295, 5.357727, 4.865320, 4.675628",\ + "6.390599, 6.021255, 5.652687, 5.160280, 4.970588"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.836284, -1.360736, -0.885188, -0.203842, 0.090168",\ + "-1.912926, -1.437378, -0.961830, -0.280484, 0.013526",\ + "-1.989569, -1.514021, -1.038472, -0.357126, -0.063116",\ + "-2.091963, -1.616415, -1.140866, -0.459520, -0.165510",\ + "-2.062300, -1.586752, -1.111203, -0.429857, -0.135847"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.314858, -2.839310, -2.363761, -1.682415, -1.388405",\ + "-3.683815, -3.208268, -2.732719, -2.051373, -1.757363",\ + "-4.052359, -3.576811, -3.101263, -2.419917, -2.125906",\ + "-4.544735, -4.069188, -3.593639, -2.912293, -2.618283",\ + "-4.734437, -4.258889, -3.783340, -3.101994, -2.807984"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.869922, 13.869922, 13.653291, 13.581080, 13.436660",\ + "14.518880, 14.518880, 14.302249, 14.230039, 14.085618",\ + "15.167838, 15.167838, 14.951207, 14.878997, 14.734576",\ + "16.034847, 16.034847, 15.818215, 15.746005, 15.601584",\ + "16.365582, 16.365582, 16.148949, 16.076740, 15.932319"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.337428, 14.337428, 14.120797, 14.048587, 13.904166",\ + "14.812968, 14.812968, 14.596337, 14.524127, 14.379706",\ + "15.288508, 15.288508, 15.071877, 14.999667, 14.855247",\ + "15.969962, 15.969962, 15.753331, 15.681121, 15.536700",\ + "16.263973, 16.263973, 16.047340, 15.975131, 15.830710"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.416754, 2.416754, 2.525069, 2.633384, 2.850015",\ + "2.340115, 2.340115, 2.448430, 2.556745, 2.773376",\ + "2.263476, 2.263476, 2.371792, 2.480106, 2.696737",\ + "2.161087, 2.161087, 2.269403, 2.377717, 2.594348",\ + "2.190748, 2.190748, 2.299064, 2.407378, 2.624009"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.121078, 1.121078, 1.229393, 1.337708, 1.554339",\ + "0.751860, 0.751860, 0.860176, 0.968490, 1.185121",\ + "0.383308, 0.383308, 0.491624, 0.599938, 0.816569",\ + "-0.109079, -0.109079, -0.000764, 0.107551, 0.324182",\ + "-0.298777, -0.298777, -0.190461, -0.082147, 0.134484"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.131919, 3.762575, 3.394007, 2.901599, 2.711908",\ + "4.780876, 4.411532, 4.042964, 3.550557, 3.360865",\ + "5.429834, 5.060491, 4.691922, 4.199515, 4.009823",\ + "6.296843, 5.927499, 5.558930, 5.066523, 4.876832",\ + "6.627577, 6.258233, 5.889665, 5.397257, 5.207566"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.617486, 5.248142, 4.879574, 4.387167, 4.197475",\ + "6.093029, 5.723685, 5.355117, 4.862710, 4.673018",\ + "6.568570, 6.199226, 5.830658, 5.338251, 5.148559",\ + "7.250021, 6.880677, 6.512109, 6.019701, 5.830010",\ + "7.544031, 7.174687, 6.806119, 6.313712, 6.124020"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.628375, -2.152827, -1.677278, -0.995932, -0.701922",\ + "-2.705014, -2.229466, -1.753917, -1.072571, -0.778561",\ + "-2.781652, -2.306104, -1.830555, -1.149209, -0.855199",\ + "-2.884041, -2.408494, -1.932945, -1.251599, -0.957589",\ + "-2.854380, -2.378832, -1.903284, -1.221938, -0.927927"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.474089, -3.998541, -3.522993, -2.841647, -2.547637",\ + "-4.843307, -4.367759, -3.892210, -3.210865, -2.916854",\ + "-5.211859, -4.736311, -4.260763, -3.579417, -3.285407",\ + "-5.704247, -5.228699, -4.753150, -4.071805, -3.777794",\ + "-5.893944, -5.418396, -4.942848, -4.261502, -3.967492"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.277499, 14.277499, 14.060868, 13.988658, 13.844237",\ + "14.926461, 14.926461, 14.709830, 14.637620, 14.493199",\ + "15.575423, 15.575423, 15.358792, 15.286582, 15.142161",\ + "16.442436, 16.442436, 16.225803, 16.153595, 16.009174",\ + "16.773518, 16.773518, 16.556889, 16.484676, 16.340256"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.969144, 14.969144, 14.752513, 14.680303, 14.535882",\ + "15.444684, 15.444684, 15.228053, 15.155843, 15.011422",\ + "15.920220, 15.920220, 15.703589, 15.631379, 15.486959",\ + "16.601933, 16.601933, 16.385303, 16.313091, 16.168671",\ + "16.896866, 16.896866, 16.680237, 16.608025, 16.463604"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.434278, 2.434278, 2.542594, 2.650908, 2.867539",\ + "2.357636, 2.357636, 2.465952, 2.574266, 2.790897",\ + "2.280994, 2.280994, 2.389310, 2.497624, 2.714255",\ + "2.178601, 2.178601, 2.286917, 2.395231, 2.611862",\ + "2.208263, 2.208263, 2.316579, 2.424893, 2.641524"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.312337, 1.312337, 1.420653, 1.528967, 1.745598",\ + "0.943420, 0.943420, 1.051735, 1.160050, 1.376681",\ + "0.574870, 0.574870, 0.683186, 0.791500, 1.008131",\ + "0.082487, 0.082487, 0.190803, 0.299117, 0.515748",\ + "-0.107212, -0.107212, 0.001103, 0.109418, 0.326049"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.447177, 3.077834, 2.709265, 2.216858, 2.027166",\ + "4.096138, 3.726794, 3.358226, 2.865819, 2.676127",\ + "4.745100, 4.375756, 4.007188, 3.514781, 3.325089",\ + "5.612112, 5.242768, 4.874200, 4.381793, 4.192101",\ + "5.943195, 5.573852, 5.205283, 4.712876, 4.523184"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.144426, 4.775083, 4.406514, 3.914107, 3.724415",\ + "5.619964, 5.250621, 4.882052, 4.389645, 4.199954",\ + "6.095502, 5.726159, 5.357591, 4.865183, 4.675492",\ + "6.777216, 6.407873, 6.039305, 5.546897, 5.357206",\ + "7.072148, 6.702805, 6.334236, 5.841829, 5.652137"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.784225, -1.308677, -0.833129, -0.151783, 0.142228",\ + "-1.860868, -1.385319, -0.909771, -0.228425, 0.065586",\ + "-1.937510, -1.461961, -0.986413, -0.305067, -0.011056",\ + "-2.039903, -1.564354, -1.088806, -0.407460, -0.113450",\ + "-2.010241, -1.534692, -1.059144, -0.377798, -0.083787"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.448059, -2.972511, -2.496963, -1.815616, -1.521606",\ + "-3.816976, -3.341427, -2.865879, -2.184533, -1.890522",\ + "-4.185525, -3.709977, -3.234428, -2.553082, -2.259072",\ + "-4.677908, -4.202360, -3.726811, -3.045465, -2.751455",\ + "-4.867607, -4.392059, -3.916511, -3.235164, -2.941154"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.972820, 13.972820, 13.756189, 13.683979, 13.539558",\ + "14.621784, 14.621784, 14.405153, 14.332943, 14.188522",\ + "15.270750, 15.270750, 15.054119, 14.981909, 14.837488",\ + "16.137766, 16.137766, 15.921135, 15.848925, 15.704504",\ + "16.468502, 16.468502, 16.251871, 16.179661, 16.035240"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.361618, 14.361618, 14.144987, 14.072777, 13.928356",\ + "14.837162, 14.837162, 14.620531, 14.548321, 14.403900",\ + "15.312706, 15.312706, 15.096075, 15.023865, 14.879444",\ + "15.994560, 15.994560, 15.777929, 15.705719, 15.561298",\ + "16.288567, 16.288567, 16.071936, 15.999725, 15.855305"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.712111, 2.712111, 2.820427, 2.928741, 3.145372",\ + "2.635472, 2.635472, 2.743788, 2.852102, 3.068733",\ + "2.558833, 2.558833, 2.667149, 2.775463, 2.992094",\ + "2.456443, 2.456443, 2.564758, 2.673073, 2.889704",\ + "2.486103, 2.486103, 2.594419, 2.702733, 2.919364"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.395835, 0.395835, 0.504150, 0.612465, 0.829096",\ + "0.027065, 0.027065, 0.135381, 0.243695, 0.460326",\ + "-0.341502, -0.341502, -0.233187, -0.124872, 0.091759",\ + "-0.833910, -0.833910, -0.725595, -0.617280, -0.400649",\ + "-1.023605, -1.023605, -0.915290, -0.806975, -0.590344"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.787412, 2.418069, 2.049500, 1.557093, 1.367402",\ + "3.436377, 3.067033, 2.698465, 2.206058, 2.016366",\ + "4.085342, 3.715999, 3.347430, 2.855023, 2.665331",\ + "4.952359, 4.583015, 4.214447, 3.722040, 3.532348",\ + "5.283094, 4.913751, 4.545182, 4.052775, 3.863083"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.781659, 5.412315, 5.043747, 4.551340, 4.361648",\ + "6.257202, 5.887858, 5.519290, 5.026883, 4.837191",\ + "6.732746, 6.363402, 5.994834, 5.502427, 5.312735",\ + "7.414604, 7.045260, 6.676692, 6.184285, 5.994593",\ + "7.708608, 7.339265, 6.970696, 6.478289, 6.288598"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.560155, -1.084607, -0.609059, 0.072288, 0.366298",\ + "-1.636795, -1.161246, -0.685698, -0.004352, 0.289659",\ + "-1.713434, -1.237885, -0.762337, -0.080991, 0.213019",\ + "-1.815824, -1.340276, -0.864727, -0.183381, 0.110629",\ + "-1.786164, -1.310616, -0.835067, -0.153721, 0.140289"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.676113, -4.200565, -3.725017, -3.043670, -2.749660",\ + "-5.044883, -4.569335, -4.093786, -3.412440, -3.118430",\ + "-5.413450, -4.937902, -4.462354, -3.781007, -3.486997",\ + "-5.905858, -5.430310, -4.954762, -4.273415, -3.979405",\ + "-6.095553, -5.620005, -5.144457, -4.463110, -4.169100"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.755943, 14.755943, 14.539312, 14.467102, 14.322681",\ + "15.404902, 15.404902, 15.188271, 15.116060, 14.971640",\ + "16.053860, 16.053860, 15.837229, 15.765018, 15.620598",\ + "16.920868, 16.920868, 16.704237, 16.632027, 16.487606",\ + "17.251957, 17.251957, 17.035326, 16.963116, 16.818695"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.639795, 15.639795, 15.423164, 15.350954, 15.206533",\ + "16.115332, 16.115332, 15.898701, 15.826490, 15.682070",\ + "16.590868, 16.590868, 16.374237, 16.302027, 16.157606",\ + "17.272409, 17.272409, 17.055779, 16.983568, 16.839148",\ + "17.567366, 17.567366, 17.350735, 17.278524, 17.134104"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.902467, 2.902467, 3.010782, 3.119097, 3.335728",\ + "2.825822, 2.825822, 2.934137, 3.042452, 3.259083",\ + "2.749178, 2.749178, 2.857493, 2.965808, 3.182439",\ + "2.646781, 2.646781, 2.755096, 2.863411, 3.080042",\ + "2.676446, 2.676446, 2.784761, 2.893076, 3.109707"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.538233, 1.538233, 1.646549, 1.754863, 1.971494",\ + "1.169128, 1.169128, 1.277444, 1.385758, 1.602389",\ + "0.800589, 0.800589, 0.908904, 1.017219, 1.233850",\ + "0.308220, 0.308220, 0.416535, 0.524850, 0.741481",\ + "0.118518, 0.118518, 0.226833, 0.335148, 0.551779"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.724226, 2.354883, 1.986314, 1.493907, 1.304215",\ + "3.373185, 3.003841, 2.635273, 2.142865, 1.953174",\ + "4.022143, 3.652800, 3.284231, 2.791824, 2.602132",\ + "4.889151, 4.519807, 4.151238, 3.658831, 3.469140",\ + "5.220241, 4.850897, 4.482328, 3.989921, 3.800230"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.419667, 4.050323, 3.681755, 3.189348, 2.999656",\ + "4.895203, 4.525859, 4.157290, 3.664883, 3.475192",\ + "5.370738, 5.001394, 4.632826, 4.140419, 3.950727",\ + "6.052280, 5.682937, 5.314368, 4.821961, 4.632269",\ + "6.347238, 5.977894, 5.609325, 5.116918, 4.927227"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.343640, -0.868092, -0.392543, 0.288803, 0.582813",\ + "-1.420285, -0.944737, -0.469188, 0.212158, 0.506168",\ + "-1.496929, -1.021381, -0.545832, 0.135514, 0.429524",\ + "-1.599326, -1.123778, -0.648229, 0.033117, 0.327127",\ + "-1.569661, -1.094113, -0.618564, 0.062782, 0.356792"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.159946, -2.684398, -2.208849, -1.527503, -1.233493",\ + "-3.529051, -3.053503, -2.577954, -1.896608, -1.602598",\ + "-3.897591, -3.422043, -2.946495, -2.265149, -1.971138",\ + "-4.389960, -3.914412, -3.438863, -2.757517, -2.463507",\ + "-4.579662, -4.104114, -3.628565, -2.947219, -2.653209"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.598359, 14.598359, 14.381728, 14.309518, 14.165097",\ + "15.247317, 15.247317, 15.030686, 14.958476, 14.814055",\ + "15.896276, 15.896276, 15.679645, 15.607434, 15.463014",\ + "16.763283, 16.763283, 16.546654, 16.474442, 16.330021",\ + "17.094013, 17.094013, 16.877384, 16.805172, 16.660751"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.530482, 15.530482, 15.313851, 15.241641, 15.097220",\ + "16.006031, 16.006031, 15.789399, 15.717189, 15.572768",\ + "16.481583, 16.481583, 16.264950, 16.192741, 16.048321",\ + "17.162914, 17.162914, 16.946281, 16.874073, 16.729652",\ + "17.456924, 17.456924, 17.240292, 17.168083, 17.023663"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.187545, 3.187545, 3.295860, 3.404175, 3.620806",\ + "3.110914, 3.110914, 3.219230, 3.327544, 3.544175",\ + "3.034284, 3.034284, 3.142600, 3.250914, 3.467545",\ + "2.931906, 2.931906, 3.040221, 3.148536, 3.365167",\ + "2.961561, 2.961561, 3.069877, 3.178191, 3.394822"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.927258, 2.927258, 3.035574, 3.143888, 3.360519",\ + "2.557894, 2.557894, 2.666210, 2.774524, 2.991155",\ + "2.189323, 2.189323, 2.297638, 2.405953, 2.622584",\ + "1.696911, 1.696911, 1.805227, 1.913541, 2.130172",\ + "1.507221, 1.507221, 1.615536, 1.723851, 1.940482"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.529680, 2.160337, 1.791769, 1.299361, 1.109670",\ + "3.178638, 2.809295, 2.440727, 1.948319, 1.758628",\ + "3.827597, 3.458253, 3.089685, 2.597278, 2.407586",\ + "4.694605, 4.325262, 3.956694, 3.464286, 3.274595",\ + "5.025335, 4.655992, 4.287423, 3.795016, 3.605324"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.809670, 4.440327, 4.071758, 3.579351, 3.389659",\ + "5.285220, 4.915876, 4.547308, 4.054901, 3.865209",\ + "5.760769, 5.391426, 5.022858, 4.530450, 4.340759",\ + "6.442100, 6.072757, 5.704188, 5.211781, 5.022089",\ + "6.736110, 6.366767, 5.998199, 5.505791, 5.316100"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.271736, -0.796187, -0.320639, 0.360707, 0.654717",\ + "-1.348366, -0.872818, -0.397270, 0.284077, 0.578087",\ + "-1.424996, -0.949448, -0.473900, 0.207447, 0.501457",\ + "-1.527375, -1.051826, -0.576278, 0.105068, 0.399078",\ + "-1.497719, -1.022171, -0.546623, 0.134724, 0.428734"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.643148, -3.167600, -2.692051, -2.010705, -1.716695",\ + "-4.012513, -3.536964, -3.061416, -2.380070, -2.086060",\ + "-4.381084, -3.905536, -3.429987, -2.748641, -2.454631",\ + "-4.873496, -4.397947, -3.922399, -3.241053, -2.947042",\ + "-5.063186, -4.587638, -4.112090, -3.430743, -3.136733"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.412513, 14.412513, 14.195882, 14.123672, 13.979251",\ + "15.061471, 15.061471, 14.844840, 14.772630, 14.628209",\ + "15.710427, 15.710427, 15.493796, 15.421586, 15.277165",\ + "16.577438, 16.577438, 16.360806, 16.288597, 16.144176",\ + "16.908165, 16.908165, 16.691532, 16.619324, 16.474903"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.338181, 15.338181, 15.121551, 15.049340, 14.904920",\ + "15.813737, 15.813737, 15.597106, 15.524896, 15.380475",\ + "16.289291, 16.289291, 16.072662, 16.000450, 15.856030",\ + "16.970524, 16.970524, 16.753895, 16.681683, 16.537262",\ + "17.264534, 17.264534, 17.047905, 16.975693, 16.831272"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.842118, 3.842118, 3.950434, 4.058748, 4.275379",\ + "3.765493, 3.765493, 3.873809, 3.982123, 4.198754",\ + "3.688869, 3.688869, 3.797185, 3.905499, 4.122130",\ + "3.586498, 3.586498, 3.694814, 3.803128, 4.019759",\ + "3.616150, 3.616150, 3.724465, 3.832780, 4.049411"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.858439, 1.858439, 1.966755, 2.075069, 2.291700",\ + "1.488956, 1.488956, 1.597272, 1.705586, 1.922217",\ + "1.120371, 1.120371, 1.228686, 1.337001, 1.553632",\ + "0.627940, 0.627940, 0.736256, 0.844570, 1.061201",\ + "0.438255, 0.438255, 0.546571, 0.654885, 0.871516"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.768095, 1.398751, 1.030182, 0.537775, 0.348083",\ + "2.417053, 2.047709, 1.679141, 1.186733, 0.997042",\ + "3.066011, 2.696667, 2.328099, 1.835691, 1.646000",\ + "3.933018, 3.563674, 3.195106, 2.702698, 2.513007",\ + "4.263744, 3.894401, 3.525832, 3.033425, 2.843733"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.421412, 4.052068, 3.683499, 3.191092, 3.001400",\ + "4.896967, 4.527623, 4.159055, 3.666647, 3.476956",\ + "5.372524, 5.003181, 4.634612, 4.142205, 3.952513",\ + "6.053757, 5.684413, 5.315845, 4.823437, 4.633746",\ + "6.347767, 5.978423, 5.609855, 5.117447, 4.927756"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.439432, 0.036116, 0.511665, 1.193011, 1.487021",\ + "-0.516057, -0.040509, 0.435040, 1.116386, 1.410396",\ + "-0.592680, -0.117133, 0.358416, 1.039762, 1.333772",\ + "-0.695052, -0.219504, 0.256045, 0.937391, 1.231401",\ + "-0.665400, -0.189852, 0.285697, 0.967042, 1.261053"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.222225, -2.746677, -2.271128, -1.589782, -1.295772",\ + "-3.591708, -3.116160, -2.640611, -1.959265, -1.665255",\ + "-3.960294, -3.484746, -3.009198, -2.327852, -2.033842",\ + "-4.452724, -3.977176, -3.501627, -2.820282, -2.526271",\ + "-4.642410, -4.166862, -3.691313, -3.009967, -2.715957"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.938639, 14.938639, 14.722008, 14.649797, 14.505377",\ + "15.587597, 15.587597, 15.370966, 15.298756, 15.154335",\ + "16.236555, 16.236555, 16.019924, 15.947714, 15.803293",\ + "17.103563, 17.103563, 16.886932, 16.814722, 16.670301",\ + "17.434292, 17.434292, 17.217661, 17.145451, 17.001030"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.828529, 15.828529, 15.611898, 15.539688, 15.395267",\ + "16.304077, 16.304077, 16.087446, 16.015236, 15.870815",\ + "16.779629, 16.779629, 16.562998, 16.490788, 16.346367",\ + "17.460968, 17.460968, 17.244337, 17.172127, 17.027706",\ + "17.754978, 17.754978, 17.538347, 17.466137, 17.321716"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.904033, 3.904033, 4.012349, 4.120663, 4.337294",\ + "3.827401, 3.827401, 3.935716, 4.044031, 4.260662",\ + "3.750769, 3.750769, 3.859085, 3.967399, 4.184030",\ + "3.648389, 3.648389, 3.756705, 3.865019, 4.081650",\ + "3.678046, 3.678046, 3.786361, 3.894676, 4.111307"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.103499, 3.103499, 3.211814, 3.320129, 3.536760",\ + "2.734149, 2.734149, 2.842464, 2.950778, 3.167409",\ + "2.365580, 2.365580, 2.473895, 2.582210, 2.798841",\ + "1.873170, 1.873170, 1.981486, 2.089800, 2.306431",\ + "1.683479, 1.683479, 1.791795, 1.900109, 2.116740"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.542858, 2.173514, 1.804946, 1.312539, 1.122847",\ + "3.191816, 2.822473, 2.453904, 1.961497, 1.771805",\ + "3.840775, 3.471431, 3.102862, 2.610455, 2.420763",\ + "4.707783, 4.338439, 3.969871, 3.477463, 3.287772",\ + "5.038512, 4.669168, 4.300600, 3.808193, 3.618501"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.728544, 4.359200, 3.990632, 3.498225, 3.308533",\ + "5.204092, 4.834748, 4.466180, 3.973773, 3.784081",\ + "5.679641, 5.310297, 4.941729, 4.449321, 4.259630",\ + "6.360983, 5.991639, 5.623071, 5.130663, 4.940972",\ + "6.654993, 6.285649, 5.917081, 5.424674, 5.234982"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.223906, -0.748358, -0.272809, 0.408537, 0.702547",\ + "-1.300537, -0.824989, -0.349441, 0.331905, 0.625916",\ + "-1.377169, -0.901621, -0.426072, 0.255274, 0.549284",\ + "-1.479548, -1.004001, -0.528452, 0.152894, 0.446904",\ + "-1.449892, -0.974344, -0.498796, 0.182550, 0.476561"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.565657, -3.090109, -2.614561, -1.933215, -1.639205",\ + "-3.935008, -3.459460, -2.983911, -2.302565, -2.008555",\ + "-4.303576, -3.828028, -3.352479, -2.671134, -2.377123",\ + "-4.795985, -4.320437, -3.844888, -3.163542, -2.869532",\ + "-4.985675, -4.510128, -4.034579, -3.353233, -3.059223"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.826801"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.395526"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.044058, 15.044058, 14.827427, 14.755217, 14.610796",\ + "15.693016, 15.693016, 15.476385, 15.404175, 15.259754",\ + "16.341972, 16.341972, 16.125341, 16.053131, 15.908710",\ + "17.208982, 17.208982, 16.992352, 16.920141, 16.775721",\ + "17.539682, 17.539682, 17.323051, 17.250841, 17.106421"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.910522, 15.910522, 15.693892, 15.621681, 15.477261",\ + "16.386127, 16.386127, 16.169497, 16.097286, 15.952866",\ + "16.861732, 16.861732, 16.645102, 16.572891, 16.428471",\ + "17.542213, 17.542213, 17.325583, 17.253372, 17.108952",\ + "17.836227, 17.836227, 17.619596, 17.547386, 17.402966"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.235134, 3.235134, 3.343450, 3.451764, 3.668395",\ + "3.174486, 3.174486, 3.282802, 3.391116, 3.607747",\ + "3.082289, 3.082289, 3.190604, 3.298919, 3.515550",\ + "2.980289, 2.980289, 3.088605, 3.196919, 3.413550",\ + "3.009908, 3.009908, 3.118223, 3.226538, 3.443169"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.510746, 1.510746, 1.619062, 1.727376, 1.944007",\ + "1.218737, 1.218737, 1.327053, 1.435367, 1.651998",\ + "0.774825, 0.774825, 0.883140, 0.991455, 1.208086",\ + "0.283719, 0.283719, 0.392035, 0.500349, 0.716980",\ + "0.094072, 0.094072, 0.202388, 0.310702, 0.527333"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.450494, 7.373862, 7.297231, 7.194851, 7.224507",\ + "8.099452, 8.022820, 7.946189, 7.843809, 7.873466",\ + "8.748410, 8.671779, 8.595147, 8.492767, 8.522424",\ + "9.615418, 9.538787, 9.462155, 9.359776, 9.389432",\ + "9.946120, 9.869489, 9.792857, 9.690477, 9.720134"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.239946, 8.163315, 8.086683, 7.984303, 8.013960",\ + "8.715549, 8.638918, 8.562286, 8.459907, 8.489563",\ + "9.191154, 9.114523, 9.037891, 8.935512, 8.965168",\ + "9.871635, 9.795004, 9.718372, 9.615993, 9.645649",\ + "10.165648, 10.089016, 10.012384, 9.910005, 9.939661"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "11.028337, 10.658994, 10.290425, 9.798018, 9.608326",\ + "11.677294, 11.307950, 10.939382, 10.446974, 10.257282",\ + "12.326252, 11.956908, 11.588340, 11.095932, 10.906240",\ + "13.193260, 12.823916, 12.455348, 11.962940, 11.773249",\ + "13.523964, 13.154620, 12.786052, 12.293644, 12.103952"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "10.955032, 10.585689, 10.217120, 9.724712, 9.535021",\ + "11.430635, 11.061293, 10.692724, 10.200317, 10.010626",\ + "11.906240, 11.536897, 11.168328, 10.675920, 10.486229",\ + "12.586720, 12.217377, 11.848808, 11.356401, 11.166710",\ + "12.880732, 12.511389, 12.142820, 11.650414, 11.460722"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.065420, 1.714378, 2.363337, 3.230344, 3.561074",\ + "1.004772, 1.653730, 2.302689, 3.169696, 3.500426",\ + "0.912574, 1.561532, 2.210491, 3.077498, 3.408229",\ + "0.810575, 1.459533, 2.108492, 2.975499, 3.306230",\ + "0.840193, 1.489151, 2.138110, 3.005117, 3.335848"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.832989, 1.481947, 2.130906, 2.997913, 3.328644",\ + "0.540980, 1.189938, 1.838897, 2.705904, 3.036634",\ + "0.097067, 0.746025, 1.394984, 2.261991, 2.592721",\ + "-0.394039, 0.254919, 0.903878, 1.770885, 2.101615",\ + "-0.583686, 0.065272, 0.714231, 1.581238, 1.911969"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.982909, -0.507360, -0.031812, 0.649534, 0.943544",\ + "-1.043557, -0.568008, -0.092460, 0.588886, 0.882896",\ + "-1.135754, -0.660206, -0.184658, 0.496689, 0.790699",\ + "-1.237753, -0.762205, -0.286657, 0.394690, 0.688700",\ + "-1.208135, -0.732587, -0.257039, 0.424308, 0.718318"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.896657, -2.421109, -1.945560, -1.264214, -0.970204",\ + "-3.188666, -2.713118, -2.237570, -1.556223, -1.262213",\ + "-3.632579, -3.157031, -2.681482, -2.000136, -1.706126",\ + "-4.123685, -3.648137, -3.172588, -2.491242, -2.197232",\ + "-4.313331, -3.837782, -3.362234, -2.680888, -2.386878"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "28.426144, 28.835720, 29.119923, 29.150818, 29.253050",\ + "28.426144, 28.835720, 29.119923, 29.150818, 29.253050",\ + "28.534458, 28.944035, 29.228237, 29.259132, 29.361364",\ + "28.642773, 29.052349, 29.336552, 29.367447, 29.469679",\ + "28.859404, 29.268980, 29.553183, 29.584078, 29.686310"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "29.715233, 30.194853, 30.516373, 30.551325, 30.666981",\ + "29.715233, 30.194853, 30.516373, 30.551325, 30.666981",\ + "29.823547, 30.303167, 30.624687, 30.659639, 30.775295",\ + "29.931862, 30.411482, 30.733002, 30.767954, 30.883610",\ + "30.148493, 30.628113, 30.949633, 30.984585, 31.100241"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "28.332266, 28.736626, 28.954496, 28.978182, 29.056553",\ + "28.332266, 28.736626, 28.954496, 28.978182, 29.056553",\ + "28.548897, 28.953259, 29.171127, 29.194813, 29.273184",\ + "28.621107, 29.025467, 29.243338, 29.267023, 29.345394",\ + "28.765528, 29.169888, 29.387758, 29.411444, 29.489815"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "29.586767, 29.827757, 29.958309, 29.972500, 30.019463",\ + "29.586767, 29.827757, 29.958309, 29.972500, 30.019463",\ + "29.803398, 30.044388, 30.174940, 30.189133, 30.236094",\ + "29.875608, 30.116598, 30.247150, 30.261341, 30.308304",\ + "30.020029, 30.261019, 30.391571, 30.405762, 30.452724"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.946845, 14.946845, 14.730214, 14.658004, 14.513583",\ + "15.595801, 15.595801, 15.379170, 15.306960, 15.162539",\ + "16.244759, 16.244759, 16.028130, 15.955918, 15.811498",\ + "17.111767, 17.111767, 16.895134, 16.822926, 16.678505",\ + "17.442455, 17.442455, 17.225826, 17.153614, 17.009193"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.447305, 15.447305, 15.230674, 15.158463, 15.014043",\ + "15.922933, 15.922933, 15.706302, 15.634091, 15.489671",\ + "16.398563, 16.398563, 16.181934, 16.109722, 15.965302",\ + "17.078655, 17.078655, 16.862026, 16.789814, 16.645393",\ + "17.372665, 17.372665, 17.156036, 17.083824, 16.939404"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.797392, 3.797392, 3.905708, 4.014022, 4.230653",\ + "3.720841, 3.720841, 3.829157, 3.937471, 4.154102",\ + "3.644290, 3.644290, 3.752606, 3.860920, 4.077551",\ + "3.542018, 3.542018, 3.650334, 3.758648, 3.975279",\ + "3.571619, 3.571619, 3.679935, 3.788249, 4.004880"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.051086, 1.051086, 1.159402, 1.267716, 1.484347",\ + "0.680210, 0.680210, 0.788526, 0.896840, 1.113471",\ + "0.311453, 0.311453, 0.419768, 0.528083, 0.744714",\ + "-0.181206, -0.181206, -0.072890, 0.035424, 0.252055",\ + "-0.370831, -0.370831, -0.262516, -0.154202, 0.062429"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "43.888718, 44.298294, 44.582493, 44.613392, 44.715622",\ + "43.989178, 44.398750, 44.682953, 44.713852, 44.816082",\ + "44.126881, 44.536453, 44.820656, 44.851555, 44.953785",\ + "44.374237, 44.783813, 45.068012, 45.098911, 45.201141",\ + "44.755054, 45.164627, 45.448830, 45.479729, 45.581959"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "38.783978, 39.263599, 39.585117, 39.620071, 39.735725",\ + "38.884438, 39.364059, 39.685577, 39.720531, 39.836185",\ + "39.022144, 39.501766, 39.823284, 39.858238, 39.973892",\ + "39.269501, 39.749123, 40.070641, 40.105595, 40.221249",\ + "39.650314, 40.129936, 40.451454, 40.486408, 40.602062"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "8.809871, 9.214231, 9.432102, 9.455787, 9.534158",\ + "8.910332, 9.314693, 9.532563, 9.556248, 9.634619",\ + "9.048037, 9.452398, 9.670268, 9.693953, 9.772324",\ + "9.295391, 9.699753, 9.917624, 9.941307, 10.019678",\ + "9.676207, 10.080568, 10.298438, 10.322123, 10.400494"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "9.773023, 10.014013, 10.144564, 10.158756, 10.205717",\ + "9.873484, 10.114473, 10.245026, 10.259217, 10.306179",\ + "10.011189, 10.252180, 10.382730, 10.396923, 10.443884",\ + "10.258545, 10.499535, 10.630086, 10.644278, 10.691239",\ + "10.639359, 10.880349, 11.010900, 11.025093, 11.072054"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.118158, 13.527734, 13.811935, 13.842833, 13.945063",\ + "13.767117, 14.176692, 14.460894, 14.491791, 14.594021",\ + "14.416075, 14.825650, 15.109852, 15.140749, 15.242979",\ + "15.283081, 15.692657, 15.976860, 16.007755, 16.109987",\ + "15.613817, 16.023392, 16.307594, 16.338491, 16.440722"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.034760, 15.514381, 15.835900, 15.870853, 15.986507",\ + "15.510302, 15.989922, 16.311441, 16.346394, 16.462049",\ + "15.985843, 16.465464, 16.786983, 16.821936, 16.937590",\ + "16.667294, 17.146915, 17.468433, 17.503387, 17.619041",\ + "16.961304, 17.440926, 17.762444, 17.797398, 17.913052"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.757706, 12.162066, 12.379936, 12.403622, 12.481993",\ + "11.834344, 12.238705, 12.456575, 12.480260, 12.558631",\ + "11.910982, 12.315344, 12.533215, 12.556898, 12.635269",\ + "11.983710, 12.388071, 12.605942, 12.629626, 12.707997",\ + "11.983710, 12.388071, 12.605942, 12.629626, 12.707997"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.636138, 13.877129, 14.007679, 14.021872, 14.068832",\ + "14.005354, 14.246344, 14.376896, 14.391088, 14.438049",\ + "14.373906, 14.614897, 14.745447, 14.759640, 14.806601",\ + "14.866293, 15.107283, 15.237835, 15.252027, 15.298988",\ + "15.055990, 15.296980, 15.427532, 15.441724, 15.488686"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.766836, 14.176412, 14.460613, 14.491510, 14.593740",\ + "14.242384, 14.651960, 14.936163, 14.967058, 15.069290",\ + "14.717932, 15.127508, 15.411711, 15.442606, 15.544838",\ + "15.399279, 15.808855, 16.093058, 16.123953, 16.226185",\ + "15.693289, 16.102865, 16.387068, 16.417963, 16.520195"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.063210, 16.542830, 16.864349, 16.899302, 17.014957",\ + "16.538757, 17.018379, 17.339897, 17.374851, 17.490505",\ + "17.014305, 17.493927, 17.815445, 17.850399, 17.966053",\ + "17.695652, 18.175272, 18.496792, 18.531744, 18.647400",\ + "17.989662, 18.469282, 18.790802, 18.825754, 18.941410"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.982121, 12.386482, 12.604352, 12.628036, 12.706408",\ + "12.351464, 12.755825, 12.973696, 12.997380, 13.075751",\ + "12.720034, 13.124394, 13.342264, 13.365950, 13.444321",\ + "13.212440, 13.616801, 13.834671, 13.858356, 13.936728",\ + "13.402132, 13.806493, 14.024363, 14.048048, 14.126419"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.065283, 13.306273, 13.436824, 13.451015, 13.497977",\ + "13.434626, 13.675615, 13.806168, 13.820359, 13.867321",\ + "13.803192, 14.044182, 14.174734, 14.188926, 14.235888",\ + "14.295599, 14.536589, 14.667141, 14.681333, 14.728294",\ + "14.485291, 14.726280, 14.856833, 14.871024, 14.917986"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "18.500090, 18.909666, 19.193869, 19.224764, 19.326996",\ + "19.149048, 19.558624, 19.842827, 19.873722, 19.975954",\ + "19.798006, 20.207582, 20.491785, 20.522680, 20.624912",\ + "20.665014, 21.074591, 21.358793, 21.389688, 21.491920",\ + "20.995745, 21.405321, 21.689524, 21.720419, 21.822651"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380",\ + "2.171340, 2.946530, 3.483057, 3.541384, 3.734380"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "21.084267, 21.563889, 21.885406, 21.920361, 22.036015",\ + "21.733227, 22.212847, 22.534367, 22.569319, 22.684975",\ + "22.382185, 22.861805, 23.183325, 23.218277, 23.333933",\ + "23.249193, 23.728813, 24.050333, 24.085285, 24.200941",\ + "23.579924, 24.059544, 24.381063, 24.416016, 24.531672"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576",\ + "2.315988, 3.166851, 3.746197, 3.809178, 4.017576"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.211141, 12.615501, 12.833372, 12.857057, 12.935428",\ + "12.287772, 12.692133, 12.910004, 12.933688, 13.012059",\ + "12.364404, 12.768764, 12.986635, 13.010320, 13.088691",\ + "12.437127, 12.841488, 13.059359, 13.083043, 13.161414",\ + "12.437127, 12.841488, 13.059359, 13.083043, 13.161414"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139",\ + "1.869095, 2.019315, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.444143, 13.685133, 13.815685, 13.829877, 13.876839",\ + "13.520775, 13.761765, 13.892317, 13.906508, 13.953470",\ + "13.597406, 13.838396, 13.968948, 13.983140, 14.030102",\ + "13.670130, 13.911119, 14.041672, 14.055863, 14.102825",\ + "13.670130, 13.911119, 14.041672, 14.055863, 14.102825"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869",\ + "1.322957, 1.412935, 1.461922, 1.467247, 1.484869"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.729065, 1.359722, 0.991153, 0.498746, 0.309054",\ + "2.378022, 2.008679, 1.640110, 1.147703, 0.958012",\ + "3.026979, 2.657636, 2.289068, 1.796660, 1.606969",\ + "3.893985, 3.524642, 3.156074, 2.663666, 2.473975",\ + "4.224675, 3.855331, 3.486763, 2.994356, 2.804664"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.124074, 4.754731, 4.386163, 3.893755, 3.704064",\ + "5.599704, 5.230361, 4.861793, 4.369385, 4.179694",\ + "6.075332, 5.705989, 5.337420, 4.845013, 4.655322",\ + "6.755423, 6.386080, 6.017511, 5.525104, 5.335413",\ + "7.049434, 6.680091, 6.311522, 5.819115, 5.629424"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.474874, 0.000674, 0.476222, 1.157568, 1.451579",\ + "-0.551425, -0.075877, 0.399671, 1.081017, 1.375028",\ + "-0.627976, -0.152428, 0.323120, 1.004467, 1.298477",\ + "-0.730248, -0.254700, 0.220848, 0.902194, 1.196205",\ + "-0.700648, -0.225100, 0.250449, 0.931795, 1.225805"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.020861, -3.545312, -3.069764, -2.388418, -2.094408",\ + "-4.391737, -3.916189, -3.440640, -2.759294, -2.465284",\ + "-4.760495, -4.284947, -3.809399, -3.128052, -2.834042",\ + "-5.253154, -4.777606, -4.302057, -3.620711, -3.326701",\ + "-5.442780, -4.967231, -4.491683, -3.810337, -3.516326"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.753459, 14.753459, 14.536828, 14.464618, 14.320197",\ + "15.402413, 15.402413, 15.185782, 15.113572, 14.969151",\ + "16.051373, 16.051373, 15.834741, 15.762530, 15.618110",\ + "16.918377, 16.918377, 16.701748, 16.629536, 16.485115",\ + "17.249054, 17.249054, 17.032421, 16.960213, 16.815792"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.365523, 15.365523, 15.148892, 15.076682, 14.932261",\ + "15.841182, 15.841182, 15.624551, 15.552341, 15.407920",\ + "16.316841, 16.316841, 16.100208, 16.028000, 15.883578",\ + "16.996494, 16.996494, 16.779861, 16.707653, 16.563232",\ + "17.290504, 17.290504, 17.073872, 17.001663, 16.857243"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "4.118170, 4.118170, 4.226485, 4.334800, 4.551431",\ + "4.041646, 4.041646, 4.149962, 4.258276, 4.474907",\ + "3.965123, 3.965123, 4.073439, 4.181753, 4.398384",\ + "3.862888, 3.862888, 3.971203, 4.079518, 4.296149",\ + "3.892470, 3.892470, 4.000785, 4.109100, 4.325731"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.478045, 3.478045, 3.586360, 3.694674, 3.911305",\ + "3.106635, 3.106635, 3.214951, 3.323265, 3.539896",\ + "2.737812, 2.737812, 2.846128, 2.954442, 3.171073",\ + "2.245064, 2.245064, 2.353380, 2.461694, 2.678325",\ + "2.055461, 2.055461, 2.163777, 2.272091, 2.488722"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.458903, 1.089559, 0.720991, 0.228583, 0.038892",\ + "2.107860, 1.738516, 1.369948, 0.877541, 0.687849",\ + "2.756818, 2.387475, 2.018906, 1.526499, 1.336807",\ + "3.623825, 3.254481, 2.885912, 2.393505, 2.203814",\ + "3.954501, 3.585157, 3.216588, 2.724181, 2.534490"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.648076, 3.278732, 2.910163, 2.417756, 2.228065",\ + "4.123733, 3.754389, 3.385820, 2.893413, 2.703722",\ + "4.599390, 4.230046, 3.861478, 3.369071, 3.179379",\ + "5.279045, 4.909701, 4.541133, 4.048726, 3.859034",\ + "5.573056, 5.203712, 4.835144, 4.342737, 4.153045"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.127937, 0.347611, 0.823160, 1.504506, 1.798516",\ + "-0.204460, 0.271088, 0.746637, 1.427983, 1.721993",\ + "-0.280983, 0.194564, 0.670113, 1.351459, 1.645469",\ + "-0.383218, 0.092330, 0.567878, 1.249224, 1.543234",\ + "-0.353636, 0.121912, 0.597460, 1.278806, 1.572816"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.410577, -1.935029, -1.459480, -0.778134, -0.484124",\ + "-2.781986, -2.306438, -1.830890, -1.149544, -0.855534",\ + "-3.150809, -2.675261, -2.199713, -1.518367, -1.224357",\ + "-3.643557, -3.168009, -2.692461, -2.011115, -1.717104",\ + "-3.833160, -3.357612, -2.882063, -2.200717, -1.906707"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "1.164363"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.010148"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.991811 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.977715, 17.368299, 17.586180, 17.609865, 17.688240",\ + "16.977715, 17.368299, 17.586180, 17.609865, 17.688240",\ + "17.086031, 17.476616, 17.694496, 17.718182, 17.796556",\ + "17.194345, 17.584930, 17.802811, 17.826496, 17.904871",\ + "17.410975, 17.801559, 18.019440, 18.043125, 18.121500"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869125, 2.019276, 2.088651, 2.096192, 2.121147",\ + "1.869125, 2.019276, 2.088651, 2.096192, 2.121147",\ + "1.869125, 2.019276, 2.088651, 2.096192, 2.121147",\ + "1.869125, 2.019276, 2.088651, 2.096192, 2.121147",\ + "1.869125, 2.019276, 2.088651, 2.096192, 2.121147"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "18.881969, 19.123022, 19.253553, 19.267744, 19.314697",\ + "18.881969, 19.123022, 19.253553, 19.267744, 19.314697",\ + "18.990284, 19.231339, 19.361870, 19.376059, 19.423012",\ + "19.098598, 19.339653, 19.470184, 19.484373, 19.531326",\ + "19.315229, 19.556282, 19.686813, 19.701004, 19.747957"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322882, 1.413079, 1.461987, 1.467303, 1.484896",\ + "1.322882, 1.413079, 1.461987, 1.467303, 1.484896",\ + "1.322882, 1.413079, 1.461987, 1.467303, 1.484896",\ + "1.322882, 1.413079, 1.461987, 1.467303, 1.484896",\ + "1.322882, 1.413079, 1.461987, 1.467303, 1.484896"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.567030, 16.957615, 17.175495, 17.199181, 17.277555",\ + "16.567030, 16.957615, 17.175495, 17.199181, 17.277555",\ + "16.783659, 17.174244, 17.392124, 17.415810, 17.494184",\ + "16.855869, 17.246454, 17.464334, 17.488020, 17.566395",\ + "17.000290, 17.390875, 17.608755, 17.632441, 17.710815"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869125, 2.019276, 2.088651, 2.096192, 2.121147",\ + "1.869125, 2.019276, 2.088651, 2.096192, 2.121147",\ + "1.869125, 2.019276, 2.088651, 2.096192, 2.121147",\ + "1.869125, 2.019276, 2.088651, 2.096192, 2.121147",\ + "1.869125, 2.019276, 2.088651, 2.096192, 2.121147"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "17.994694, 18.235748, 18.366280, 18.380468, 18.427422",\ + "17.994694, 18.235748, 18.366280, 18.380468, 18.427422",\ + "18.211323, 18.452377, 18.582909, 18.597097, 18.644051",\ + "18.283535, 18.524588, 18.655117, 18.669308, 18.716263",\ + "18.427956, 18.669008, 18.799538, 18.813728, 18.860683"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322882, 1.413079, 1.461987, 1.467303, 1.484896",\ + "1.322882, 1.413079, 1.461987, 1.467303, 1.484896",\ + "1.322882, 1.413079, 1.461987, 1.467303, 1.484896",\ + "1.322882, 1.413079, 1.461987, 1.467303, 1.484896",\ + "1.322882, 1.413079, 1.461987, 1.467303, 1.484896"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.862885, 13.253469, 13.471350, 13.495035, 13.573410",\ + "13.511843, 13.902428, 14.120308, 14.143993, 14.222368",\ + "14.160801, 14.551386, 14.769266, 14.792952, 14.871326",\ + "15.027809, 15.418394, 15.636274, 15.659960, 15.738335",\ + "15.358540, 15.749125, 15.967005, 15.990690, 16.069065"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.064029, 2.137877, 2.145905, 2.172470",\ + "1.903822, 2.064029, 2.137877, 2.145905, 2.172470",\ + "1.903822, 2.064029, 2.137877, 2.145905, 2.172470",\ + "1.903822, 2.064029, 2.137877, 2.145905, 2.172470",\ + "1.903822, 2.064029, 2.137877, 2.145905, 2.172470"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.349135, 13.590189, 13.720719, 13.734909, 13.781863",\ + "13.998094, 14.239147, 14.369678, 14.383867, 14.430821",\ + "14.647052, 14.888105, 15.018636, 15.032825, 15.079780",\ + "15.514060, 15.755114, 15.885644, 15.899834, 15.946788",\ + "15.844790, 16.085844, 16.216373, 16.230564, 16.277519"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403146, 1.504032, 1.553026, 1.558352, 1.575976",\ + "1.403146, 1.504032, 1.553026, 1.558352, 1.575976",\ + "1.403146, 1.504032, 1.553026, 1.558352, 1.575976",\ + "1.403146, 1.504032, 1.553026, 1.558352, 1.575976",\ + "1.403146, 1.504032, 1.553026, 1.558352, 1.575976"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.817240, 10.817560, 10.817687, 10.817700, 10.817746",\ + "10.893871, 10.894192, 10.894319, 10.894332, 10.894378",\ + "10.970503, 10.970823, 10.970949, 10.970963, 10.971008",\ + "11.043226, 11.043547, 11.043673, 11.043687, 11.043732",\ + "11.043226, 11.043547, 11.043673, 11.043687, 11.043732"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.691448, 10.697142, 10.698025, 10.698120, 10.698438",\ + "10.768080, 10.773773, 10.774656, 10.774752, 10.775069",\ + "10.844711, 10.850405, 10.851287, 10.851383, 10.851700",\ + "10.917435, 10.923128, 10.924010, 10.924107, 10.924423",\ + "10.917435, 10.923128, 10.924010, 10.924107, 10.924423"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.308136, 15.698707, 15.916589, 15.940275, 16.018650",\ + "15.308136, 15.698707, 15.916589, 15.940275, 16.018650",\ + "15.416452, 15.807022, 16.024904, 16.048590, 16.126965",\ + "15.524767, 15.915337, 16.133219, 16.156904, 16.235279",\ + "15.741398, 16.131968, 16.349850, 16.373535, 16.451912"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.950601, 16.191664, 16.322191, 16.336382, 16.383335",\ + "15.950601, 16.191664, 16.322191, 16.336382, 16.383335",\ + "16.058916, 16.299980, 16.430508, 16.444696, 16.491650",\ + "16.167231, 16.408295, 16.538822, 16.553011, 16.599964",\ + "16.383862, 16.624926, 16.755453, 16.769644, 16.816597"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "14.943743, 15.334312, 15.552195, 15.575880, 15.654256",\ + "14.943743, 15.334312, 15.552195, 15.575880, 15.654256",\ + "15.160372, 15.550942, 15.768825, 15.792511, 15.870886",\ + "15.232582, 15.623153, 15.841035, 15.864721, 15.943096",\ + "15.377003, 15.767573, 15.985456, 16.009142, 16.087517"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.722961, 15.964025, 16.094553, 16.108742, 16.155695",\ + "15.722961, 15.964025, 16.094553, 16.108742, 16.155695",\ + "15.939591, 16.180655, 16.311182, 16.325373, 16.372326",\ + "16.011803, 16.252865, 16.383392, 16.397583, 16.444534",\ + "16.156223, 16.397287, 16.527815, 16.542004, 16.588957"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.562492, 12.953062, 13.170944, 13.194631, 13.273006",\ + "13.211451, 13.602021, 13.819903, 13.843590, 13.921965",\ + "13.860411, 14.250981, 14.468863, 14.492550, 14.570925",\ + "14.727419, 15.117989, 15.335871, 15.359556, 15.437932",\ + "15.058149, 15.448719, 15.666601, 15.690287, 15.768662"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.064029, 2.137877, 2.145905, 2.172470",\ + "1.903822, 2.064029, 2.137877, 2.145905, 2.172470",\ + "1.903822, 2.064029, 2.137877, 2.145905, 2.172470",\ + "1.903822, 2.064029, 2.137877, 2.145905, 2.172470",\ + "1.903822, 2.064029, 2.137877, 2.145905, 2.172470"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.232445, 13.473509, 13.604036, 13.618225, 13.665178",\ + "13.881404, 14.122467, 14.252995, 14.267185, 14.314137",\ + "14.530362, 14.771425, 14.901953, 14.916143, 14.963096",\ + "15.397370, 15.638433, 15.768961, 15.783152, 15.830104",\ + "15.728101, 15.969164, 16.099691, 16.113882, 16.160835"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403147, 1.504032, 1.553026, 1.558352, 1.575976",\ + "1.403147, 1.504032, 1.553026, 1.558352, 1.575976",\ + "1.403147, 1.504032, 1.553026, 1.558352, 1.575976",\ + "1.403147, 1.504032, 1.553026, 1.558352, 1.575976",\ + "1.403147, 1.504032, 1.553026, 1.558352, 1.575976"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.469701, 10.470020, 10.470147, 10.470161, 10.470206",\ + "10.546332, 10.546652, 10.546779, 10.546793, 10.546838",\ + "10.622964, 10.623283, 10.623410, 10.623425, 10.623469",\ + "10.695687, 10.696007, 10.696134, 10.696148, 10.696193",\ + "10.695687, 10.696007, 10.696134, 10.696148, 10.696193"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.343904, 10.349600, 10.350482, 10.350578, 10.350895",\ + "10.420535, 10.426231, 10.427114, 10.427210, 10.427526",\ + "10.497167, 10.502863, 10.503745, 10.503841, 10.504158",\ + "10.569890, 10.575586, 10.576468, 10.576565, 10.576881",\ + "10.569890, 10.575586, 10.576468, 10.576565, 10.576881"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.043869, 11.434439, 11.652321, 11.676006, 11.754382",\ + "11.692827, 12.083397, 12.301279, 12.324965, 12.403340",\ + "12.341785, 12.732355, 12.950237, 12.973924, 13.052299",\ + "13.208794, 13.599363, 13.817245, 13.840931, 13.919307",\ + "13.539524, 13.930095, 14.147977, 14.171663, 14.250038"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.700386, 11.941449, 12.071977, 12.086166, 12.133120",\ + "12.175934, 12.416998, 12.547525, 12.561714, 12.608667",\ + "12.651482, 12.892546, 13.023073, 13.037263, 13.084215",\ + "13.332829, 13.573893, 13.704420, 13.718609, 13.765562",\ + "13.626839, 13.867903, 13.998430, 14.012619, 14.059572"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.099533, 10.490104, 10.707986, 10.731672, 10.810047",\ + "10.176165, 10.566735, 10.784617, 10.808304, 10.886679",\ + "10.252796, 10.643367, 10.861249, 10.884935, 10.963310",\ + "10.325520, 10.716090, 10.933972, 10.957659, 11.036034",\ + "10.325520, 10.716090, 10.933972, 10.957659, 11.036034"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019269, 2.088650, 2.096192, 2.121149"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.157743, 11.398808, 11.529335, 11.543525, 11.590477",\ + "11.527087, 11.768150, 11.898678, 11.912868, 11.959821",\ + "11.895656, 12.136719, 12.267246, 12.281437, 12.328389",\ + "12.388063, 12.629127, 12.759654, 12.773844, 12.820797",\ + "12.577755, 12.818818, 12.949346, 12.963535, 13.010489"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900",\ + "1.322869, 1.413103, 1.461997, 1.467312, 1.484900"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.179754, 15.570328, 15.788210, 15.811895, 15.890270",\ + "15.179754, 15.570328, 15.788210, 15.811895, 15.890270",\ + "15.288069, 15.678643, 15.896524, 15.920211, 15.998586",\ + "15.396383, 15.786958, 16.004839, 16.028526, 16.106899",\ + "15.613016, 16.003590, 16.221472, 16.245157, 16.323532"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.064003, 2.137864, 2.145894, 2.172463",\ + "1.903822, 2.064003, 2.137864, 2.145894, 2.172463",\ + "1.903822, 2.064003, 2.137864, 2.145894, 2.172463",\ + "1.903822, 2.064003, 2.137864, 2.145894, 2.172463",\ + "1.903822, 2.064003, 2.137864, 2.145894, 2.172463"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.798351, 16.043730, 16.177460, 16.191998, 16.240101",\ + "15.798351, 16.043730, 16.177460, 16.191998, 16.240101",\ + "15.906666, 16.152046, 16.285774, 16.300312, 16.348417",\ + "16.014980, 16.260361, 16.394089, 16.408627, 16.456732",\ + "16.231613, 16.476992, 16.610720, 16.625257, 16.673363"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403291, 1.504029, 1.553025, 1.558351, 1.575976",\ + "1.403291, 1.504029, 1.553025, 1.558351, 1.575976",\ + "1.403291, 1.504029, 1.553025, 1.558351, 1.575976",\ + "1.403291, 1.504029, 1.553025, 1.558351, 1.575976",\ + "1.403291, 1.504029, 1.553025, 1.558351, 1.575976"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.847479, 13.847758, 13.847869, 13.847881, 13.847921",\ + "13.847479, 13.847758, 13.847869, 13.847881, 13.847921",\ + "14.064110, 14.064389, 14.064500, 14.064512, 14.064552",\ + "14.136320, 14.136600, 14.136710, 14.136723, 14.136763",\ + "14.280741, 14.281020, 14.281131, 14.281143, 14.281183"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.720474, 13.726734, 13.727658, 13.727759, 13.728091",\ + "13.720474, 13.726734, 13.727658, 13.727759, 13.728091",\ + "13.937105, 13.943365, 13.944289, 13.944390, 13.944722",\ + "14.009315, 14.015575, 14.016500, 14.016601, 14.016932",\ + "14.153736, 14.159996, 14.160920, 14.161021, 14.161353"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.111297, 10.501871, 10.719752, 10.743439, 10.821814",\ + "10.760254, 11.150827, 11.368710, 11.392395, 11.470770",\ + "11.409212, 11.799786, 12.017668, 12.041353, 12.119728",\ + "12.276220, 12.666794, 12.884676, 12.908361, 12.986736",\ + "12.606922, 12.997496, 13.215378, 13.239063, 13.317438"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869129, 2.019271, 2.088650, 2.096192, 2.121148",\ + "1.869129, 2.019271, 2.088650, 2.096192, 2.121148",\ + "1.869129, 2.019271, 2.088650, 2.096192, 2.121148",\ + "1.869129, 2.019271, 2.088650, 2.096192, 2.121148",\ + "1.869129, 2.019271, 2.088650, 2.096192, 2.121148"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.781208, 11.022268, 11.152798, 11.166986, 11.213940",\ + "11.256813, 11.497873, 11.628402, 11.642591, 11.689545",\ + "11.732416, 11.973476, 12.104006, 12.118196, 12.165148",\ + "12.412899, 12.653959, 12.784488, 12.798677, 12.845631",\ + "12.706909, 12.947969, 13.078499, 13.092689, 13.139641"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322873, 1.413096, 1.461994, 1.467310, 1.484899",\ + "1.322873, 1.413096, 1.461994, 1.467310, 1.484899",\ + "1.322873, 1.413096, 1.461994, 1.467310, 1.484899",\ + "1.322873, 1.413096, 1.461994, 1.467310, 1.484899",\ + "1.322873, 1.413096, 1.461994, 1.467310, 1.484899"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "9.444408, 9.834982, 10.052864, 10.076550, 10.154924",\ + "9.505056, 9.895630, 10.113512, 10.137197, 10.215572",\ + "9.597254, 9.987827, 10.205709, 10.229395, 10.307770",\ + "9.669634, 10.060208, 10.278090, 10.301776, 10.380151",\ + "9.669634, 10.060208, 10.278090, 10.301776, 10.380151"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869129, 2.019271, 2.088650, 2.096192, 2.121148",\ + "1.869129, 2.019271, 2.088650, 2.096192, 2.121148",\ + "1.869129, 2.019271, 2.088650, 2.096192, 2.121148",\ + "1.869129, 2.019271, 2.088650, 2.096192, 2.121148",\ + "1.869129, 2.019271, 2.088650, 2.096192, 2.121148"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.377606, 10.618667, 10.749195, 10.763386, 10.810338",\ + "10.669616, 10.910676, 11.041204, 11.055395, 11.102347",\ + "11.113529, 11.354589, 11.485119, 11.499308, 11.546261",\ + "11.604635, 11.845695, 11.976225, 11.990414, 12.037367",\ + "11.794282, 12.035342, 12.165871, 12.180061, 12.227014"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322873, 1.413096, 1.461994, 1.467310, 1.484899",\ + "1.322873, 1.413096, 1.461994, 1.467310, 1.484899",\ + "1.322873, 1.413096, 1.461994, 1.467310, 1.484899",\ + "1.322873, 1.413096, 1.461994, 1.467310, 1.484899",\ + "1.322873, 1.413096, 1.461994, 1.467310, 1.484899"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.265669, 12.656242, 12.874125, 12.897810, 12.976185",\ + "12.914627, 13.305202, 13.523083, 13.546769, 13.625144",\ + "13.563587, 13.954161, 14.172043, 14.195728, 14.274103",\ + "14.430593, 14.821167, 15.039049, 15.062735, 15.141109",\ + "14.761324, 15.151898, 15.369780, 15.393466, 15.471841"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.064003, 2.137864, 2.145894, 2.172463",\ + "1.903822, 2.064003, 2.137864, 2.145894, 2.172463",\ + "1.903822, 2.064003, 2.137864, 2.145894, 2.172463",\ + "1.903822, 2.064003, 2.137864, 2.145894, 2.172463",\ + "1.903822, 2.064003, 2.137864, 2.145894, 2.172463"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.903690, 13.144751, 13.275280, 13.289469, 13.336422",\ + "13.552650, 13.793711, 13.924239, 13.938429, 13.985382",\ + "14.201609, 14.442669, 14.573197, 14.587387, 14.634340",\ + "15.068615, 15.309675, 15.440205, 15.454394, 15.501347",\ + "15.399345, 15.640406, 15.770935, 15.785125, 15.832077"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403291, 1.504029, 1.553025, 1.558351, 1.575976",\ + "1.403291, 1.504029, 1.553025, 1.558351, 1.575976",\ + "1.403291, 1.504029, 1.553025, 1.558351, 1.575976",\ + "1.403291, 1.504029, 1.553025, 1.558351, 1.575976",\ + "1.403291, 1.504029, 1.553025, 1.558351, 1.575976"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.464522, 10.464802, 10.464912, 10.464925, 10.464964",\ + "10.541154, 10.541433, 10.541544, 10.541556, 10.541595",\ + "10.617785, 10.618065, 10.618176, 10.618187, 10.618227",\ + "10.690508, 10.690788, 10.690899, 10.690910, 10.690950",\ + "10.690508, 10.690788, 10.690899, 10.690910, 10.690950"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.337518, 10.343778, 10.344702, 10.344803, 10.345135",\ + "10.414149, 10.420409, 10.421333, 10.421434, 10.421766",\ + "10.490780, 10.497040, 10.497965, 10.498065, 10.498398",\ + "10.563503, 10.569763, 10.570688, 10.570788, 10.571121",\ + "10.563503, 10.569763, 10.570688, 10.570788, 10.571121"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "1.456954"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.688825"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/lib/ss/caravan.nom.lib b/signoff/caravan/primetime/lib/ss/caravan.nom.lib new file mode 100644 index 00000000..48c12a46 --- /dev/null +++ b/signoff/caravan/primetime/lib/ss/caravan.nom.lib @@ -0,0 +1,4508 @@ + +library("caravan.nom") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 05:01:15 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.6000 ; + nom_temperature : 100.0000 ; + operating_conditions( "sky130_fd_sc_hd__ss_1.60v_100C" ) { + process : 1.0000 ; + voltage : 1.6000 ; + temperature : 100.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__ss_1.60v_100C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.918826, 13.918826, 13.702194, 13.629983, 13.485561",\ + "14.567784, 14.567784, 14.351152, 14.278941, 14.134520",\ + "15.216743, 15.216743, 15.000111, 14.927899, 14.783478",\ + "16.083752, 16.083752, 15.867119, 15.794908, 15.650486",\ + "16.414478, 16.414478, 16.197845, 16.125633, 15.981213"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.637105, 14.637105, 14.420473, 14.348262, 14.203840",\ + "15.112657, 15.112657, 14.896025, 14.823813, 14.679392",\ + "15.588208, 15.588208, 15.371576, 15.299365, 15.154943",\ + "16.269501, 16.269501, 16.052870, 15.980659, 15.836237",\ + "16.563511, 16.563511, 16.346880, 16.274670, 16.130247"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.183148, 2.183148, 2.291466, 2.399781, 2.616415",\ + "2.106520, 2.106520, 2.214838, 2.323153, 2.539787",\ + "2.029892, 2.029892, 2.138210, 2.246525, 2.463159",\ + "1.927517, 1.927517, 2.035835, 2.144150, 2.360784",\ + "1.957171, 1.957171, 2.065488, 2.173804, 2.390438"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.857394, 0.857394, 0.965712, 1.074027, 1.290661",\ + "0.487984, 0.487984, 0.596301, 0.704617, 0.921250",\ + "0.119407, 0.119407, 0.227724, 0.336040, 0.552673",\ + "-0.373012, -0.373012, -0.264694, -0.156379, 0.060255",\ + "-0.562700, -0.562700, -0.454383, -0.346067, -0.129434"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.532665, 4.163554, 3.795013, 3.302644, 3.112942",\ + "5.181623, 4.812512, 4.443972, 3.951602, 3.761900",\ + "5.830582, 5.461470, 5.092930, 4.600560, 4.410859",\ + "6.697590, 6.328478, 5.959938, 5.467568, 5.277867",\ + "7.028316, 6.659205, 6.290665, 5.798295, 5.608593"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.777541, 6.408430, 6.039889, 5.547520, 5.357818",\ + "7.253093, 6.883981, 6.515441, 6.023071, 5.833370",\ + "7.728645, 7.359534, 6.990993, 6.498624, 6.308922",\ + "8.409938, 8.040827, 7.672286, 7.179916, 6.990215",\ + "8.703947, 8.334835, 7.966295, 7.473926, 7.284224"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.178820, -2.703283, -2.227746, -1.546209, -1.251282",\ + "-3.255448, -2.779911, -2.304375, -1.622838, -1.327910",\ + "-3.332077, -2.856540, -2.381003, -1.699466, -1.404539",\ + "-3.434452, -2.958915, -2.483378, -1.801841, -1.506914",\ + "-3.404798, -2.929261, -2.453725, -1.772188, -1.477260"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.310546, -4.835010, -4.359473, -3.677936, -3.383008",\ + "-5.679957, -5.204420, -4.728884, -4.047347, -3.752419",\ + "-6.048534, -5.572997, -5.097461, -4.415924, -4.120996",\ + "-6.540953, -6.065416, -5.589880, -4.908343, -4.613415",\ + "-6.730642, -6.255105, -5.779569, -5.098032, -4.803104"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.869299, 13.869299, 13.652667, 13.580456, 13.436034",\ + "14.518255, 14.518255, 14.301623, 14.229412, 14.084991",\ + "15.167213, 15.167213, 14.950582, 14.878370, 14.733949",\ + "16.034222, 16.034222, 15.817590, 15.745378, 15.600957",\ + "16.364956, 16.364956, 16.148323, 16.076113, 15.931691"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.225941, 14.225941, 14.009309, 13.937098, 13.792676",\ + "14.701477, 14.701477, 14.484845, 14.412634, 14.268212",\ + "15.177017, 15.177017, 14.960385, 14.888174, 14.743752",\ + "15.858509, 15.858509, 15.641877, 15.569666, 15.425244",\ + "16.152519, 16.152519, 15.935887, 15.863676, 15.719254"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.591353, 0.591353, 0.699671, 0.807986, 1.024620",\ + "0.514711, 0.514711, 0.623029, 0.731344, 0.947978",\ + "0.438071, 0.438071, 0.546389, 0.654704, 0.871338",\ + "0.335679, 0.335679, 0.443996, 0.552312, 0.768946",\ + "0.365341, 0.365341, 0.473659, 0.581974, 0.798608"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.402543, -1.402543, -1.294226, -1.185910, -0.969276",\ + "-1.771707, -1.771707, -1.663389, -1.555074, -1.338440",\ + "-2.140253, -2.140253, -2.031936, -1.923620, -1.706986",\ + "-2.632631, -2.632631, -2.524314, -2.415998, -2.199365",\ + "-2.822330, -2.822330, -2.714013, -2.605698, -2.389064"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.229382, 4.860270, 4.491730, 3.999360, 3.809659",\ + "5.878339, 5.509227, 5.140687, 4.648317, 4.458616",\ + "6.527297, 6.158185, 5.789645, 5.297276, 5.107574",\ + "7.394305, 7.025194, 6.656653, 6.164284, 5.974582",\ + "7.725040, 7.355929, 6.987389, 6.495019, 6.305317"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.606132, 7.237020, 6.868480, 6.376110, 6.186409",\ + "8.081671, 7.712559, 7.344019, 6.851649, 6.661948",\ + "8.557209, 8.188097, 7.819557, 7.327188, 7.137486",\ + "9.238704, 8.869593, 8.501052, 8.008682, 7.818981",\ + "9.532714, 9.163603, 8.795062, 8.302692, 8.112991"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.840546, -3.365009, -2.889473, -2.207936, -1.913008",\ + "-3.917188, -3.441651, -2.966115, -2.284578, -1.989650",\ + "-3.993828, -3.518291, -3.042755, -2.361218, -2.066290",\ + "-4.096220, -3.620684, -3.145147, -2.463610, -2.168683",\ + "-4.066558, -3.591022, -3.115485, -2.433948, -2.139020"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.434420, -5.958883, -5.483347, -4.801810, -4.506882",\ + "-6.803584, -6.328047, -5.852510, -5.170973, -4.876046",\ + "-7.172129, -6.696592, -6.221056, -5.539519, -5.244591",\ + "-7.664507, -7.188971, -6.713434, -6.031897, -5.736969",\ + "-7.854207, -7.378670, -6.903133, -6.221596, -5.926669"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.321646, 14.321646, 14.105014, 14.032803, 13.888381",\ + "14.970604, 14.970604, 14.753972, 14.681761, 14.537339",\ + "15.619562, 15.619562, 15.402930, 15.330719, 15.186297",\ + "16.486570, 16.486570, 16.269939, 16.197727, 16.053307",\ + "16.817293, 16.817293, 16.600662, 16.528450, 16.384029"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.062469, 15.062469, 14.845838, 14.773626, 14.629205",\ + "15.538033, 15.538033, 15.321401, 15.249189, 15.104768",\ + "16.013592, 16.013592, 15.796960, 15.724749, 15.580327",\ + "16.694736, 16.694736, 16.478104, 16.405893, 16.261471",\ + "16.988747, 16.988747, 16.772114, 16.699903, 16.555481"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.000164, 2.000164, 2.108481, 2.216797, 2.433431",\ + "1.923546, 1.923546, 2.031863, 2.140179, 2.356812",\ + "1.846928, 1.846928, 1.955245, 2.063560, 2.280194",\ + "1.744565, 1.744565, 1.852883, 1.961198, 2.177832",\ + "1.774213, 1.774213, 1.882530, 1.990846, 2.207479"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.799585, 0.799585, 0.907903, 1.016218, 1.232852",\ + "0.429995, 0.429995, 0.538312, 0.646627, 0.863261",\ + "0.061394, 0.061394, 0.169711, 0.278027, 0.494660",\ + "-0.431053, -0.431053, -0.322736, -0.214420, 0.002213",\ + "-0.620735, -0.620735, -0.512418, -0.404102, -0.187469"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.633686, 4.264574, 3.896034, 3.403664, 3.213963",\ + "5.282645, 4.913533, 4.544993, 4.052623, 3.862922",\ + "5.931603, 5.562491, 5.193951, 4.701581, 4.511880",\ + "6.798609, 6.429498, 6.060957, 5.568588, 5.378886",\ + "7.129332, 6.760221, 6.391680, 5.899311, 5.709609"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.191843, 5.822731, 5.454191, 4.961821, 4.772120",\ + "6.667404, 6.298292, 5.929752, 5.437382, 5.247681",\ + "7.142965, 6.773853, 6.405313, 5.912943, 5.723242",\ + "7.824108, 7.454996, 7.086456, 6.594086, 6.404385",\ + "8.118118, 7.749006, 7.380466, 6.888096, 6.698395"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.279395, -2.803858, -2.328321, -1.646784, -1.351857",\ + "-3.356012, -2.880476, -2.404939, -1.723402, -1.428474",\ + "-3.432631, -2.957094, -2.481557, -1.800020, -1.505093",\ + "-3.534993, -3.059456, -2.583920, -1.902383, -1.607455",\ + "-3.505345, -3.029809, -2.554272, -1.872735, -1.577807"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.033897, -4.558361, -4.082824, -3.401287, -3.106359",\ + "-5.403488, -4.927951, -4.452415, -3.770878, -3.475950",\ + "-5.772089, -5.296552, -4.821016, -4.139479, -3.844551",\ + "-6.264536, -5.788999, -5.313463, -4.631926, -4.336998",\ + "-6.454218, -5.978681, -5.503145, -4.821608, -4.526680"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.226906, 14.226906, 14.010274, 13.938063, 13.793641",\ + "14.875866, 14.875866, 14.659234, 14.587023, 14.442601",\ + "15.524826, 15.524826, 15.308194, 15.235983, 15.091561",\ + "16.391838, 16.391838, 16.175205, 16.102995, 15.958573",\ + "16.722927, 16.722927, 16.506294, 16.434084, 16.289661"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.897762, 14.897762, 14.681130, 14.608919, 14.464498",\ + "15.373299, 15.373299, 15.156667, 15.084455, 14.940034",\ + "15.848831, 15.848831, 15.632199, 15.559988, 15.415566",\ + "16.530464, 16.530464, 16.313831, 16.241621, 16.097198",\ + "16.825432, 16.825432, 16.608799, 16.536589, 16.392166"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.019217, 1.019217, 1.127535, 1.235850, 1.452484",\ + "0.942575, 0.942575, 1.050892, 1.159207, 1.375841",\ + "0.865931, 0.865931, 0.974248, 1.082563, 1.299197",\ + "0.763535, 0.763535, 0.871853, 0.980168, 1.196802",\ + "0.793200, 0.793200, 0.901517, 1.009832, 1.226466"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.190217, 0.190217, 0.298534, 0.406850, 0.623484",\ + "-0.178786, -0.178786, -0.070469, 0.037847, 0.254480",\ + "-0.547325, -0.547325, -0.439008, -0.330692, -0.114058",\ + "-1.039693, -1.039693, -0.931376, -0.823060, -0.606426",\ + "-1.229395, -1.229395, -1.121078, -1.012762, -0.796128"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.939551, 4.570439, 4.201899, 3.709529, 3.519827",\ + "5.588511, 5.219399, 4.850859, 4.358489, 4.168787",\ + "6.237472, 5.868360, 5.499820, 5.007450, 4.817749",\ + "7.104483, 6.735371, 6.366831, 5.874461, 5.684760",\ + "7.435572, 7.066460, 6.697920, 6.205550, 6.015849"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.531354, 6.162242, 5.793702, 5.301332, 5.111631",\ + "7.006891, 6.637779, 6.269238, 5.776869, 5.587167",\ + "7.482425, 7.113313, 6.744773, 6.252403, 6.062702",\ + "8.164059, 7.794946, 7.426406, 6.934036, 6.744335",\ + "8.459024, 8.089912, 7.721372, 7.229002, 7.039300"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.436520, -2.960983, -2.485447, -1.803910, -1.508982",\ + "-3.513163, -3.037626, -2.562090, -1.880553, -1.585625",\ + "-3.589808, -3.114271, -2.638735, -1.957198, -1.662270",\ + "-3.692203, -3.216666, -2.741130, -2.059593, -1.764665",\ + "-3.662539, -3.187002, -2.711466, -2.029929, -1.735001"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.811112, -4.335575, -3.860039, -3.178502, -2.883574",\ + "-5.180115, -4.704578, -4.229042, -3.547505, -3.252577",\ + "-5.548653, -5.073116, -4.597580, -3.916043, -3.621115",\ + "-6.041022, -5.565485, -5.089949, -4.408412, -4.113484",\ + "-6.230723, -5.755186, -5.279650, -4.598113, -4.303185"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.006845, 14.006845, 13.790213, 13.718001, 13.573580",\ + "14.655839, 14.655839, 14.439207, 14.366996, 14.222574",\ + "15.304833, 15.304833, 15.088202, 15.015990, 14.871569",\ + "16.171890, 16.171890, 15.955259, 15.883048, 15.738626",\ + "16.502613, 16.502613, 16.285982, 16.213772, 16.069349"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.712745, 14.712745, 14.496113, 14.423902, 14.279480",\ + "15.188344, 15.188344, 14.971712, 14.899501, 14.755079",\ + "15.663943, 15.663943, 15.447311, 15.375100, 15.230679",\ + "16.347221, 16.347221, 16.130589, 16.058376, 15.913956",\ + "16.641209, 16.641209, 16.424578, 16.352367, 16.207945"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.159139, 1.159139, 1.267456, 1.375772, 1.592405",\ + "1.082525, 1.082525, 1.190843, 1.299158, 1.515792",\ + "1.005912, 1.005912, 1.114229, 1.222545, 1.439178",\ + "0.903186, 0.903186, 1.011503, 1.119819, 1.336452",\ + "0.932819, 0.932819, 1.041137, 1.149452, 1.366086"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.265314, -1.265314, -1.156997, -1.048681, -0.832047",\ + "-1.634062, -1.634062, -1.525744, -1.417429, -1.200795",\ + "-2.002811, -2.002811, -1.894494, -1.786179, -1.569545",\ + "-2.496401, -2.496401, -2.388083, -2.279768, -2.063134",\ + "-2.686052, -2.686052, -2.577735, -2.469419, -2.252786"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.913942, 4.544830, 4.176290, 3.683920, 3.494219",\ + "5.562937, 5.193825, 4.825284, 4.332915, 4.143213",\ + "6.211932, 5.842820, 5.474280, 4.981910, 4.792209",\ + "7.078989, 6.709877, 6.341337, 5.848967, 5.659266",\ + "7.409712, 7.040600, 6.672060, 6.179690, 5.989988"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.834020, 7.464908, 7.096367, 6.603998, 6.414296",\ + "8.309617, 7.940505, 7.571965, 7.079595, 6.889894",\ + "8.785216, 8.416104, 8.047564, 7.555194, 7.365493",\ + "9.468493, 9.099381, 8.730841, 8.238472, 8.048770",\ + "9.762483, 9.393371, 9.024830, 8.532461, 8.342759"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.351089, -2.875553, -2.400016, -1.718479, -1.423552",\ + "-3.427703, -2.952166, -2.476630, -1.795093, -1.500165",\ + "-3.504317, -3.028780, -2.553244, -1.871707, -1.576779",\ + "-3.607044, -3.131507, -2.655971, -1.974434, -1.679506",\ + "-3.577410, -3.101873, -2.626337, -1.944799, -1.649872"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.576805, -6.101268, -5.625732, -4.944195, -4.649267",\ + "-6.945553, -6.470016, -5.994480, -5.312943, -5.018015",\ + "-7.314302, -6.838766, -6.363229, -5.681692, -5.386765",\ + "-7.807892, -7.332355, -6.856819, -6.175282, -5.880354",\ + "-7.997543, -7.522007, -7.046470, -6.364933, -6.070005"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.207675, 14.207675, 13.991043, 13.918832, 13.774410",\ + "14.856647, 14.856647, 14.640015, 14.567803, 14.423382",\ + "15.505614, 15.505614, 15.288982, 15.216771, 15.072350",\ + "16.372639, 16.372639, 16.156006, 16.083794, 15.939373",\ + "16.703373, 16.703373, 16.486740, 16.414528, 16.270107"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.876491, 14.876491, 14.659859, 14.587647, 14.443226",\ + "15.352042, 15.352042, 15.135410, 15.063199, 14.918777",\ + "15.827598, 15.827598, 15.610966, 15.538754, 15.394333",\ + "16.509712, 16.509712, 16.293079, 16.220867, 16.076447",\ + "16.803715, 16.803715, 16.587082, 16.514870, 16.370449"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.577971, 1.577971, 1.686288, 1.794603, 2.011237",\ + "1.501336, 1.501336, 1.609653, 1.717969, 1.934603",\ + "1.424701, 1.424701, 1.533019, 1.641334, 1.857968",\ + "1.322317, 1.322317, 1.430634, 1.538950, 1.755584",\ + "1.351973, 1.351973, 1.460290, 1.568605, 1.785239"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.070656, 0.070656, 0.178973, 0.287289, 0.503922",\ + "-0.297944, -0.297944, -0.189627, -0.081311, 0.135323",\ + "-0.666544, -0.666544, -0.558227, -0.449911, -0.233277",\ + "-1.158994, -1.158994, -1.050676, -0.942361, -0.725727",\ + "-1.348681, -1.348681, -1.240364, -1.132049, -0.915415"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.494908, 4.125796, 3.757256, 3.264886, 3.075185",\ + "5.143879, 4.774768, 4.406228, 3.913858, 3.724156",\ + "5.792850, 5.423738, 5.055198, 4.562829, 4.373127",\ + "6.659874, 6.290763, 5.922223, 5.429853, 5.240151",\ + "6.990608, 6.621496, 6.252956, 5.760586, 5.570885"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.217177, 5.848065, 5.479525, 4.987155, 4.797454",\ + "6.692729, 6.323618, 5.955078, 5.462708, 5.273006",\ + "7.168283, 6.799171, 6.430631, 5.938262, 5.748560",\ + "7.850397, 7.481285, 7.112745, 6.620375, 6.430674",\ + "8.144400, 7.775288, 7.406747, 6.914378, 6.724676"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.905185, -2.429648, -1.954112, -1.272575, -0.977647",\ + "-2.981820, -2.506283, -2.030746, -1.349209, -1.054282",\ + "-3.058455, -2.582918, -2.107381, -1.425844, -1.130917",\ + "-3.160839, -2.685302, -2.209765, -1.528228, -1.233301",\ + "-3.131183, -2.655646, -2.180110, -1.498573, -1.203645"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.864779, -4.389242, -3.913705, -3.232168, -2.937241",\ + "-5.233378, -4.757842, -4.282305, -3.600768, -3.305840",\ + "-5.601978, -5.126441, -4.650905, -3.969368, -3.674440",\ + "-6.094428, -5.618891, -5.143355, -4.461818, -4.166890",\ + "-6.284116, -5.808579, -5.333043, -4.651505, -4.356578"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.753037, 14.753037, 14.536406, 14.464194, 14.319773",\ + "15.402068, 15.402068, 15.185436, 15.113225, 14.968803",\ + "16.051102, 16.051102, 15.834471, 15.762259, 15.617838",\ + "16.918209, 16.918209, 16.701576, 16.629364, 16.484943",\ + "17.248909, 17.248909, 17.032278, 16.960068, 16.815645"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.290528, 15.290528, 15.073896, 15.001685, 14.857264",\ + "15.766210, 15.766210, 15.549578, 15.477366, 15.332945",\ + "16.241894, 16.241894, 16.025263, 15.953052, 15.808630",\ + "16.927650, 16.927650, 16.711020, 16.638809, 16.494387",\ + "17.221619, 17.221619, 17.004988, 16.932777, 16.788355"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.873815, 0.873815, 0.982132, 1.090447, 1.307081",\ + "0.797243, 0.797243, 0.905560, 1.013876, 1.230510",\ + "0.720672, 0.720672, 0.828989, 0.937304, 1.153938",\ + "0.617986, 0.617986, 0.726303, 0.834619, 1.051252",\ + "0.647593, 0.647593, 0.755910, 0.864225, 1.080859"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.351325, -1.351325, -1.243008, -1.134692, -0.918058",\ + "-1.720284, -1.720284, -1.611967, -1.503652, -1.287018",\ + "-2.089245, -2.089245, -1.980927, -1.872612, -1.655978",\ + "-2.583434, -2.583434, -2.475117, -2.366801, -2.150167",\ + "-2.773036, -2.773036, -2.664719, -2.556403, -2.339769"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.190770, 5.821658, 5.453118, 4.960748, 4.771047",\ + "6.839801, 6.470690, 6.102149, 5.609780, 5.420078",\ + "7.488834, 7.119722, 6.751182, 6.258812, 6.069111",\ + "8.355942, 7.986830, 7.618289, 7.125920, 6.936218",\ + "8.686642, 8.317531, 7.948990, 7.456621, 7.266919"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.291687, 7.922575, 7.554035, 7.061665, 6.871964",\ + "8.767370, 8.398258, 8.029718, 7.537348, 7.347647",\ + "9.243053, 8.873941, 8.505402, 8.013031, 7.823330",\ + "9.928808, 9.559696, 9.191156, 8.698786, 8.509085",\ + "10.222778, 9.853667, 9.485126, 8.992758, 8.803056"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.845839, -3.370302, -2.894765, -2.213228, -1.918301",\ + "-3.922409, -3.446872, -2.971336, -2.289799, -1.994871",\ + "-3.998981, -3.523445, -3.047908, -2.366371, -2.071444",\ + "-4.101667, -3.626131, -3.150594, -2.469057, -2.174129",\ + "-4.072060, -3.596523, -3.120986, -2.439449, -2.144522"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.859251, -6.383714, -5.908178, -5.226641, -4.931713",\ + "-7.228210, -6.752674, -6.277137, -5.595600, -5.300673",\ + "-7.597171, -7.121634, -6.646098, -5.964561, -5.669633",\ + "-8.091360, -7.615823, -7.140287, -6.458750, -6.163822",\ + "-8.280962, -7.805425, -7.329889, -6.648352, -6.353424"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "16.158161, 16.158161, 15.941530, 15.869319, 15.724897",\ + "16.807167, 16.807167, 16.590534, 16.518322, 16.373901",\ + "17.456169, 17.456169, 17.239536, 17.167324, 17.022903",\ + "18.323238, 18.323238, 18.106606, 18.034393, 17.889973",\ + "18.653957, 18.653957, 18.437325, 18.365112, 18.220692"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "16.798260, 16.798260, 16.581629, 16.509418, 16.364996",\ + "17.273872, 17.273872, 17.057241, 16.985031, 16.840609",\ + "17.749489, 17.749489, 17.532858, 17.460648, 17.316225",\ + "18.433125, 18.433125, 18.216494, 18.144283, 17.999861",\ + "18.727108, 18.727108, 18.510477, 18.438267, 18.293844"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.523402, -0.523402, -0.415085, -0.306769, -0.090136",\ + "-0.600009, -0.600009, -0.491692, -0.383376, -0.166742",\ + "-0.676617, -0.676617, -0.568299, -0.459984, -0.243350",\ + "-0.779330, -0.779330, -0.671013, -0.562697, -0.346064",\ + "-0.749702, -0.749702, -0.641385, -0.533070, -0.316436"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.435431, -2.435431, -2.327113, -2.218798, -2.002164",\ + "-2.804224, -2.804224, -2.695907, -2.587591, -2.370957",\ + "-3.173018, -3.173018, -3.064700, -2.956385, -2.739751",\ + "-3.666718, -3.666718, -3.558401, -3.450086, -3.233452",\ + "-3.856359, -3.856359, -3.748041, -3.639726, -3.423092"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.514467, 6.145356, 5.776815, 5.284446, 5.094744",\ + "7.163471, 6.794360, 6.425819, 5.933450, 5.743748",\ + "7.812474, 7.443363, 7.074822, 6.582453, 6.392751",\ + "8.679543, 8.310431, 7.941891, 7.449521, 7.259820",\ + "9.010262, 8.641150, 8.272610, 7.780240, 7.590539"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "9.283192, 8.914080, 8.545540, 8.053170, 7.863469",\ + "9.758805, 9.389694, 9.021153, 8.528784, 8.339083",\ + "10.234418, 9.865307, 9.496766, 9.004396, 8.814695",\ + "10.918054, 10.548943, 10.180402, 9.688032, 9.498331",\ + "11.212037, 10.842926, 10.474385, 9.982016, 9.792315"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.043246, -4.567709, -4.092173, -3.410635, -3.115708",\ + "-5.119853, -4.644316, -4.168779, -3.487242, -3.192315",\ + "-5.196460, -4.720923, -4.245387, -3.563850, -3.268922",\ + "-5.299174, -4.823637, -4.348101, -3.666564, -3.371636",\ + "-5.269546, -4.794009, -4.318473, -3.636936, -3.342008"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.759645, -7.284108, -6.808572, -6.127035, -5.832107",\ + "-8.128439, -7.652902, -7.177365, -6.495828, -6.200901",\ + "-8.497232, -8.021695, -7.546159, -6.864622, -6.569694",\ + "-8.990932, -8.515396, -8.039860, -7.358323, -7.063395",\ + "-9.180573, -8.705036, -8.229500, -7.547963, -7.253035"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.342874, 14.342874, 14.126242, 14.054030, 13.909609",\ + "14.991860, 14.991860, 14.775229, 14.703017, 14.558596",\ + "15.640847, 15.640847, 15.424215, 15.352004, 15.207582",\ + "16.507893, 16.507893, 16.291262, 16.219051, 16.074629",\ + "16.838619, 16.838619, 16.621988, 16.549778, 16.405355"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.847207, 14.847207, 14.630575, 14.558364, 14.413942",\ + "15.322789, 15.322789, 15.106157, 15.033946, 14.889524",\ + "15.798375, 15.798375, 15.581743, 15.509532, 15.365110",\ + "16.481255, 16.481255, 16.264624, 16.192413, 16.047991",\ + "16.775249, 16.775249, 16.558619, 16.486408, 16.341986"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.544852, 0.544852, 0.653170, 0.761485, 0.978119",\ + "0.468231, 0.468231, 0.576549, 0.684864, 0.901498",\ + "0.391611, 0.391611, 0.499928, 0.608244, 0.824878",\ + "0.289246, 0.289246, 0.397563, 0.505878, 0.722512",\ + "0.318887, 0.318887, 0.427204, 0.535520, 0.752153"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.702024, -0.702024, -0.593707, -0.485392, -0.268758",\ + "-1.070722, -1.070722, -0.962404, -0.854089, -0.637455",\ + "-1.439420, -1.439420, -1.331102, -1.222787, -1.006153",\ + "-1.932000, -1.932000, -1.823683, -1.715367, -1.498734",\ + "-2.121664, -2.121664, -2.013347, -1.905031, -1.688397"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.354380, 5.985268, 5.616728, 5.124358, 4.934657",\ + "7.003366, 6.634255, 6.265715, 5.773345, 5.583643",\ + "7.652355, 7.283244, 6.914703, 6.422334, 6.232632",\ + "8.519402, 8.150290, 7.781750, 7.289380, 7.099679",\ + "8.850128, 8.481016, 8.112476, 7.620107, 7.430405"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.055881, 7.686769, 7.318229, 6.825859, 6.636158",\ + "8.531466, 8.162354, 7.793814, 7.301444, 7.111742",\ + "9.007049, 8.637938, 8.269397, 7.777027, 7.587326",\ + "9.689930, 9.320818, 8.952278, 8.459908, 8.270206",\ + "9.983922, 9.614811, 9.246270, 8.753901, 8.564199"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.817076, -4.341539, -3.866003, -3.184466, -2.889538",\ + "-4.893696, -4.418159, -3.942623, -3.261086, -2.966158",\ + "-4.970316, -4.494780, -4.019243, -3.337706, -3.042778",\ + "-5.072682, -4.597145, -4.121609, -3.440072, -3.145144",\ + "-5.043042, -4.567505, -4.091969, -3.410431, -3.115504"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.869943, -6.394406, -5.918870, -5.237333, -4.942405",\ + "-7.238640, -6.763103, -6.287567, -5.606030, -5.311102",\ + "-7.607338, -7.131802, -6.656265, -5.974728, -5.679801",\ + "-8.099918, -7.624382, -7.148846, -6.467309, -6.172381",\ + "-8.289583, -7.814046, -7.338510, -6.656972, -6.362045"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.291189, 14.291189, 14.074557, 14.002346, 13.857924",\ + "14.940174, 14.940174, 14.723542, 14.651331, 14.506909",\ + "15.589161, 15.589161, 15.372529, 15.300318, 15.155896",\ + "16.456205, 16.456205, 16.239574, 16.167362, 16.022942",\ + "16.786932, 16.786932, 16.570301, 16.498089, 16.353668"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.786018, 14.786018, 14.569386, 14.497175, 14.352754",\ + "15.261600, 15.261600, 15.044969, 14.972757, 14.828336",\ + "15.737183, 15.737183, 15.520551, 15.448339, 15.303918",\ + "16.420029, 16.420029, 16.203396, 16.131186, 15.986764",\ + "16.714024, 16.714024, 16.497391, 16.425180, 16.280758"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.901038, -0.901038, -0.792721, -0.684405, -0.467772",\ + "-0.977659, -0.977659, -0.869342, -0.761026, -0.544393",\ + "-1.054280, -1.054280, -0.945963, -0.837647, -0.621014",\ + "-1.156647, -1.156647, -1.048329, -0.940014, -0.723380",\ + "-1.127005, -1.127005, -1.018687, -0.910372, -0.693738"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.020140, -2.020140, -1.911822, -1.803507, -1.586873",\ + "-2.388834, -2.388834, -2.280517, -2.172201, -1.955567",\ + "-2.757526, -2.757526, -2.649209, -2.540894, -2.324260",\ + "-3.250102, -3.250102, -3.141785, -3.033469, -2.816835",\ + "-3.439767, -3.439767, -3.331450, -3.223134, -3.006500"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.266181, 6.897069, 6.528529, 6.036160, 5.846458",\ + "7.915168, 7.546056, 7.177516, 6.685146, 6.495445",\ + "8.564154, 8.195042, 7.826502, 7.334132, 7.144431",\ + "9.431200, 9.062088, 8.693548, 8.201179, 8.011477",\ + "9.761927, 9.392815, 9.024275, 8.531905, 8.342203"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.398788, 8.029676, 7.661137, 7.168767, 6.979065",\ + "8.874372, 8.505260, 8.136720, 7.644350, 7.454648",\ + "9.349954, 8.980843, 8.612302, 8.119932, 7.930231",\ + "10.032802, 9.663691, 9.295150, 8.802780, 8.613079",\ + "10.326796, 9.957684, 9.589144, 9.096774, 8.907072"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.332938, -4.857401, -4.381865, -3.700327, -3.405400",\ + "-5.409559, -4.934022, -4.458486, -3.776948, -3.482021",\ + "-5.486180, -5.010643, -4.535107, -3.853570, -3.558642",\ + "-5.588546, -5.113009, -4.637473, -3.955936, -3.661008",\ + "-5.558904, -5.083367, -4.607831, -3.926294, -3.631366"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.052016, -6.576479, -6.100943, -5.419405, -5.124478",\ + "-7.420710, -6.945173, -6.469637, -5.788100, -5.493172",\ + "-7.789402, -7.313866, -6.838329, -6.156792, -5.861865",\ + "-8.281979, -7.806442, -7.330906, -6.649369, -6.354441",\ + "-8.471643, -7.996107, -7.520571, -6.839034, -6.544106"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.850177, 14.850177, 14.633545, 14.561334, 14.416912",\ + "15.499154, 15.499154, 15.282522, 15.210311, 15.065889",\ + "16.148132, 16.148132, 15.931499, 15.859288, 15.714867",\ + "17.015167, 17.015167, 16.798534, 16.726322, 16.581902",\ + "17.345894, 17.345894, 17.129263, 17.057053, 16.912630"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.245080, 15.245080, 15.028448, 14.956237, 14.811815",\ + "15.720643, 15.720643, 15.504011, 15.431800, 15.287378",\ + "16.196209, 16.196209, 15.979578, 15.907367, 15.762945",\ + "16.878628, 16.878628, 16.661997, 16.589787, 16.445364",\ + "17.172626, 17.172626, 16.955996, 16.883785, 16.739363"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.024033, -1.024033, -0.915715, -0.807400, -0.590766",\ + "-1.100662, -1.100662, -0.992345, -0.884029, -0.667396",\ + "-1.177290, -1.177290, -1.068973, -0.960657, -0.744023",\ + "-1.279667, -1.279667, -1.171350, -1.063034, -0.846400",\ + "-1.250017, -1.250017, -1.141700, -1.033384, -0.816751"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.622088, -1.622088, -1.513771, -1.405456, -1.188822",\ + "-1.990727, -1.990727, -1.882410, -1.774095, -1.557461",\ + "-2.359365, -2.359365, -2.251047, -2.142732, -1.926098",\ + "-2.851867, -2.851867, -2.743549, -2.635234, -2.418600",\ + "-3.041545, -3.041545, -2.933228, -2.824912, -2.608278"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.844482, 7.475370, 7.106830, 6.614460, 6.424759",\ + "8.493460, 8.124348, 7.755807, 7.263438, 7.073736",\ + "9.142437, 8.773325, 8.404785, 7.912415, 7.722713",\ + "10.009470, 9.640358, 9.271818, 8.779448, 8.589746",\ + "10.340200, 9.971088, 9.602549, 9.110178, 8.920477"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "9.129688, 8.760576, 8.392036, 7.899666, 7.709965",\ + "9.605253, 9.236141, 8.867601, 8.375231, 8.185530",\ + "10.080818, 9.711706, 9.343166, 8.850796, 8.661095",\ + "10.763233, 10.394121, 10.025581, 9.533211, 9.343510",\ + "11.057232, 10.688120, 10.319580, 9.827209, 9.637508"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-6.303445, -5.827908, -5.352372, -4.670835, -4.375907",\ + "-6.380075, -5.904538, -5.429001, -4.747464, -4.452537",\ + "-6.456702, -5.981165, -5.505629, -4.824092, -4.529164",\ + "-6.559079, -6.083542, -5.608006, -4.926469, -4.631541",\ + "-6.529429, -6.053893, -5.578356, -4.896819, -4.601892"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.455584, -6.980047, -6.504510, -5.822973, -5.528046",\ + "-7.824223, -7.348686, -6.873149, -6.191612, -5.896685",\ + "-8.192860, -7.717323, -7.241786, -6.560249, -6.265322",\ + "-8.685362, -8.209826, -7.734289, -7.052752, -6.757824",\ + "-8.875040, -8.399504, -7.923967, -7.242430, -6.947502"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.534112, 14.534112, 14.317480, 14.245269, 14.100847",\ + "15.183087, 15.183087, 14.966455, 14.894244, 14.749823",\ + "15.832061, 15.832061, 15.615429, 15.543218, 15.398796",\ + "16.699093, 16.699093, 16.482460, 16.410248, 16.265827",\ + "17.029819, 17.029819, 16.813189, 16.740978, 16.596556"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.420791, 15.420791, 15.204159, 15.131948, 14.987526",\ + "15.896354, 15.896354, 15.679722, 15.607511, 15.463089",\ + "16.371914, 16.371914, 16.155281, 16.083069, 15.938648",\ + "17.054222, 17.054222, 16.837589, 16.765377, 16.620956",\ + "17.348225, 17.348225, 17.131592, 17.059380, 16.914959"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.975321, -0.975321, -0.867003, -0.758688, -0.542054",\ + "-1.051952, -1.051952, -0.943635, -0.835320, -0.618686",\ + "-1.128583, -1.128583, -1.020266, -0.911950, -0.695316",\ + "-1.230962, -1.230962, -1.122644, -1.014329, -0.797695",\ + "-1.201310, -1.201310, -1.092993, -0.984677, -0.768044"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.417557, -2.417557, -2.309239, -2.200924, -1.984290",\ + "-2.786182, -2.786182, -2.677865, -2.569550, -2.352916",\ + "-3.154808, -3.154808, -3.046491, -2.938175, -2.721541",\ + "-3.647289, -3.647289, -3.538972, -3.430656, -3.214023",\ + "-3.836971, -3.836971, -3.728654, -3.620338, -3.403705"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.226863, 6.857751, 6.489211, 5.996841, 5.807140",\ + "7.875839, 7.506727, 7.138186, 6.645817, 6.456115",\ + "8.524815, 8.155703, 7.787162, 7.294792, 7.105091",\ + "9.391844, 9.022732, 8.654191, 8.161821, 7.972120",\ + "9.722574, 9.353462, 8.984921, 8.492552, 8.302851"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "9.223587, 8.854475, 8.485934, 7.993565, 7.803863",\ + "9.699146, 9.330034, 8.961493, 8.469124, 8.279423",\ + "10.174707, 9.805595, 9.437055, 8.944685, 8.754984",\ + "10.857016, 10.487904, 10.119363, 9.626993, 9.437292",\ + "11.151016, 10.781904, 10.413363, 9.920994, 9.731293"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.431059, -4.955523, -4.479986, -3.798449, -3.503521",\ + "-5.507691, -5.032154, -4.556618, -3.875081, -3.580153",\ + "-5.584321, -5.108785, -4.633248, -3.951711, -3.656784",\ + "-5.686700, -5.211164, -4.735627, -4.054090, -3.759162",\ + "-5.657049, -5.181512, -4.705976, -4.024438, -3.729511"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.418885, -6.943348, -6.467812, -5.786274, -5.491347",\ + "-7.787510, -7.311974, -6.836437, -6.154900, -5.859972",\ + "-8.156136, -7.680599, -7.205063, -6.523526, -6.228598",\ + "-8.648617, -8.173080, -7.697544, -7.016007, -6.721079",\ + "-8.838299, -8.362762, -7.887226, -7.205689, -6.910761"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.553839, 13.553839, 13.337208, 13.264996, 13.120575",\ + "14.202822, 14.202822, 13.986191, 13.913979, 13.769558",\ + "14.851801, 14.851801, 14.635170, 14.562958, 14.418537",\ + "15.718840, 15.718840, 15.502209, 15.429996, 15.285576",\ + "16.049568, 16.049568, 15.832937, 15.760725, 15.616304"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.372807, 14.372807, 14.156176, 14.083963, 13.939543",\ + "14.848381, 14.848381, 14.631750, 14.559538, 14.415117",\ + "15.323956, 15.323956, 15.107325, 15.035112, 14.890692",\ + "16.006561, 16.006561, 15.789930, 15.717718, 15.573298",\ + "16.300560, 16.300560, 16.083929, 16.011717, 15.867296"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.324327, -1.324327, -1.216010, -1.107695, -0.891061",\ + "-1.400953, -1.400953, -1.292636, -1.184320, -0.967687",\ + "-1.477579, -1.477579, -1.369262, -1.260946, -1.044312",\ + "-1.579950, -1.579950, -1.471633, -1.363317, -1.146684",\ + "-1.550304, -1.550304, -1.441987, -1.333672, -1.117038"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-2.626250, -2.626250, -2.517933, -2.409617, -2.192984",\ + "-2.994912, -2.994912, -2.886595, -2.778279, -2.561646",\ + "-3.363576, -3.363576, -3.255259, -3.146943, -2.930309",\ + "-3.856111, -3.856111, -3.747793, -3.639478, -3.422844",\ + "-4.045783, -4.045783, -3.937466, -3.829150, -3.612516"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.756671, 7.387559, 7.019019, 6.526649, 6.336947",\ + "8.405652, 8.036540, 7.668000, 7.175630, 6.985929",\ + "9.054633, 8.685521, 8.316980, 7.824611, 7.634910",\ + "9.921673, 9.552561, 9.184021, 8.691650, 8.501949",\ + "10.252401, 9.883289, 9.514750, 9.022379, 8.832678"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "9.371884, 9.002772, 8.634232, 8.141863, 7.952161",\ + "9.847457, 9.478345, 9.109804, 8.617435, 8.427733",\ + "10.323030, 9.953918, 9.585377, 9.093008, 8.903306",\ + "11.005639, 10.636527, 10.267986, 9.775618, 9.585916",\ + "11.299636, 10.930524, 10.561983, 10.069614, 9.879912"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.834558, -5.359021, -4.883484, -4.201947, -3.907020",\ + "-5.911183, -5.435647, -4.960110, -4.278573, -3.983645",\ + "-5.987809, -5.512272, -5.036736, -4.355199, -4.060271",\ + "-6.090180, -5.614644, -5.139107, -4.457570, -4.162642",\ + "-6.060534, -5.584998, -5.109461, -4.427924, -4.132997"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-7.937742, -7.462205, -6.986669, -6.305132, -6.010204",\ + "-8.306404, -7.830867, -7.355331, -6.673794, -6.378866",\ + "-8.675068, -8.199532, -7.723995, -7.042458, -6.747530",\ + "-9.167603, -8.692066, -8.216530, -7.534992, -7.240065",\ + "-9.357275, -8.881739, -8.406202, -7.724665, -7.429737"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.761817, 13.761817, 13.545185, 13.472974, 13.328552",\ + "14.410777, 14.410777, 14.194145, 14.121934, 13.977512",\ + "15.059735, 15.059735, 14.843103, 14.770892, 14.626471",\ + "15.927197, 15.927197, 15.710566, 15.638354, 15.493933",\ + "16.257935, 16.257935, 16.041302, 15.969090, 15.824669"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.522342, 14.522342, 14.305710, 14.233499, 14.089077",\ + "14.997874, 14.997874, 14.781242, 14.709031, 14.564610",\ + "15.473407, 15.473407, 15.256775, 15.184564, 15.040142",\ + "16.155949, 16.155949, 15.939316, 15.867105, 15.722683",\ + "16.449959, 16.449959, 16.233326, 16.161114, 16.016693"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.356130, 2.356130, 2.464447, 2.572762, 2.789396",\ + "2.279485, 2.279485, 2.387803, 2.496118, 2.712752",\ + "2.202841, 2.202841, 2.311159, 2.419474, 2.636108",\ + "2.100445, 2.100445, 2.208762, 2.317078, 2.533711",\ + "2.130110, 2.130110, 2.238427, 2.346743, 2.563376"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.814356, 0.814356, 0.922673, 1.030989, 1.247622",\ + "0.445314, 0.445314, 0.553632, 0.661947, 0.878581",\ + "0.076780, 0.076780, 0.185098, 0.293413, 0.510047",\ + "-0.415582, -0.415582, -0.307264, -0.198949, 0.017685",\ + "-0.605286, -0.605286, -0.496968, -0.388653, -0.172019"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.256538, 3.887427, 3.518887, 3.026517, 2.836815",\ + "4.905497, 4.536385, 4.167845, 3.675475, 3.485774",\ + "5.554455, 5.185343, 4.816803, 4.324433, 4.134732",\ + "6.421915, 6.052804, 5.684263, 5.191894, 5.002192",\ + "6.752651, 6.383540, 6.014999, 5.522630, 5.332928"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.524186, 6.155075, 5.786534, 5.294165, 5.104463",\ + "6.999721, 6.630609, 6.262069, 5.769699, 5.579998",\ + "7.475254, 7.106143, 6.737602, 6.245233, 6.055531",\ + "8.157795, 7.788683, 7.420143, 6.927773, 6.738072",\ + "8.451805, 8.082693, 7.714153, 7.221784, 7.032082"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.005800, -2.530263, -2.054727, -1.373189, -1.078262",\ + "-3.082444, -2.606907, -2.131371, -1.449834, -1.154906",\ + "-3.159088, -2.683551, -2.208015, -1.526478, -1.231550",\ + "-3.261485, -2.785948, -2.310411, -1.628874, -1.333947",\ + "-3.231820, -2.756283, -2.280746, -1.599209, -1.304282"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-5.353563, -4.878026, -4.402490, -3.720953, -3.426025",\ + "-5.722604, -5.247067, -4.771531, -4.089994, -3.795066",\ + "-6.091138, -5.615602, -5.140065, -4.458528, -4.163600",\ + "-6.583500, -6.107964, -5.632427, -4.950890, -4.655962",\ + "-6.773204, -6.297668, -5.822131, -5.140594, -4.845666"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.644492, 13.644492, 13.427860, 13.355649, 13.211227",\ + "14.293458, 14.293458, 14.076826, 14.004615, 13.860193",\ + "14.942422, 14.942422, 14.725790, 14.653579, 14.509157",\ + "15.809442, 15.809442, 15.592810, 15.520598, 15.376177",\ + "16.140175, 16.140175, 15.923542, 15.851331, 15.706909"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.421157, 14.421157, 14.204525, 14.132314, 13.987892",\ + "14.896701, 14.896701, 14.680069, 14.607858, 14.463436",\ + "15.372249, 15.372249, 15.155617, 15.083405, 14.938984",\ + "16.054153, 16.054153, 15.837521, 15.765309, 15.620888",\ + "16.348160, 16.348160, 16.131527, 16.059315, 15.914894"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.761240, 2.761240, 2.869558, 2.977873, 3.194506",\ + "2.684602, 2.684602, 2.792920, 2.901235, 3.117868",\ + "2.607964, 2.607964, 2.716281, 2.824597, 3.041230",\ + "2.505575, 2.505575, 2.613893, 2.722208, 2.938841",\ + "2.535234, 2.535234, 2.643552, 2.751867, 2.968500"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.596958, 2.596958, 2.705275, 2.813591, 3.030223",\ + "2.228240, 2.228240, 2.336557, 2.444872, 2.661505",\ + "1.859665, 1.859665, 1.967983, 2.076298, 2.292931",\ + "1.367251, 1.367251, 1.475568, 1.583884, 1.800517",\ + "1.177557, 1.177557, 1.285874, 1.394189, 1.610822"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.220704, 2.851593, 2.483052, 1.990683, 1.800981",\ + "3.869670, 3.500558, 3.132018, 2.639648, 2.449947",\ + "4.518635, 4.149523, 3.780983, 3.288613, 3.098912",\ + "5.385653, 5.016541, 4.648001, 4.155631, 3.965930",\ + "5.716387, 5.347275, 4.978735, 4.486365, 4.296664"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.580062, 4.210950, 3.842410, 3.350040, 3.160339",\ + "5.055608, 4.686496, 4.317956, 3.825586, 3.635885",\ + "5.531155, 5.162043, 4.793503, 4.301133, 4.111432",\ + "6.213060, 5.843949, 5.475409, 4.983039, 4.793337",\ + "6.507065, 6.137953, 5.769413, 5.277043, 5.087342"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.934529, -1.458992, -0.983456, -0.301919, -0.006991",\ + "-2.011168, -1.535631, -1.060094, -0.378557, -0.083630",\ + "-2.087806, -1.612269, -1.136733, -0.455195, -0.160268",\ + "-2.190195, -1.714658, -1.239122, -0.557585, -0.262657",\ + "-2.160536, -1.684999, -1.209463, -0.527925, -0.232998"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.407464, -2.931927, -2.456390, -1.774853, -1.479926",\ + "-3.776183, -3.300646, -2.825109, -2.143572, -1.848645",\ + "-4.144757, -3.669220, -3.193684, -2.512146, -2.217219",\ + "-4.637171, -4.161634, -3.686098, -3.004561, -2.709633",\ + "-4.826865, -4.351328, -3.875792, -3.194254, -2.899327"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.654988, 13.654988, 13.438356, 13.366145, 13.221724",\ + "14.303950, 14.303950, 14.087318, 14.015107, 13.870686",\ + "14.952910, 14.952910, 14.736279, 14.664067, 14.519646",\ + "15.819922, 15.819922, 15.603291, 15.531079, 15.386658",\ + "16.151009, 16.151009, 15.934378, 15.862166, 15.717745"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.116378, 14.116378, 13.899746, 13.827535, 13.683113",\ + "14.591914, 14.591914, 14.375282, 14.303071, 14.158649",\ + "15.067451, 15.067451, 14.850819, 14.778607, 14.634186",\ + "15.749122, 15.749122, 15.532490, 15.460279, 15.315857",\ + "16.044083, 16.044083, 15.827450, 15.755239, 15.610817"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.531041, 2.531041, 2.639358, 2.747674, 2.964307",\ + "2.454398, 2.454398, 2.562716, 2.671031, 2.887665",\ + "2.377756, 2.377756, 2.486073, 2.594389, 2.811023",\ + "2.275362, 2.275362, 2.383679, 2.491994, 2.708628",\ + "2.305025, 2.305025, 2.413342, 2.521658, 2.738292"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.261629, 1.261629, 1.369946, 1.478261, 1.694895",\ + "0.892665, 0.892665, 1.000982, 1.109298, 1.325932",\ + "0.524120, 0.524120, 0.632438, 0.740753, 0.957387",\ + "0.031745, 0.031745, 0.140062, 0.248378, 0.465012",\ + "-0.157956, -0.157956, -0.049639, 0.058677, 0.275311"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.311382, 3.942270, 3.573730, 3.081360, 2.891659",\ + "4.960344, 4.591232, 4.222692, 3.730322, 3.540621",\ + "5.609304, 5.240192, 4.871652, 4.379282, 4.189581",\ + "6.476316, 6.107204, 5.738664, 5.246294, 5.056593",\ + "6.807403, 6.438292, 6.069751, 5.577382, 5.387680"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.767420, 5.398309, 5.029768, 4.537399, 4.347697",\ + "6.242958, 5.873846, 5.505306, 5.012936, 4.823235",\ + "6.718494, 6.349382, 5.980842, 5.488472, 5.298771",\ + "7.400163, 7.031052, 6.662511, 6.170142, 5.980440",\ + "7.695125, 7.326014, 6.957473, 6.465104, 6.275402"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.748518, -2.272981, -1.797445, -1.115908, -0.820980",\ + "-2.825161, -2.349624, -1.874087, -1.192550, -0.897623",\ + "-2.901803, -2.426266, -1.950729, -1.269192, -0.974265",\ + "-3.004197, -2.528660, -2.053124, -1.371587, -1.076659",\ + "-2.974534, -2.498997, -2.023460, -1.341923, -1.046996"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.571855, -4.096318, -3.620781, -2.939244, -2.644317",\ + "-4.940819, -4.465282, -3.989746, -3.308208, -3.013281",\ + "-5.309362, -4.833826, -4.358289, -3.676752, -3.381824",\ + "-5.801738, -5.326201, -4.850665, -4.169127, -3.874200",\ + "-5.991439, -5.515902, -5.040366, -4.358829, -4.063901"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.092275, 14.092275, 13.875643, 13.803432, 13.659010",\ + "14.741241, 14.741241, 14.524609, 14.452397, 14.307976",\ + "15.390208, 15.390208, 15.173576, 15.101365, 14.956944",\ + "16.257229, 16.257229, 16.040596, 15.968385, 15.823963",\ + "16.587963, 16.587963, 16.371330, 16.299118, 16.154697"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.762380, 14.762380, 14.545748, 14.473536, 14.329115",\ + "15.237927, 15.237927, 15.021296, 14.949084, 14.804663",\ + "15.713475, 15.713475, 15.496843, 15.424632, 15.280210",\ + "16.395432, 16.395432, 16.178801, 16.106590, 15.962168",\ + "16.689438, 16.689438, 16.472807, 16.400597, 16.256174"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.594578, 2.594578, 2.702895, 2.811211, 3.027844",\ + "2.517940, 2.517940, 2.626257, 2.734572, 2.951206",\ + "2.441302, 2.441302, 2.549620, 2.657935, 2.874569",\ + "2.338915, 2.338915, 2.447232, 2.555548, 2.772182",\ + "2.368572, 2.368572, 2.476890, 2.585205, 2.801839"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.459283, 1.459283, 1.567600, 1.675916, 1.892550",\ + "1.090620, 1.090620, 1.198937, 1.307253, 1.523887",\ + "0.722039, 0.722039, 0.830357, 0.938672, 1.155306",\ + "0.229615, 0.229615, 0.337933, 0.446248, 0.662882",\ + "0.039924, 0.039924, 0.148241, 0.256557, 0.473190"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.652667, 3.283555, 2.915015, 2.422645, 2.232944",\ + "4.301633, 3.932521, 3.563981, 3.071611, 2.881910",\ + "4.950601, 4.581489, 4.212949, 3.720579, 3.530878",\ + "5.817622, 5.448510, 5.079969, 4.587600, 4.397898",\ + "6.148356, 5.779244, 5.410704, 4.918334, 4.728632"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.336473, 4.967361, 4.598821, 4.106451, 3.916749",\ + "5.812023, 5.442911, 5.074370, 4.582001, 4.392299",\ + "6.287570, 5.918458, 5.549918, 5.057549, 4.867847",\ + "6.969530, 6.600418, 6.231877, 5.739508, 5.549806",\ + "7.263534, 6.894422, 6.525882, 6.033512, 5.843811"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.861160, -1.385623, -0.910087, -0.228550, 0.066378",\ + "-1.937799, -1.462262, -0.986725, -0.305188, -0.010261",\ + "-2.014436, -1.538899, -1.063363, -0.381825, -0.086898",\ + "-2.116823, -1.641286, -1.165750, -0.484213, -0.189285",\ + "-2.087166, -1.611629, -1.136093, -0.454556, -0.159628"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.542045, -3.066508, -2.590972, -1.909435, -1.614507",\ + "-3.910708, -3.435171, -2.959635, -2.278098, -1.983170",\ + "-4.279290, -3.803753, -3.328217, -2.646679, -2.351752",\ + "-4.771714, -4.296177, -3.820641, -3.139103, -2.844176",\ + "-4.961405, -4.485868, -4.010332, -3.328795, -3.033867"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "13.782017, 13.782017, 13.565385, 13.493174, 13.348752",\ + "14.430986, 14.430986, 14.214355, 14.142143, 13.997722",\ + "15.079958, 15.079958, 14.863326, 14.791115, 14.646693",\ + "15.946983, 15.946983, 15.730351, 15.658140, 15.513719",\ + "16.277716, 16.277716, 16.061085, 15.988873, 15.844451"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.150909, 14.150909, 13.934278, 13.862066, 13.717645",\ + "14.626461, 14.626461, 14.409829, 14.337618, 14.193196",\ + "15.102013, 15.102013, 14.885381, 14.813169, 14.668748",\ + "15.784126, 15.784126, 15.567494, 15.495283, 15.350862",\ + "16.078129, 16.078129, 15.861497, 15.789286, 15.644864"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.810085, 2.810085, 2.918402, 3.026718, 3.243351",\ + "2.733450, 2.733450, 2.841767, 2.950083, 3.166717",\ + "2.656816, 2.656816, 2.765133, 2.873448, 3.090082",\ + "2.554431, 2.554431, 2.662749, 2.771064, 2.987698",\ + "2.584087, 2.584087, 2.692404, 2.800720, 3.017354"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.509467, 0.509467, 0.617785, 0.726100, 0.942734",\ + "0.140867, 0.140867, 0.249185, 0.357500, 0.574134",\ + "-0.227731, -0.227731, -0.119413, -0.011098, 0.205536",\ + "-0.720181, -0.720181, -0.611864, -0.503549, -0.286915",\ + "-0.909869, -0.909869, -0.801552, -0.693236, -0.476603"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.966652, 2.597540, 2.229000, 1.736630, 1.546928",\ + "3.615622, 3.246510, 2.877970, 2.385600, 2.195899",\ + "4.264594, 3.895482, 3.526942, 3.034572, 2.844871",\ + "5.131618, 4.762506, 4.393966, 3.901597, 3.711895",\ + "5.462351, 5.093239, 4.724699, 4.232329, 4.042627"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.948859, 5.579747, 5.211207, 4.718837, 4.529136",\ + "6.424413, 6.055301, 5.686760, 5.194391, 5.004689",\ + "6.899966, 6.530854, 6.162314, 5.669944, 5.480243",\ + "7.582079, 7.212967, 6.844427, 6.352057, 6.162355",\ + "7.876081, 7.506969, 7.138429, 6.646060, 6.456358"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.700143, -1.224607, -0.749070, -0.067533, 0.227395",\ + "-1.776778, -1.301241, -0.825705, -0.144168, 0.150760",\ + "-1.853413, -1.377876, -0.902339, -0.220802, 0.074125",\ + "-1.955797, -1.480260, -1.004724, -0.323186, -0.028259",\ + "-1.926141, -1.450604, -0.975068, -0.293531, 0.001397"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.802023, -4.326486, -3.850950, -3.169413, -2.874485",\ + "-5.170623, -4.695086, -4.219550, -3.538013, -3.243085",\ + "-5.539223, -5.063686, -4.588150, -3.906612, -3.611685",\ + "-6.031673, -5.556137, -5.080600, -4.399063, -4.104136",\ + "-6.221361, -5.745824, -5.270288, -4.588751, -4.293823"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.548216, 14.548216, 14.331584, 14.259373, 14.114951",\ + "15.197180, 15.197180, 14.980548, 14.908337, 14.763915",\ + "15.846142, 15.846142, 15.629510, 15.557299, 15.412877",\ + "16.713158, 16.713158, 16.496525, 16.424314, 16.279892",\ + "17.044243, 17.044243, 16.827610, 16.755400, 16.610977"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.420004, 15.420004, 15.203372, 15.131161, 14.986739",\ + "15.895544, 15.895544, 15.678912, 15.606701, 15.462279",\ + "16.371084, 16.371084, 16.154453, 16.082241, 15.937819",\ + "17.052839, 17.052839, 16.836208, 16.763996, 16.619576",\ + "17.347795, 17.347795, 17.131165, 17.058952, 16.914532"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.009676, 3.009676, 3.117993, 3.226309, 3.442943",\ + "2.933035, 2.933035, 3.041352, 3.149668, 3.366302",\ + "2.856394, 2.856394, 2.964712, 3.073027, 3.289661",\ + "2.754002, 2.754002, 2.862319, 2.970635, 3.187269",\ + "2.783664, 2.783664, 2.891981, 3.000297, 3.216930"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.719998, 1.719998, 1.828316, 1.936631, 2.153265",\ + "1.351124, 1.351124, 1.459441, 1.567757, 1.784390",\ + "0.982569, 0.982569, 1.090886, 1.199202, 1.415835",\ + "0.490178, 0.490178, 0.598495, 0.706811, 0.923445",\ + "0.300479, 0.300479, 0.408796, 0.517112, 0.733746"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.912757, 2.543645, 2.175105, 1.682735, 1.493034",\ + "3.561720, 3.192608, 2.824068, 2.331698, 2.141997",\ + "4.210682, 3.841571, 3.473031, 2.980661, 2.790959",\ + "5.077696, 4.708585, 4.340044, 3.847675, 3.657973",\ + "5.408782, 5.039671, 4.671131, 4.178761, 3.989059"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.560691, 4.191580, 3.823040, 3.330670, 3.140968",\ + "5.036231, 4.667119, 4.298579, 3.806209, 3.616508",\ + "5.511771, 5.142659, 4.774119, 4.281749, 4.092048",\ + "6.193527, 5.824415, 5.455875, 4.963505, 4.773804",\ + "6.488481, 6.119370, 5.750829, 5.258460, 5.068758"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.473480, -0.997943, -0.522407, 0.159130, 0.454058",\ + "-1.550121, -1.074584, -0.599048, 0.082489, 0.377417",\ + "-1.626761, -1.151225, -0.675688, 0.005849, 0.300776",\ + "-1.729154, -1.253617, -0.778080, -0.096543, 0.198384",\ + "-1.699492, -1.223956, -0.748419, -0.066882, 0.228045"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.215436, -2.739900, -2.264363, -1.582826, -1.287899",\ + "-3.584311, -3.108775, -2.633238, -1.951701, -1.656774",\ + "-3.952868, -3.477331, -3.001794, -2.320257, -2.025330",\ + "-4.445256, -3.969719, -3.494183, -2.812646, -2.517718",\ + "-4.634955, -4.159419, -3.683882, -3.002345, -2.707417"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.385730, 14.385730, 14.169098, 14.096887, 13.952465",\ + "15.034690, 15.034690, 14.818058, 14.745847, 14.601425",\ + "15.683648, 15.683648, 15.467016, 15.394805, 15.250383",\ + "16.551109, 16.551109, 16.334476, 16.262264, 16.117844",\ + "16.881844, 16.881844, 16.665213, 16.593002, 16.448580"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.307887, 15.307887, 15.091255, 15.019044, 14.874622",\ + "15.783420, 15.783420, 15.566788, 15.494576, 15.350155",\ + "16.258957, 16.258957, 16.042324, 15.970113, 15.825691",\ + "16.941490, 16.941490, 16.724857, 16.652645, 16.508224",\ + "17.235500, 17.235500, 17.018867, 16.946655, 16.802235"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.293976, 3.293976, 3.402293, 3.510609, 3.727242",\ + "3.217332, 3.217332, 3.325649, 3.433965, 3.650599",\ + "3.140688, 3.140688, 3.249005, 3.357321, 3.573955",\ + "3.038291, 3.038291, 3.146608, 3.254924, 3.471558",\ + "3.067956, 3.067956, 3.176273, 3.284589, 3.501223"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.091524, 3.091524, 3.199841, 3.308156, 3.524789",\ + "2.722478, 2.722478, 2.830796, 2.939111, 3.155744",\ + "2.353943, 2.353943, 2.462261, 2.570576, 2.787209",\ + "1.861581, 1.861581, 1.969899, 2.078214, 2.294847",\ + "1.671878, 1.671878, 1.780196, 1.888511, 2.105144"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.706334, 2.337222, 1.968682, 1.476312, 1.286611",\ + "3.355292, 2.986181, 2.617640, 2.125271, 1.935569",\ + "4.004251, 3.635139, 3.266599, 2.774230, 2.584528",\ + "4.871710, 4.502599, 4.134058, 3.641689, 3.451987",\ + "5.202446, 4.833335, 4.464795, 3.972425, 3.782723"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.995326, 4.626214, 4.257674, 3.765304, 3.575603",\ + "5.470859, 5.101748, 4.733207, 4.240838, 4.051136",\ + "5.946393, 5.577281, 5.208741, 4.716371, 4.526670",\ + "6.628930, 6.259818, 5.891278, 5.398908, 5.209207",\ + "6.922939, 6.553827, 6.185287, 5.692917, 5.503216"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.425676, -0.950140, -0.474603, 0.206934, 0.501862",\ + "-1.502320, -1.026783, -0.551247, 0.130290, 0.425218",\ + "-1.578964, -1.103427, -0.627891, 0.053646, 0.348574",\ + "-1.681361, -1.205824, -0.730288, -0.048751, 0.246177",\ + "-1.651696, -1.176159, -0.700623, -0.019086, 0.275842"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.787809, -3.312273, -2.836736, -2.155199, -1.860271",\ + "-4.156856, -3.681319, -3.205782, -2.524245, -2.229318",\ + "-4.525390, -4.049853, -3.574317, -2.892779, -2.597852",\ + "-5.017752, -4.542215, -4.066679, -3.385141, -3.090214",\ + "-5.207455, -4.731918, -4.256382, -3.574844, -3.279917"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.205136, 14.205136, 13.988504, 13.916293, 13.771872",\ + "14.854095, 14.854095, 14.637463, 14.565251, 14.420830",\ + "15.503053, 15.503053, 15.286421, 15.214210, 15.069788",\ + "16.370060, 16.370060, 16.153429, 16.081219, 15.936796",\ + "16.700794, 16.700794, 16.484161, 16.411949, 16.267529"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.118371, 15.118371, 14.901739, 14.829528, 14.685106",\ + "15.593915, 15.593915, 15.377283, 15.305072, 15.160650",\ + "16.069462, 16.069462, 15.852831, 15.780620, 15.636198",\ + "16.750858, 16.750858, 16.534227, 16.462017, 16.317595",\ + "17.044868, 17.044868, 16.828238, 16.756027, 16.611605"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.962631, 3.962631, 4.070948, 4.179264, 4.395897",\ + "3.885995, 3.885995, 3.994313, 4.102628, 4.319262",\ + "3.809361, 3.809361, 3.917678, 4.025993, 4.242627",\ + "3.706976, 3.706976, 3.815293, 3.923609, 4.140243",\ + "3.736635, 3.736635, 3.844952, 3.953268, 4.169901"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.014211, 2.014211, 2.122529, 2.230844, 2.447478",\ + "1.644929, 1.644929, 1.753246, 1.861562, 2.078196",\ + "1.276367, 1.276367, 1.384685, 1.493000, 1.709634",\ + "0.783969, 0.783969, 0.892286, 1.000602, 1.217236",\ + "0.594275, 0.594275, 0.702592, 0.810907, 1.027541"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.889114, 1.520002, 1.151462, 0.659092, 0.469391",\ + "2.538072, 2.168961, 1.800420, 1.308051, 1.118349",\ + "3.187030, 2.817918, 2.449378, 1.957008, 1.767307",\ + "4.054037, 3.684926, 3.316385, 2.824016, 2.634314",\ + "4.384769, 4.015658, 3.647118, 3.154748, 2.965046"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.550037, 4.180926, 3.812386, 3.320016, 3.130314",\ + "5.025582, 4.656471, 4.287930, 3.795561, 3.605859",\ + "5.501128, 5.132017, 4.763476, 4.271107, 4.081405",\ + "6.182525, 5.813413, 5.444873, 4.952503, 4.762802",\ + "6.476534, 6.107422, 5.738882, 5.246512, 5.056811"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.557511, -0.081975, 0.393562, 1.075099, 1.370027",\ + "-0.634147, -0.158610, 0.316926, 0.998464, 1.293391",\ + "-0.710782, -0.235245, 0.240292, 0.921829, 1.216756",\ + "-0.813166, -0.337629, 0.137907, 0.819444, 1.114372",\ + "-0.783507, -0.307971, 0.167566, 0.849103, 1.144031"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.309997, -2.834460, -2.358923, -1.677386, -1.382459",\ + "-3.679279, -3.203742, -2.728206, -2.046669, -1.751741",\ + "-4.047841, -3.572304, -3.096767, -2.415230, -2.120303",\ + "-4.540239, -4.064702, -3.589166, -2.907629, -2.612701",\ + "-4.729933, -4.254396, -3.778860, -3.097323, -2.802395"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.739637, 14.739637, 14.523005, 14.450794, 14.306373",\ + "15.388596, 15.388596, 15.171964, 15.099752, 14.955331",\ + "16.037552, 16.037552, 15.820920, 15.748709, 15.604287",\ + "16.904562, 16.904562, 16.687931, 16.615719, 16.471298",\ + "17.235649, 17.235649, 17.019016, 16.946806, 16.802383"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.613594, 15.613594, 15.396962, 15.324751, 15.180329",\ + "16.089134, 16.089134, 15.872502, 15.800291, 15.655869",\ + "16.564671, 16.564671, 16.348038, 16.275827, 16.131405",\ + "17.246201, 17.246201, 17.029568, 16.957357, 16.812935",\ + "17.541153, 17.541153, 17.324520, 17.252310, 17.107887"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "4.049330, 4.049330, 4.157647, 4.265963, 4.482596",\ + "3.972686, 3.972686, 4.081004, 4.189319, 4.405953",\ + "3.896043, 3.896043, 4.004360, 4.112676, 4.329309",\ + "3.793647, 3.793647, 3.901964, 4.010280, 4.226913",\ + "3.823311, 3.823311, 3.931629, 4.039944, 4.256578"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.258698, 3.258698, 3.367015, 3.475331, 3.691964",\ + "2.889580, 2.889580, 2.997897, 3.106213, 3.322845",\ + "2.521039, 2.521039, 2.629356, 2.737671, 2.954304",\ + "2.028668, 2.028668, 2.136986, 2.245301, 2.461934",\ + "1.838967, 1.838967, 1.947285, 2.055600, 2.272233"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.663165, 2.294053, 1.925513, 1.433143, 1.243442",\ + "3.312122, 2.943011, 2.574471, 2.082101, 1.892399",\ + "3.961082, 3.591971, 3.223431, 2.731061, 2.541359",\ + "4.828089, 4.458977, 4.090437, 3.598067, 3.408366",\ + "5.159178, 4.790066, 4.421526, 3.929156, 3.739455"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.850370, 4.481259, 4.112719, 3.620349, 3.430647",\ + "5.325907, 4.956795, 4.588255, 4.095885, 3.906184",\ + "5.801442, 5.432331, 5.063790, 4.571421, 4.381719",\ + "6.482974, 6.113863, 5.745322, 5.252953, 5.063251",\ + "6.777927, 6.408816, 6.040276, 5.547906, 5.358204"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.312600, -0.837063, -0.361526, 0.320011, 0.614938",\ + "-1.389243, -0.913706, -0.438170, 0.243367, 0.538295",\ + "-1.465887, -0.990350, -0.514813, 0.166724, 0.461651",\ + "-1.568283, -1.092746, -0.617209, 0.064328, 0.359255",\ + "-1.538618, -1.063081, -0.587545, 0.093992, 0.388920"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.645672, -3.170135, -2.694599, -2.013062, -1.718134",\ + "-4.014791, -3.539254, -3.063718, -2.382181, -2.087253",\ + "-4.383333, -3.907796, -3.432260, -2.750722, -2.455795",\ + "-4.875702, -4.400166, -3.924629, -3.243092, -2.948164",\ + "-5.065403, -4.589867, -4.114330, -3.432793, -3.137866"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.858618"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.435821"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.853306, 14.853306, 14.636674, 14.564463, 14.420041",\ + "15.502264, 15.502264, 15.285632, 15.213421, 15.068999",\ + "16.151222, 16.151222, 15.934590, 15.862379, 15.717957",\ + "17.018229, 17.018229, 16.801598, 16.729385, 16.584965",\ + "17.348934, 17.348934, 17.132301, 17.060091, 16.915668"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.699757, 15.699757, 15.483125, 15.410913, 15.266492",\ + "16.175354, 16.175354, 15.958722, 15.886511, 15.742089",\ + "16.650951, 16.650951, 16.434319, 16.362108, 16.217686",\ + "17.331558, 17.331558, 17.114925, 17.042715, 16.898293",\ + "17.625568, 17.625568, 17.408936, 17.336725, 17.192303"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.387372, 3.387372, 3.495689, 3.604004, 3.820638",\ + "3.326717, 3.326717, 3.435034, 3.543350, 3.759984",\ + "3.234510, 3.234510, 3.342827, 3.451143, 3.667777",\ + "3.132500, 3.132500, 3.240818, 3.349133, 3.565767",\ + "3.162124, 3.162124, 3.270442, 3.378757, 3.595391"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.694793, 1.694793, 1.803110, 1.911426, 2.128059",\ + "1.402799, 1.402799, 1.511116, 1.619431, 1.836065",\ + "0.958908, 0.958908, 1.067225, 1.175541, 1.392175",\ + "0.467826, 0.467826, 0.576143, 0.684459, 0.901093",\ + "0.278173, 0.278173, 0.386491, 0.494806, 0.711440"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "7.521945, 7.445302, 7.368659, 7.266263, 7.295928",\ + "8.170904, 8.094261, 8.017618, 7.915222, 7.944887",\ + "8.819862, 8.743218, 8.666574, 8.564178, 8.593843",\ + "9.686871, 9.610227, 9.533583, 9.431187, 9.460852",\ + "10.017576, 9.940932, 9.864288, 9.761892, 9.791557"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "8.344509, 8.267865, 8.191221, 8.088825, 8.118490",\ + "8.820105, 8.743462, 8.666819, 8.564423, 8.594088",\ + "9.295702, 9.219059, 9.142416, 9.040020, 9.069685",\ + "9.976311, 9.899667, 9.823023, 9.720627, 9.750292",\ + "10.270321, 10.193677, 10.117033, 10.014637, 10.044302"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "11.400805, 11.031693, 10.663153, 10.170782, 9.981081",\ + "12.049764, 11.680652, 11.312111, 10.819742, 10.630040",\ + "12.698720, 12.329608, 11.961067, 11.468699, 11.278996",\ + "13.565728, 13.196616, 12.828075, 12.335707, 12.146005",\ + "13.896432, 13.527320, 13.158779, 12.666410, 12.476708"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "11.395588, 11.026476, 10.657936, 10.165565, 9.975864",\ + "11.871183, 11.502071, 11.133532, 10.641161, 10.451460",\ + "12.346780, 11.977668, 11.609127, 11.116758, 10.927056",\ + "13.027387, 12.658275, 12.289734, 11.797365, 11.607663",\ + "13.321397, 12.952285, 12.583744, 12.091375, 11.901673"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.111127, 1.760086, 2.409045, 3.276053, 3.607137",\ + "1.050473, 1.699432, 2.348390, 3.215398, 3.546483",\ + "0.958266, 1.607225, 2.256183, 3.123191, 3.454276",\ + "0.856256, 1.505215, 2.154174, 3.021182, 3.352266",\ + "0.885880, 1.534839, 2.183797, 3.050805, 3.381890"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.912314, 1.561273, 2.210231, 3.077239, 3.408324",\ + "0.620319, 1.269279, 1.918237, 2.785245, 3.116329",\ + "0.176429, 0.825388, 1.474347, 2.341355, 2.672439",\ + "-0.314652, 0.334307, 0.983265, 1.850273, 2.181357",\ + "-0.504305, 0.144654, 0.793612, 1.660620, 1.991704"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.068168, -0.592631, -0.117095, 0.564443, 0.859370",\ + "-1.128822, -0.653286, -0.177749, 0.503788, 0.798716",\ + "-1.221029, -0.745492, -0.269956, 0.411581, 0.706509",\ + "-1.323039, -0.847502, -0.371966, 0.309571, 0.604499",\ + "-1.293415, -0.817878, -0.342342, 0.339195, 0.634123"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.052459, -2.576922, -2.101386, -1.419849, -1.124921",\ + "-3.344454, -2.868917, -2.393381, -1.711843, -1.416916",\ + "-3.788344, -3.312808, -2.837271, -2.155734, -1.860806",\ + "-4.279428, -3.803891, -3.328354, -2.646817, -2.351890",\ + "-4.469081, -3.993544, -3.518008, -2.836471, -2.541543"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "28.751947, 29.161520, 29.445721, 29.476618, 29.578850",\ + "28.751947, 29.161520, 29.445721, 29.476618, 29.578850",\ + "28.860266, 29.269838, 29.554039, 29.584936, 29.687168",\ + "28.968580, 29.378153, 29.662354, 29.693251, 29.795483",\ + "29.185213, 29.594786, 29.878986, 29.909883, 30.012115"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "30.017193, 30.496807, 30.818325, 30.853277, 30.968931",\ + "30.017193, 30.496807, 30.818325, 30.853277, 30.968931",\ + "30.125511, 30.605125, 30.926643, 30.961596, 31.077250",\ + "30.233826, 30.713440, 31.034958, 31.069910, 31.185564",\ + "30.450459, 30.930073, 31.251591, 31.286543, 31.402197"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "28.643274, 29.047634, 29.265507, 29.289190, 29.367561",\ + "28.643274, 29.047634, 29.265507, 29.289190, 29.367561",\ + "28.859905, 29.264267, 29.482136, 29.505821, 29.584192",\ + "28.932117, 29.336479, 29.554348, 29.578033, 29.656404",\ + "29.076538, 29.480900, 29.698769, 29.722454, 29.800825"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "29.876461, 30.117455, 30.248005, 30.262196, 30.309156",\ + "29.876461, 30.117455, 30.248005, 30.262196, 30.309156",\ + "30.093092, 30.334084, 30.464634, 30.478827, 30.525787",\ + "30.165304, 30.406296, 30.536846, 30.551039, 30.598000",\ + "30.309725, 30.550716, 30.681267, 30.695459, 30.742420"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.757615, 14.757615, 14.540983, 14.468772, 14.324350",\ + "15.406571, 15.406571, 15.189939, 15.117728, 14.973307",\ + "16.055531, 16.055531, 15.838898, 15.766686, 15.622265",\ + "16.922535, 16.922535, 16.705904, 16.633694, 16.489271",\ + "17.253231, 17.253231, 17.036598, 16.964386, 16.819965"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.239598, 15.239598, 15.022966, 14.950755, 14.806334",\ + "15.715219, 15.715219, 15.498587, 15.426375, 15.281954",\ + "16.190842, 16.190842, 15.974211, 15.901999, 15.757578",\ + "16.871044, 16.871044, 16.654413, 16.582203, 16.437780",\ + "17.165054, 17.165054, 16.948423, 16.876213, 16.731791"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.968146, 3.968146, 4.076464, 4.184779, 4.401413",\ + "3.891588, 3.891588, 3.999906, 4.108221, 4.324855",\ + "3.815030, 3.815030, 3.923347, 4.031662, 4.248296",\ + "3.712748, 3.712748, 3.821065, 3.929380, 4.146014",\ + "3.742353, 3.742353, 3.850671, 3.958986, 4.175620"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.234580, 1.234580, 1.342897, 1.451212, 1.667846",\ + "0.863835, 0.863835, 0.972153, 1.080468, 1.297102",\ + "0.495095, 0.495095, 0.603413, 0.711728, 0.928362",\ + "0.002458, 0.002458, 0.110775, 0.219090, 0.435724",\ + "-0.187174, -0.187174, -0.078856, 0.029459, 0.246093"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "44.410477, 44.820049, 45.104252, 45.135147, 45.237377",\ + "44.510975, 44.920547, 45.204750, 45.235645, 45.337875",\ + "44.648560, 45.058132, 45.342335, 45.373230, 45.475460",\ + "44.897800, 45.307373, 45.591576, 45.622471, 45.724701",\ + "45.278912, 45.688484, 45.972687, 46.003582, 46.105812"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "39.240631, 39.720245, 40.041763, 40.076717, 40.192371",\ + "39.341129, 39.820747, 40.142262, 40.177216, 40.292870",\ + "39.478714, 39.958328, 40.279846, 40.314800, 40.430454",\ + "39.727955, 40.207573, 40.529087, 40.564041, 40.679695",\ + "40.109066, 40.588684, 40.910198, 40.945152, 41.060806"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "8.939283, 9.343645, 9.561516, 9.585200, 9.663572",\ + "9.039780, 9.444141, 9.662012, 9.685697, 9.764069",\ + "9.177368, 9.581730, 9.799601, 9.823284, 9.901656",\ + "9.426609, 9.830971, 10.048841, 10.072525, 10.150897",\ + "9.807718, 10.212080, 10.429951, 10.453634, 10.532006"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "9.888050, 10.129043, 10.259593, 10.273785, 10.320745",\ + "9.988546, 10.229539, 10.360089, 10.374281, 10.421242",\ + "10.126135, 10.367126, 10.497677, 10.511869, 10.558830",\ + "10.375376, 10.616367, 10.746918, 10.761110, 10.808071",\ + "10.756485, 10.997477, 11.128027, 11.142220, 11.189180"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.377333, 13.786905, 14.071108, 14.102004, 14.204235",\ + "14.026295, 14.435867, 14.720069, 14.750965, 14.853196",\ + "14.675255, 15.084827, 15.369029, 15.399925, 15.502156",\ + "15.542267, 15.951839, 16.236042, 16.266937, 16.369169",\ + "15.873354, 16.282927, 16.567129, 16.598026, 16.700256"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.229185, 15.708799, 16.030317, 16.065269, 16.180923",\ + "15.704721, 16.184336, 16.505854, 16.540806, 16.656460",\ + "16.180258, 16.659872, 16.981390, 17.016342, 17.131996",\ + "16.861929, 17.341545, 17.663061, 17.698013, 17.813667",\ + "17.156893, 17.636507, 17.958025, 17.992977, 18.108631"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.960613, 12.364975, 12.582846, 12.606530, 12.684902",\ + "12.037256, 12.441618, 12.659489, 12.683172, 12.761544",\ + "12.113898, 12.518259, 12.736130, 12.759815, 12.838186",\ + "12.186629, 12.590990, 12.808861, 12.832546, 12.910917",\ + "12.186629, 12.590990, 12.808861, 12.832546, 12.910917"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.778008, 14.019000, 14.149550, 14.163742, 14.210703",\ + "14.146973, 14.387964, 14.518515, 14.532707, 14.579668",\ + "14.515516, 14.756508, 14.887058, 14.901251, 14.948212",\ + "15.007891, 15.248882, 15.379433, 15.393625, 15.440586",\ + "15.197592, 15.438584, 15.569135, 15.583326, 15.630287"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "14.014654, 14.424227, 14.708429, 14.739326, 14.841557",\ + "14.490192, 14.899765, 15.183967, 15.214863, 15.317094",\ + "14.965729, 15.375301, 15.659503, 15.690399, 15.792630",\ + "15.647266, 16.056839, 16.341042, 16.371937, 16.474169",\ + "15.942194, 16.351767, 16.635969, 16.666866, 16.769096"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.277504, 16.757118, 17.078636, 17.113590, 17.229244",\ + "16.753042, 17.232658, 17.554174, 17.589127, 17.704781",\ + "17.228579, 17.708195, 18.029711, 18.064663, 18.180317",\ + "17.910116, 18.389732, 18.711248, 18.746201, 18.861855",\ + "18.205044, 18.684658, 19.006176, 19.041130, 19.156784"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.119186, 12.523548, 12.741419, 12.765103, 12.843475",\ + "12.488298, 12.892660, 13.110531, 13.134215, 13.212587",\ + "12.856840, 13.261201, 13.479072, 13.502756, 13.581127",\ + "13.349209, 13.753571, 13.971441, 13.995126, 14.073498",\ + "13.538910, 13.943272, 14.161142, 14.184827, 14.263199"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.194878, 13.435869, 13.566420, 13.580612, 13.627573",\ + "13.563990, 13.804981, 13.935532, 13.949724, 13.996685",\ + "13.932531, 14.173523, 14.304073, 14.318266, 14.365227",\ + "14.424900, 14.665892, 14.796442, 14.810635, 14.857595",\ + "14.614601, 14.855593, 14.986143, 15.000336, 15.047297"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "18.977879, 19.387451, 19.671654, 19.702549, 19.804779",\ + "19.626837, 20.036409, 20.320612, 20.351509, 20.453739",\ + "20.275797, 20.685369, 20.969570, 21.000467, 21.102699",\ + "21.142803, 21.552376, 21.836578, 21.867474, 21.969704",\ + "21.473886, 21.883459, 22.167660, 22.198557, 22.300789"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368",\ + "2.171308, 2.946527, 3.483048, 3.541374, 3.734368"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "21.536880, 22.016495, 22.338013, 22.372965, 22.488619",\ + "22.185841, 22.665455, 22.986973, 23.021925, 23.137579",\ + "22.834799, 23.314413, 23.635931, 23.670883, 23.786537",\ + "23.701805, 24.181419, 24.502937, 24.537889, 24.653543",\ + "24.032890, 24.512505, 24.834023, 24.868975, 24.984629"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565",\ + "2.315993, 3.166848, 3.746188, 3.809169, 4.017565"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.404516, 12.808877, 13.026748, 13.050432, 13.128803",\ + "12.481159, 12.885521, 13.103392, 13.127076, 13.205448",\ + "12.557803, 12.962164, 13.180035, 13.203720, 13.282091",\ + "12.630535, 13.034896, 13.252767, 13.276451, 13.354822",\ + "12.630535, 13.034896, 13.252767, 13.276451, 13.354822"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139",\ + "1.869096, 2.019314, 2.088657, 2.096195, 2.121139"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.641628, 13.882621, 14.013171, 14.027363, 14.074324",\ + "13.718273, 13.959265, 14.089815, 14.104008, 14.150969",\ + "13.794916, 14.035909, 14.166459, 14.180651, 14.227612",\ + "13.867647, 14.108640, 14.239190, 14.253382, 14.300343",\ + "13.867647, 14.108640, 14.239190, 14.253382, 14.300343"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869",\ + "1.322955, 1.412940, 1.461924, 1.467249, 1.484869"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.817856, 1.448744, 1.080204, 0.587834, 0.398132",\ + "2.466813, 2.097701, 1.729161, 1.236791, 1.047090",\ + "3.115771, 2.746659, 2.378119, 1.885749, 1.696048",\ + "3.982778, 3.613666, 3.245125, 2.752756, 2.563054",\ + "4.313472, 3.944360, 3.575819, 3.083450, 2.893748"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.214972, 4.845860, 4.477319, 3.984950, 3.795248",\ + "5.690594, 5.321482, 4.952941, 4.460572, 4.270870",\ + "6.166217, 5.797105, 5.428565, 4.936195, 4.746493",\ + "6.846417, 6.477305, 6.108765, 5.616395, 5.426694",\ + "7.140429, 6.771317, 6.402777, 5.910408, 5.720706"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.542082, -0.066545, 0.408991, 1.090528, 1.385456",\ + "-0.618640, -0.143103, 0.332433, 1.013970, 1.308898",\ + "-0.695199, -0.219662, 0.255874, 0.937411, 1.232339",\ + "-0.797481, -0.321944, 0.153593, 0.835130, 1.130057",\ + "-0.767875, -0.292338, 0.183198, 0.864736, 1.159663"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.076912, -3.601375, -3.125839, -2.444302, -2.149374",\ + "-4.447655, -3.972118, -3.496582, -2.815044, -2.520117",\ + "-4.816395, -4.340858, -3.865322, -3.183784, -2.888857",\ + "-5.309033, -4.833497, -4.357960, -3.676423, -3.381495",\ + "-5.498665, -5.023128, -4.547592, -3.866055, -3.571127"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "14.567000, 14.567000, 14.350368, 14.278157, 14.133736",\ + "15.215957, 15.215957, 14.999325, 14.927114, 14.782692",\ + "15.864915, 15.864915, 15.648283, 15.576072, 15.431650",\ + "16.731922, 16.731922, 16.515289, 16.443077, 16.298656",\ + "17.062599, 17.062599, 16.845966, 16.773754, 16.629333"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "15.154410, 15.154410, 14.937778, 14.865567, 14.721146",\ + "15.630061, 15.630061, 15.413429, 15.341218, 15.196796",\ + "16.105717, 16.105717, 15.889084, 15.816873, 15.672451",\ + "16.785442, 16.785442, 16.568810, 16.496597, 16.352177",\ + "17.079453, 17.079453, 16.862820, 16.790607, 16.646187"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "4.309294, 4.309294, 4.417611, 4.525927, 4.742560",\ + "4.232766, 4.232766, 4.341083, 4.449399, 4.666032",\ + "4.156238, 4.156238, 4.264555, 4.372871, 4.589505",\ + "4.053997, 4.053997, 4.162314, 4.270630, 4.487264",\ + "4.083582, 4.083582, 4.191899, 4.300215, 4.516849"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "3.667854, 3.667854, 3.776172, 3.884487, 4.101120",\ + "3.296530, 3.296530, 3.404848, 3.513163, 3.729796",\ + "2.927718, 2.927718, 3.036035, 3.144351, 3.360983",\ + "2.434985, 2.434985, 2.543303, 2.651618, 2.868251",\ + "2.245378, 2.245378, 2.353695, 2.462011, 2.678644"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.555718, 1.186606, 0.818066, 0.325696, 0.135995",\ + "2.204675, 1.835563, 1.467023, 0.974653, 0.784952",\ + "2.853632, 2.484521, 2.115981, 1.623611, 1.433909",\ + "3.720639, 3.351528, 2.982987, 2.490618, 2.300916",\ + "4.051318, 3.682206, 3.313666, 2.821296, 2.631595"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.741760, 3.372648, 3.004108, 2.511738, 2.322037",\ + "4.217412, 3.848301, 3.479761, 2.987391, 2.797689",\ + "4.693064, 4.323953, 3.955412, 3.463043, 3.273341",\ + "5.372789, 5.003677, 4.635137, 4.142767, 3.953066",\ + "5.666800, 5.297688, 4.929148, 4.436779, 4.247077"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.173862, 0.301675, 0.777211, 1.458748, 1.753676",\ + "-0.250390, 0.225147, 0.700683, 1.382220, 1.677148",\ + "-0.326918, 0.148619, 0.624156, 1.305693, 1.600620",\ + "-0.429159, 0.046378, 0.521914, 1.203452, 1.498379",\ + "-0.399574, 0.075963, 0.551499, 1.233037, 1.527964"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.424828, -1.949291, -1.473755, -0.792218, -0.497290",\ + "-2.796153, -2.320616, -1.845079, -1.163542, -0.868615",\ + "-3.164965, -2.689428, -2.213892, -1.532354, -1.237427",\ + "-3.657697, -3.182160, -2.706624, -2.025086, -1.730159",\ + "-3.847304, -3.371768, -2.896231, -2.214694, -1.919766"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "1.227442"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.037703"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.991811 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "17.423368, 17.813940, 18.031822, 18.055508, 18.133883",\ + "17.423368, 17.813940, 18.031822, 18.055508, 18.133883",\ + "17.531685, 17.922256, 18.140137, 18.163824, 18.242199",\ + "17.639999, 18.030571, 18.248451, 18.272139, 18.350513",\ + "17.856632, 18.247204, 18.465084, 18.488771, 18.567146"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869130, 2.019270, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019270, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019270, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019270, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019270, 2.088650, 2.096192, 2.121149"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "19.319813, 19.560875, 19.691404, 19.705593, 19.752546",\ + "19.319813, 19.560875, 19.691404, 19.705593, 19.752546",\ + "19.428131, 19.669193, 19.799721, 19.813910, 19.860863",\ + "19.536446, 19.777508, 19.908035, 19.922224, 19.969177",\ + "19.753078, 19.994141, 20.124668, 20.138857, 20.185810"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322870, 1.413101, 1.461996, 1.467312, 1.484900",\ + "1.322870, 1.413101, 1.461996, 1.467312, 1.484900",\ + "1.322870, 1.413101, 1.461996, 1.467312, 1.484900",\ + "1.322870, 1.413101, 1.461996, 1.467312, 1.484900",\ + "1.322870, 1.413101, 1.461996, 1.467312, 1.484900"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.939892, 17.330463, 17.548344, 17.572031, 17.650406",\ + "16.939892, 17.330463, 17.548344, 17.572031, 17.650406",\ + "17.156525, 17.547096, 17.764977, 17.788664, 17.867039",\ + "17.228737, 17.619308, 17.837189, 17.860876, 17.939251",\ + "17.373159, 17.763731, 17.981613, 18.005299, 18.083673"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869130, 2.019270, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019270, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019270, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019270, 2.088650, 2.096192, 2.121149",\ + "1.869130, 2.019270, 2.088650, 2.096192, 2.121149"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "18.357567, 18.598629, 18.729156, 18.743345, 18.790298",\ + "18.357567, 18.598629, 18.729156, 18.743345, 18.790298",\ + "18.574200, 18.815262, 18.945789, 18.959978, 19.006931",\ + "18.646412, 18.887474, 19.018002, 19.032190, 19.079144",\ + "18.790833, 19.031895, 19.162422, 19.176611, 19.223564"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322870, 1.413101, 1.461996, 1.467312, 1.484900",\ + "1.322870, 1.413101, 1.461996, 1.467312, 1.484900",\ + "1.322870, 1.413101, 1.461996, 1.467312, 1.484900",\ + "1.322870, 1.413101, 1.461996, 1.467312, 1.484900",\ + "1.322870, 1.413101, 1.461996, 1.467312, 1.484900"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.132990, 13.523561, 13.741443, 13.765129, 13.843504",\ + "13.781948, 14.172520, 14.390401, 14.414087, 14.492462",\ + "14.430906, 14.821478, 15.039359, 15.063046, 15.141420",\ + "15.297916, 15.688488, 15.906369, 15.930056, 16.008430",\ + "15.629000, 16.019571, 16.237453, 16.261139, 16.339514"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.064016, 2.137871, 2.145900, 2.172467",\ + "1.903822, 2.064016, 2.137871, 2.145900, 2.172467",\ + "1.903822, 2.064016, 2.137871, 2.145900, 2.172467",\ + "1.903822, 2.064016, 2.137871, 2.145900, 2.172467",\ + "1.903822, 2.064016, 2.137871, 2.145900, 2.172467"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.555365, 13.796427, 13.926954, 13.941145, 13.988097",\ + "14.204324, 14.445386, 14.575914, 14.590103, 14.637056",\ + "14.853282, 15.094344, 15.224873, 15.239061, 15.286015",\ + "15.720288, 15.961350, 16.091879, 16.106068, 16.153021",\ + "16.051373, 16.292435, 16.422964, 16.437153, 16.484106"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403216, 1.504030, 1.553025, 1.558352, 1.575976",\ + "1.403216, 1.504030, 1.553025, 1.558352, 1.575976",\ + "1.403216, 1.504030, 1.553025, 1.558352, 1.575976",\ + "1.403216, 1.504030, 1.553025, 1.558352, 1.575976",\ + "1.403216, 1.504030, 1.553025, 1.558352, 1.575976"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.046959, 11.047260, 11.047379, 11.047393, 11.047436",\ + "11.123603, 11.123904, 11.124023, 11.124037, 11.124080",\ + "11.200247, 11.200548, 11.200667, 11.200680, 11.200723",\ + "11.272978, 11.273279, 11.273398, 11.273411, 11.273454",\ + "11.272978, 11.273279, 11.273398, 11.273411, 11.273454"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.920609, 10.926563, 10.927464, 10.927563, 10.927887",\ + "10.997252, 11.003207, 11.004108, 11.004207, 11.004531",\ + "11.073895, 11.079850, 11.080752, 11.080851, 11.081175",\ + "11.146626, 11.152581, 11.153483, 11.153582, 11.153906",\ + "11.146626, 11.152581, 11.153483, 11.153582, 11.153906"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.683577, 16.074131, 16.292015, 16.315701, 16.394077",\ + "15.683577, 16.074131, 16.292015, 16.315701, 16.394077",\ + "15.791895, 16.182449, 16.400333, 16.424019, 16.502396",\ + "15.900209, 16.290764, 16.508648, 16.532333, 16.610710",\ + "16.116842, 16.507397, 16.725281, 16.748966, 16.827343"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.272423, 16.513496, 16.644022, 16.658211, 16.705162",\ + "16.272423, 16.513496, 16.644022, 16.658211, 16.705162",\ + "16.380739, 16.621815, 16.752338, 16.766529, 16.813480",\ + "16.489056, 16.730129, 16.860655, 16.874844, 16.921795",\ + "16.705688, 16.946762, 17.077288, 17.091476, 17.138428"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.259306, 15.649859, 15.867743, 15.891430, 15.969805",\ + "15.259306, 15.649859, 15.867743, 15.891430, 15.969805",\ + "15.475939, 15.866492, 16.084375, 16.108063, 16.186438",\ + "15.548151, 15.938704, 16.156588, 16.180275, 16.258650",\ + "15.692572, 16.083126, 16.301008, 16.324696, 16.403070"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.008728, 16.249804, 16.380327, 16.394516, 16.441467",\ + "16.008728, 16.249804, 16.380327, 16.394516, 16.441467",\ + "16.225361, 16.466436, 16.596960, 16.611149, 16.658100",\ + "16.297573, 16.538647, 16.669172, 16.683361, 16.730312",\ + "16.441994, 16.683069, 16.813593, 16.827782, 16.874733"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.816689, 13.207242, 13.425125, 13.448812, 13.527187",\ + "13.465647, 13.856200, 14.074083, 14.097771, 14.176146",\ + "14.114605, 14.505158, 14.723042, 14.746729, 14.825104",\ + "14.981613, 15.372167, 15.590050, 15.613737, 15.692112",\ + "15.312696, 15.703250, 15.921133, 15.944820, 16.023195"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.064016, 2.137871, 2.145900, 2.172466",\ + "1.903822, 2.064016, 2.137871, 2.145900, 2.172466",\ + "1.903822, 2.064016, 2.137871, 2.145900, 2.172466",\ + "1.903822, 2.064016, 2.137871, 2.145900, 2.172466",\ + "1.903822, 2.064016, 2.137871, 2.145900, 2.172466"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.440634, 13.681708, 13.812233, 13.826422, 13.873373",\ + "14.089594, 14.330668, 14.461193, 14.475382, 14.522333",\ + "14.738552, 14.979628, 15.110151, 15.124341, 15.171292",\ + "15.605560, 15.846635, 15.977160, 15.991348, 16.038300",\ + "15.936644, 16.177719, 16.308243, 16.322432, 16.369383"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403219, 1.504030, 1.553025, 1.558352, 1.575976",\ + "1.403219, 1.504030, 1.553025, 1.558352, 1.575976",\ + "1.403219, 1.504030, 1.553025, 1.558352, 1.575976",\ + "1.403219, 1.504030, 1.553025, 1.558352, 1.575976",\ + "1.403219, 1.504030, 1.553025, 1.558352, 1.575976"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.673736, 10.674036, 10.674154, 10.674168, 10.674211",\ + "10.750380, 10.750680, 10.750799, 10.750812, 10.750854",\ + "10.827023, 10.827323, 10.827442, 10.827456, 10.827497",\ + "10.899754, 10.900054, 10.900173, 10.900187, 10.900229",\ + "10.899754, 10.900054, 10.900173, 10.900187, 10.900229"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.547350, 10.553321, 10.554224, 10.554322, 10.554647",\ + "10.623995, 10.629965, 10.630868, 10.630966, 10.631290",\ + "10.700638, 10.706609, 10.707512, 10.707609, 10.707934",\ + "10.773369, 10.779340, 10.780243, 10.780340, 10.780665",\ + "10.773369, 10.779340, 10.780243, 10.780340, 10.780665"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.275845, 11.666399, 11.884282, 11.907969, 11.986344",\ + "11.924804, 12.315357, 12.533241, 12.556928, 12.635303",\ + "12.573762, 12.964315, 13.182199, 13.205886, 13.284262",\ + "13.440769, 13.831324, 14.049208, 14.072893, 14.151270",\ + "13.771852, 14.162407, 14.380291, 14.403976, 14.482353"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.850534, 12.091610, 12.222134, 12.236322, 12.283274",\ + "12.326071, 12.567146, 12.697670, 12.711860, 12.758811",\ + "12.801607, 13.042683, 13.173206, 13.187396, 13.234347",\ + "13.483145, 13.724220, 13.854744, 13.868934, 13.915885",\ + "13.778072, 14.019148, 14.149672, 14.163861, 14.210813"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.283516, 10.674070, 10.891954, 10.915640, 10.994017",\ + "10.360160, 10.750713, 10.968597, 10.992284, 11.070660",\ + "10.436804, 10.827358, 11.045241, 11.068928, 11.147304",\ + "10.509535, 10.900089, 11.117972, 11.141659, 11.220035",\ + "10.509535, 10.900089, 11.117972, 11.141659, 11.220035"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150",\ + "1.869135, 2.019262, 2.088648, 2.096191, 2.121150"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "11.284018, 11.525093, 11.655617, 11.669806, 11.716757",\ + "11.653130, 11.894205, 12.024729, 12.038918, 12.085869",\ + "12.021669, 12.262745, 12.393269, 12.407458, 12.454410",\ + "12.514040, 12.755115, 12.885639, 12.899828, 12.946779",\ + "12.703741, 12.944817, 13.075340, 13.089529, 13.136480"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905",\ + "1.322855, 1.413129, 1.462009, 1.467323, 1.484905"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "15.511520, 15.902077, 16.119961, 16.143646, 16.222023",\ + "15.511520, 15.902077, 16.119961, 16.143646, 16.222023",\ + "15.619837, 16.010395, 16.228279, 16.251965, 16.330339",\ + "15.728153, 16.118711, 16.336594, 16.360281, 16.438656",\ + "15.944786, 16.335344, 16.553226, 16.576914, 16.655289"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.063987, 2.137856, 2.145886, 2.172458",\ + "1.903822, 2.063987, 2.137856, 2.145886, 2.172458",\ + "1.903822, 2.063987, 2.137856, 2.145886, 2.172458",\ + "1.903822, 2.063987, 2.137856, 2.145886, 2.172458",\ + "1.903822, 2.063987, 2.137856, 2.145886, 2.172458"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "16.096085, 16.337158, 16.469492, 16.484032, 16.532137",\ + "16.096085, 16.337158, 16.469492, 16.484032, 16.532137",\ + "16.204403, 16.445475, 16.577808, 16.592348, 16.640453",\ + "16.312717, 16.553791, 16.686125, 16.700665, 16.748770",\ + "16.529350, 16.770424, 16.902756, 16.917295, 16.965401"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403379, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403379, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403379, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403379, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403379, 1.504027, 1.553024, 1.558350, 1.575975"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "14.150626, 14.150883, 14.150984, 14.150994, 14.151031",\ + "14.150626, 14.150883, 14.150984, 14.150994, 14.151031",\ + "14.367259, 14.367516, 14.367617, 14.367627, 14.367663",\ + "14.439469, 14.439726, 14.439827, 14.439837, 14.439874",\ + "14.583892, 14.584148, 14.584249, 14.584260, 14.584296"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "14.022903, 14.029499, 14.030449, 14.030552, 14.030893",\ + "14.022903, 14.029499, 14.030449, 14.030552, 14.030893",\ + "14.239536, 14.246132, 14.247082, 14.247185, 14.247526",\ + "14.311747, 14.318342, 14.319292, 14.319395, 14.319736",\ + "14.456169, 14.462765, 14.463715, 14.463818, 14.464159"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.256752, 10.647309, 10.865192, 10.888879, 10.967255",\ + "10.905709, 11.296267, 11.514151, 11.537836, 11.616212",\ + "11.554667, 11.945225, 12.163108, 12.186794, 12.265169",\ + "12.421675, 12.812231, 13.030115, 13.053801, 13.132177",\ + "12.752380, 13.142937, 13.360821, 13.384506, 13.462883"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869134, 2.019264, 2.088649, 2.096192, 2.121150",\ + "1.869134, 2.019264, 2.088649, 2.096192, 2.121150",\ + "1.869134, 2.019264, 2.088649, 2.096192, 2.121150",\ + "1.869134, 2.019264, 2.088649, 2.096192, 2.121150",\ + "1.869134, 2.019264, 2.088649, 2.096192, 2.121150"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.867517, 11.108589, 11.239115, 11.253304, 11.300255",\ + "11.343113, 11.584185, 11.714710, 11.728899, 11.775851",\ + "11.818709, 12.059782, 12.190307, 12.204496, 12.251448",\ + "12.499315, 12.740388, 12.870913, 12.885102, 12.932055",\ + "12.793325, 13.034398, 13.164923, 13.179112, 13.226065"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322859, 1.413123, 1.462006, 1.467320, 1.484904",\ + "1.322859, 1.413123, 1.462006, 1.467320, 1.484904",\ + "1.322859, 1.413123, 1.462006, 1.467320, 1.484904",\ + "1.322859, 1.413123, 1.462006, 1.467320, 1.484904",\ + "1.322859, 1.413123, 1.462006, 1.467320, 1.484904"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "9.581005, 9.971562, 10.189445, 10.213132, 10.291508",\ + "9.641660, 10.032217, 10.250101, 10.273787, 10.352162",\ + "9.733867, 10.124424, 10.342308, 10.365993, 10.444369",\ + "9.806253, 10.196810, 10.414694, 10.438379, 10.516756",\ + "9.806253, 10.196810, 10.414694, 10.438379, 10.516756"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.869134, 2.019264, 2.088649, 2.096192, 2.121150",\ + "1.869134, 2.019264, 2.088649, 2.096192, 2.121150",\ + "1.869134, 2.019264, 2.088649, 2.096192, 2.121150",\ + "1.869134, 2.019264, 2.088649, 2.096192, 2.121150",\ + "1.869134, 2.019264, 2.088649, 2.096192, 2.121150"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.462831, 10.703903, 10.834428, 10.848618, 10.895569",\ + "10.754825, 10.995897, 11.126422, 11.140612, 11.187563",\ + "11.198715, 11.439787, 11.570312, 11.584501, 11.631454",\ + "11.689796, 11.930869, 12.061394, 12.075583, 12.122536",\ + "11.879450, 12.120522, 12.251047, 12.265236, 12.312188"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.322859, 1.413123, 1.462006, 1.467320, 1.484904",\ + "1.322859, 1.413123, 1.462006, 1.467320, 1.484904",\ + "1.322859, 1.413123, 1.462006, 1.467320, 1.484904",\ + "1.322859, 1.413123, 1.462006, 1.467320, 1.484904",\ + "1.322859, 1.413123, 1.462006, 1.467320, 1.484904"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "12.466011, 12.856569, 13.074452, 13.098139, 13.176514",\ + "13.114971, 13.505527, 13.723412, 13.747097, 13.825474",\ + "13.763929, 14.154486, 14.372370, 14.396055, 14.474432",\ + "14.630938, 15.021496, 15.239379, 15.263065, 15.341440",\ + "14.962021, 15.352579, 15.570462, 15.594149, 15.672523"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.903822, 2.063987, 2.137856, 2.145886, 2.172458",\ + "1.903822, 2.063987, 2.137856, 2.145886, 2.172458",\ + "1.903822, 2.063987, 2.137856, 2.145886, 2.172458",\ + "1.903822, 2.063987, 2.137856, 2.145886, 2.172458",\ + "1.903822, 2.063987, 2.137856, 2.145886, 2.172458"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "13.082923, 13.323996, 13.454520, 13.468710, 13.515661",\ + "13.731882, 13.972954, 14.103479, 14.117668, 14.164620",\ + "14.380840, 14.621912, 14.752438, 14.766626, 14.813579",\ + "15.247849, 15.488920, 15.619446, 15.633635, 15.680587",\ + "15.578933, 15.820005, 15.950530, 15.964720, 16.011671"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "1.403379, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403379, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403379, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403379, 1.504027, 1.553024, 1.558350, 1.575975",\ + "1.403379, 1.504027, 1.553024, 1.558350, 1.575975"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.646225, 10.646481, 10.646582, 10.646593, 10.646629",\ + "10.722869, 10.723125, 10.723227, 10.723237, 10.723273",\ + "10.799513, 10.799768, 10.799870, 10.799881, 10.799917",\ + "10.872244, 10.872499, 10.872601, 10.872612, 10.872648",\ + "10.872244, 10.872499, 10.872601, 10.872612, 10.872648"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "10.518501, 10.525098, 10.526047, 10.526150, 10.526491",\ + "10.595146, 10.601742, 10.602692, 10.602795, 10.603136",\ + "10.671789, 10.678385, 10.679335, 10.679438, 10.679779",\ + "10.744520, 10.751116, 10.752066, 10.752169, 10.752510",\ + "10.744520, 10.751116, 10.752066, 10.752169, 10.752510"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.163007, 1.860811, 2.336017, 2.387677, 2.558615"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.163007 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "1.580259"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.815588"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/lib/tt/caravan.max.lib b/signoff/caravan/primetime/lib/tt/caravan.max.lib new file mode 100644 index 00000000..dc8ccca6 --- /dev/null +++ b/signoff/caravan/primetime/lib/tt/caravan.max.lib @@ -0,0 +1,4508 @@ + +library("caravan.max") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 04:59:18 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.8000 ; + nom_temperature : 25.0000 ; + operating_conditions( "sky130_fd_sc_hd__tt_1.80v_25C" ) { + process : 1.0000 ; + voltage : 1.8000 ; + temperature : 25.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__tt_1.80v_25C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.783898, 6.783898, 6.603266, 6.543055, 6.422634",\ + "7.339575, 7.339575, 7.158943, 7.098732, 6.978311",\ + "7.895251, 7.895251, 7.714619, 7.654408, 7.533987",\ + "8.637634, 8.637634, 8.457003, 8.396791, 8.276371",\ + "8.923714, 8.923714, 8.743081, 8.682871, 8.562449"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.898352, 6.898352, 6.717720, 6.657509, 6.537088",\ + "7.351550, 7.351550, 7.170918, 7.110707, 6.990285",\ + "7.804747, 7.804747, 7.624115, 7.563904, 7.443483",\ + "8.410219, 8.410219, 8.229587, 8.169376, 8.048954",\ + "8.669487, 8.669487, 8.488855, 8.428644, 8.308224"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.439253, 1.439253, 1.529569, 1.619884, 1.800517",\ + "1.421758, 1.421758, 1.512074, 1.602389, 1.783022",\ + "1.404263, 1.404263, 1.494579, 1.584895, 1.765527",\ + "1.380889, 1.380889, 1.471205, 1.561521, 1.742154",\ + "1.425659, 1.425659, 1.515975, 1.606290, 1.786923"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.853331, 0.853331, 0.943646, 1.033962, 1.214595",\ + "0.439751, 0.439751, 0.530066, 0.620382, 0.801015",\ + "0.089535, 0.089535, 0.179851, 0.270166, 0.450799",\ + "-0.378352, -0.378352, -0.288036, -0.197720, -0.017087",\ + "-0.568652, -0.568652, -0.478336, -0.388020, -0.207387"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.550589, 2.137151, 1.786937, 1.319049, 1.128766",\ + "3.106266, 2.692829, 2.342614, 1.874727, 1.684443",\ + "3.661942, 3.248505, 2.898290, 2.430403, 2.240119",\ + "4.404326, 3.990889, 3.640674, 3.172787, 2.982503",\ + "4.690405, 4.276968, 3.926753, 3.458865, 3.268582"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.639039, 3.225602, 2.875387, 2.407500, 2.217216",\ + "4.092237, 3.678799, 3.328584, 2.860697, 2.670413",\ + "4.545434, 4.131997, 3.781782, 3.313895, 3.123611",\ + "5.150907, 4.737470, 4.387255, 3.919367, 3.729084",\ + "5.410175, 4.996738, 4.646523, 4.178636, 3.988352"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.685461, -1.232285, -0.779108, -0.173664, 0.085611",\ + "-1.702956, -1.249780, -0.796603, -0.191159, 0.068116",\ + "-1.720451, -1.267275, -0.814098, -0.208653, 0.050621",\ + "-1.743825, -1.290648, -0.837471, -0.232027, 0.027247",\ + "-1.699055, -1.245879, -0.792702, -0.187258, 0.072017"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.573003, -2.119826, -1.666649, -1.061205, -0.801930",\ + "-2.986583, -2.533406, -2.080229, -1.474785, -1.215510",\ + "-3.336798, -2.883622, -2.430445, -1.825001, -1.565726",\ + "-3.804684, -3.351508, -2.898331, -2.292887, -2.033612",\ + "-3.994984, -3.541808, -3.088631, -2.483187, -2.223912"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.928589, 6.928589, 6.747957, 6.687747, 6.567325",\ + "7.484273, 7.484273, 7.303641, 7.243431, 7.123009",\ + "8.039957, 8.039957, 7.859324, 7.799114, 7.678692",\ + "8.782351, 8.782351, 8.601718, 8.541508, 8.421085",\ + "9.068428, 9.068428, 8.887796, 8.827585, 8.707165"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.852642, 6.852642, 6.672009, 6.611799, 6.491377",\ + "7.305827, 7.305827, 7.125195, 7.064984, 6.944562",\ + "7.759012, 7.759012, 7.578380, 7.518169, 7.397748",\ + "8.364468, 8.364468, 8.183836, 8.123625, 8.003204",\ + "8.623741, 8.623741, 8.443109, 8.382898, 8.262476"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.574076, 0.574076, 0.664392, 0.754708, 0.935340",\ + "0.556577, 0.556577, 0.646893, 0.737208, 0.917841",\ + "0.539077, 0.539077, 0.629393, 0.719708, 0.900341",\ + "0.515697, 0.515697, 0.606013, 0.696329, 0.876962",\ + "0.560467, 0.560467, 0.650783, 0.741099, 0.921731"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.345705, -0.345705, -0.255389, -0.165073, 0.015560",\ + "-0.759204, -0.759204, -0.668889, -0.578573, -0.397940",\ + "-1.109419, -1.109419, -1.019104, -0.928788, -0.748155",\ + "-1.577306, -1.577306, -1.486990, -1.396675, -1.216042",\ + "-1.767597, -1.767597, -1.677281, -1.586965, -1.406332"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.955526, 2.542089, 2.191874, 1.723986, 1.533703",\ + "3.511209, 3.097772, 2.747557, 2.279670, 2.089386",\ + "4.066894, 3.653456, 3.303241, 2.835354, 2.645070",\ + "4.809288, 4.395850, 4.045635, 3.577748, 3.387465",\ + "5.095367, 4.681930, 4.331715, 3.863827, 3.673544"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.163612, 3.750175, 3.399960, 2.932072, 2.741789",\ + "4.616798, 4.203361, 3.853146, 3.385258, 3.194975",\ + "5.069983, 4.656546, 4.306331, 3.838444, 3.648160",\ + "5.675441, 5.262004, 4.911789, 4.443901, 4.253618",\ + "5.934712, 5.521276, 5.171061, 4.703173, 4.512889"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.022526, -1.569350, -1.116173, -0.510728, -0.251453",\ + "-2.040025, -1.586849, -1.133672, -0.528227, -0.268953",\ + "-2.057525, -1.604349, -1.151172, -0.545727, -0.286453",\ + "-2.080905, -1.627728, -1.174551, -0.569107, -0.309832",\ + "-2.036135, -1.582958, -1.129781, -0.524337, -0.265062"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.223655, -2.770478, -2.317302, -1.711857, -1.452582",\ + "-3.637155, -3.183978, -2.730801, -2.125357, -1.866082",\ + "-3.987370, -3.534193, -3.081016, -2.475572, -2.216297",\ + "-4.455256, -4.002080, -3.548903, -2.943459, -2.684184",\ + "-4.645547, -4.192370, -3.739194, -3.133749, -2.874475"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.041865, 7.041865, 6.861233, 6.801023, 6.680601",\ + "7.597538, 7.597538, 7.416906, 7.356695, 7.236274",\ + "8.153212, 8.153212, 7.972579, 7.912369, 7.791947",\ + "8.895590, 8.895590, 8.714958, 8.654747, 8.534326",\ + "9.181668, 9.181668, 9.001036, 8.940825, 8.820404"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.142899, 7.142899, 6.962266, 6.902056, 6.781634",\ + "7.596102, 7.596102, 7.415470, 7.355259, 7.234838",\ + "8.049305, 8.049305, 7.868673, 7.808462, 7.688041",\ + "8.654785, 8.654785, 8.474154, 8.413942, 8.293521",\ + "8.914052, 8.914052, 8.733419, 8.673209, 8.552788"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.246577, 1.246577, 1.336893, 1.427208, 1.607841",\ + "1.229084, 1.229084, 1.319400, 1.409716, 1.590349",\ + "1.211591, 1.211591, 1.301907, 1.392223, 1.572855",\ + "1.188221, 1.188221, 1.278537, 1.368853, 1.549486",\ + "1.232991, 1.232991, 1.323307, 1.413622, 1.594255"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.753137, 0.753137, 0.843452, 0.933768, 1.114401",\ + "0.339516, 0.339516, 0.429832, 0.520147, 0.700780",\ + "-0.010699, -0.010699, 0.079617, 0.169932, 0.350565",\ + "-0.478586, -0.478586, -0.388270, -0.297954, -0.117321",\ + "-0.668890, -0.668890, -0.578574, -0.488258, -0.307626"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.730131, 2.316694, 1.966479, 1.498592, 1.308308",\ + "3.285805, 2.872367, 2.522152, 2.054265, 1.863981",\ + "3.841478, 3.428041, 3.077826, 2.609938, 2.419655",\ + "4.583858, 4.170420, 3.820205, 3.352318, 3.162034",\ + "4.869937, 4.456499, 4.106284, 3.638397, 3.448113"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.464964, 3.051526, 2.701311, 2.233424, 2.043140",\ + "3.918166, 3.504729, 3.154514, 2.686626, 2.496343",\ + "4.371370, 3.957933, 3.607718, 3.139830, 2.949547",\ + "4.976851, 4.563413, 4.213198, 3.745311, 3.555027",\ + "5.236117, 4.822680, 4.472465, 4.004578, 3.814294"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.833493, -1.380316, -0.927140, -0.321695, -0.062420",\ + "-1.850986, -1.397809, -0.944632, -0.339188, -0.079913",\ + "-1.868479, -1.415302, -0.962125, -0.356680, -0.097406",\ + "-1.891849, -1.438672, -0.985495, -0.380051, -0.120776",\ + "-1.847080, -1.393903, -0.940726, -0.335281, -0.076007"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.566511, -2.113334, -1.660157, -1.054713, -0.795438",\ + "-2.980132, -2.526955, -2.073778, -1.468334, -1.209059",\ + "-3.330347, -2.877170, -2.423993, -1.818549, -1.559274",\ + "-3.798234, -3.345057, -2.891880, -2.286436, -2.027161",\ + "-3.988538, -3.535361, -3.082184, -2.476739, -2.217465"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.934894, 6.934894, 6.754261, 6.694051, 6.573629",\ + "7.490582, 7.490582, 7.309949, 7.249739, 7.129317",\ + "8.046269, 8.046269, 7.865637, 7.805427, 7.685005",\ + "8.788669, 8.788669, 8.608036, 8.547826, 8.427404",\ + "9.074748, 9.074748, 8.894115, 8.833905, 8.713484"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.046950, 7.046950, 6.866318, 6.806108, 6.685686",\ + "7.500124, 7.500124, 7.319491, 7.259281, 7.138860",\ + "7.953299, 7.953299, 7.772666, 7.712456, 7.592034",\ + "8.558739, 8.558739, 8.378106, 8.317896, 8.197474",\ + "8.818014, 8.818014, 8.637382, 8.577171, 8.456750"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.786786, 0.786786, 0.877102, 0.967418, 1.148050",\ + "0.769281, 0.769281, 0.859597, 0.949913, 1.130545",\ + "0.751777, 0.751777, 0.842093, 0.932408, 1.113041",\ + "0.728390, 0.728390, 0.818706, 0.909022, 1.089654",\ + "0.773159, 0.773159, 0.863474, 0.953790, 1.134423"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.447737, 0.447737, 0.538053, 0.628368, 0.809001",\ + "0.034358, 0.034358, 0.124674, 0.214990, 0.395622",\ + "-0.315859, -0.315859, -0.225543, -0.135228, 0.045405",\ + "-0.783749, -0.783749, -0.693433, -0.603117, -0.422485",\ + "-0.974029, -0.974029, -0.883713, -0.793397, -0.612765"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.826529, 2.413091, 2.062876, 1.594989, 1.404706",\ + "3.382217, 2.968779, 2.618564, 2.150677, 1.960393",\ + "3.937905, 3.524468, 3.174253, 2.706365, 2.516082",\ + "4.680305, 4.266867, 3.916652, 3.448765, 3.258481",\ + "4.966384, 4.552947, 4.202732, 3.734844, 3.544561"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.693548, 3.280111, 2.929896, 2.462009, 2.271725",\ + "4.146724, 3.733286, 3.383071, 2.915184, 2.724900",\ + "4.599898, 4.186460, 3.836246, 3.368358, 3.178075",\ + "5.205338, 4.791902, 4.441687, 3.973799, 3.783515",\ + "5.464614, 5.051176, 4.700961, 4.233074, 4.042790"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.822938, -1.369762, -0.916585, -0.311141, -0.051866",\ + "-1.840444, -1.387267, -0.934090, -0.328646, -0.069371",\ + "-1.857948, -1.404771, -0.951594, -0.346150, -0.086875",\ + "-1.881334, -1.428158, -0.974981, -0.369536, -0.110262",\ + "-1.836566, -1.383389, -0.930212, -0.324768, -0.065494"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.405489, -1.952313, -1.499136, -0.893692, -0.634417",\ + "-2.818868, -2.365692, -1.912515, -1.307070, -1.047796",\ + "-3.169086, -2.715909, -2.262732, -1.657288, -1.398013",\ + "-3.636975, -3.183799, -2.730622, -2.125177, -1.865903",\ + "-3.827255, -3.374079, -2.920902, -2.315457, -2.056183"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.784667, 6.784667, 6.604034, 6.543824, 6.423402",\ + "7.340312, 7.340312, 7.159679, 7.099469, 6.979047",\ + "7.895957, 7.895957, 7.715324, 7.655114, 7.534692",\ + "8.638300, 8.638300, 8.457667, 8.397457, 8.277035",\ + "8.924383, 8.924383, 8.743751, 8.683540, 8.563118"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.914952, 6.914952, 6.734320, 6.674109, 6.553688",\ + "7.368186, 7.368186, 7.187554, 7.127344, 7.006922",\ + "7.821421, 7.821421, 7.640789, 7.580578, 7.460157",\ + "8.426943, 8.426943, 8.246310, 8.186100, 8.065678",\ + "8.686192, 8.686192, 8.505559, 8.445349, 8.324926"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.795706, 0.795706, 0.886022, 0.976337, 1.156970",\ + "0.778187, 0.778187, 0.868503, 0.958818, 1.139451",\ + "0.760669, 0.760669, 0.850985, 0.941300, 1.121933",\ + "0.737264, 0.737264, 0.827579, 0.917895, 1.098528",\ + "0.782033, 0.782033, 0.872349, 0.962665, 1.143297"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.243466, -0.243466, -0.153150, -0.062834, 0.117798",\ + "-0.656295, -0.656295, -0.565979, -0.475664, -0.295031",\ + "-1.006564, -1.006564, -0.916248, -0.825932, -0.645299",\ + "-1.474523, -1.474523, -1.384207, -1.293891, -1.113259",\ + "-1.664795, -1.664795, -1.574480, -1.484164, -1.303531"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.842447, 2.429009, 2.078794, 1.610907, 1.420624",\ + "3.398092, 2.984655, 2.634440, 2.166553, 1.976269",\ + "3.953738, 3.540300, 3.190085, 2.722198, 2.531914",\ + "4.696080, 4.282642, 3.932427, 3.464540, 3.274256",\ + "4.982162, 4.568725, 4.218510, 3.750622, 3.560339"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.280387, 3.866950, 3.516735, 3.048847, 2.858564",\ + "4.733622, 4.320185, 3.969970, 3.502082, 3.311799",\ + "5.186858, 4.773420, 4.423205, 3.955318, 3.765034",\ + "5.792379, 5.378942, 5.028727, 4.560839, 4.370556",\ + "6.051627, 5.638189, 5.287974, 4.820087, 4.629804"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.854308, -1.401132, -0.947955, -0.342510, -0.083236",\ + "-1.871827, -1.418650, -0.965473, -0.360029, -0.100754",\ + "-1.889345, -1.436169, -0.982992, -0.377547, -0.118273",\ + "-1.912750, -1.459574, -1.006397, -0.400952, -0.141677",\ + "-1.867981, -1.414804, -0.961627, -0.356183, -0.096908"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.207184, -2.754008, -2.300831, -1.695386, -1.436111",\ + "-3.620013, -3.166837, -2.713660, -2.108215, -1.848941",\ + "-3.970282, -3.517105, -3.063928, -2.458484, -2.199209",\ + "-4.438241, -3.985064, -3.531887, -2.926443, -2.667168",\ + "-4.628513, -4.175337, -3.722160, -3.116715, -2.857441"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.941759, 6.941759, 6.761127, 6.700916, 6.580495",\ + "7.497436, 7.497436, 7.316803, 7.256593, 7.136171",\ + "8.053111, 8.053111, 7.872479, 7.812268, 7.691847",\ + "8.795494, 8.795494, 8.614862, 8.554651, 8.434230",\ + "9.081575, 9.081575, 8.900944, 8.840733, 8.720311"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.002944, 7.002944, 6.822312, 6.762101, 6.641680",\ + "7.456135, 7.456135, 7.275503, 7.215292, 7.094871",\ + "7.909326, 7.909326, 7.728693, 7.668483, 7.548061",\ + "8.514790, 8.514790, 8.334158, 8.273947, 8.153525",\ + "8.774056, 8.774056, 8.593424, 8.533214, 8.412792"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.047634, 1.047634, 1.137950, 1.228266, 1.408898",\ + "1.030125, 1.030125, 1.120440, 1.210756, 1.391389",\ + "1.012616, 1.012616, 1.102932, 1.193248, 1.373880",\ + "0.989224, 0.989224, 1.079540, 1.169856, 1.350489",\ + "1.033994, 1.033994, 1.124310, 1.214625, 1.395258"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.460156, 0.460156, 0.550472, 0.640788, 0.821421",\ + "0.046931, 0.046931, 0.137247, 0.227562, 0.408195",\ + "-0.303301, -0.303301, -0.212985, -0.122669, 0.057963",\ + "-0.771210, -0.771210, -0.680894, -0.590579, -0.409946",\ + "-0.961487, -0.961487, -0.871171, -0.780856, -0.600223"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.660860, 2.247423, 1.897208, 1.429320, 1.239037",\ + "3.216536, 2.803099, 2.452884, 1.984996, 1.794713",\ + "3.772213, 3.358775, 3.008560, 2.540673, 2.350389",\ + "4.514596, 4.101159, 3.750944, 3.283056, 3.092773",\ + "4.800677, 4.387240, 4.037025, 3.569138, 3.378854"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.460673, 3.047235, 2.697021, 2.229133, 2.038850",\ + "3.913864, 3.500426, 3.150211, 2.682324, 2.492040",\ + "4.367055, 3.953618, 3.603403, 3.135516, 2.945232",\ + "4.972518, 4.559081, 4.208866, 3.740979, 3.550695",\ + "5.231785, 4.818348, 4.468133, 4.000246, 3.809962"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.571716, -1.118540, -0.665363, -0.059918, 0.199356",\ + "-1.589225, -1.136049, -0.682872, -0.077427, 0.181847",\ + "-1.606734, -1.153557, -0.700380, -0.094936, 0.164339",\ + "-1.630126, -1.176949, -0.723772, -0.118328, 0.140947",\ + "-1.585356, -1.132180, -0.679003, -0.073558, 0.185716"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.366325, -1.913148, -1.459971, -0.854527, -0.595253",\ + "-2.779551, -2.326374, -1.873197, -1.267753, -1.008478",\ + "-3.129782, -2.676606, -2.223429, -1.617984, -1.358710",\ + "-3.597692, -3.144515, -2.691338, -2.085894, -1.826619",\ + "-3.787969, -3.334792, -2.881615, -2.276171, -2.016896"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.344107, 7.344107, 7.163475, 7.103264, 6.982842",\ + "7.899697, 7.899697, 7.719065, 7.658854, 7.538433",\ + "8.455289, 8.455289, 8.274656, 8.214446, 8.094025",\ + "9.197558, 9.197558, 9.016926, 8.956716, 8.836294",\ + "9.483646, 9.483646, 9.303015, 9.242804, 9.122382"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.354850, 7.354850, 7.174218, 7.114007, 6.993586",\ + "7.808164, 7.808164, 7.627532, 7.567321, 7.446899",\ + "8.261477, 8.261477, 8.080845, 8.020635, 7.900213",\ + "8.867105, 8.867105, 8.686474, 8.626263, 8.505841",\ + "9.126319, 9.126319, 8.945686, 8.885476, 8.765055"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.709762, 0.709762, 0.800077, 0.890393, 1.071026",\ + "0.692228, 0.692228, 0.782544, 0.872859, 1.053492",\ + "0.674695, 0.674695, 0.765010, 0.855326, 1.035959",\ + "0.651270, 0.651270, 0.741586, 0.831902, 1.012534",\ + "0.696040, 0.696040, 0.786356, 0.876672, 1.057304"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.281981, -0.281981, -0.191665, -0.101349, 0.079283",\ + "-0.694007, -0.694007, -0.603692, -0.513376, -0.332743",\ + "-1.044344, -1.044344, -0.954028, -0.863712, -0.683080",\ + "-1.512395, -1.512395, -1.422079, -1.331763, -1.151131",\ + "-1.702658, -1.702658, -1.612342, -1.522026, -1.341393"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.439859, 3.026422, 2.676207, 2.208319, 2.018036",\ + "3.995450, 3.582013, 3.231798, 2.763911, 2.573627",\ + "4.551042, 4.137604, 3.787389, 3.319502, 3.129218",\ + "5.293311, 4.879873, 4.529658, 4.061771, 3.871488",\ + "5.579400, 5.165962, 4.815747, 4.347860, 4.157577"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.404714, 3.991277, 3.641062, 3.173174, 2.982891",\ + "4.858027, 4.444590, 4.094375, 3.626487, 3.436203",\ + "5.311340, 4.897903, 4.547688, 4.079801, 3.889517",\ + "5.916967, 5.503530, 5.153315, 4.685428, 4.495144",\ + "6.176181, 5.762743, 5.412528, 4.944641, 4.754357"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.057604, -1.604427, -1.151250, -0.545806, -0.286531",\ + "-2.075137, -1.621961, -1.168784, -0.563340, -0.304065",\ + "-2.092671, -1.639494, -1.186317, -0.580873, -0.321599",\ + "-2.116095, -1.662919, -1.209742, -0.604298, -0.345023",\ + "-2.071325, -1.618149, -1.164972, -0.559527, -0.300253"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.346564, -2.893387, -2.440210, -1.834766, -1.575491",\ + "-3.758590, -3.305413, -2.852237, -2.246792, -1.987518",\ + "-4.108926, -3.655750, -3.202573, -2.597128, -2.337854",\ + "-4.576977, -4.123800, -3.670624, -3.065179, -2.805905",\ + "-4.767240, -4.314063, -3.860886, -3.255442, -2.996168"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "8.599443, 8.599443, 8.418811, 8.358601, 8.238178",\ + "9.155079, 9.155079, 8.974447, 8.914236, 8.793816",\ + "9.710714, 9.710714, 9.530083, 9.469872, 9.349451",\ + "10.453045, 10.453045, 10.272412, 10.212202, 10.091780",\ + "10.739128, 10.739128, 10.558496, 10.498285, 10.377863"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "8.510361, 8.510361, 8.329729, 8.269518, 8.149097",\ + "8.963608, 8.963608, 8.782976, 8.722765, 8.602345",\ + "9.416857, 9.416857, 9.236224, 9.176014, 9.055592",\ + "10.022394, 10.022394, 9.841763, 9.781551, 9.661131",\ + "10.281639, 10.281639, 10.101007, 10.040796, 9.920374"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.014692, 0.014692, 0.105008, 0.195324, 0.375957",\ + "-0.002830, -0.002830, 0.087486, 0.177802, 0.358435",\ + "-0.020350, -0.020350, 0.069965, 0.160281, 0.340914",\ + "-0.043759, -0.043759, 0.046556, 0.136872, 0.317505",\ + "0.001010, 0.001010, 0.091326, 0.181642, 0.362274"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.923494, -0.923494, -0.833178, -0.742862, -0.562230",\ + "-1.336208, -1.336208, -1.245892, -1.155576, -0.974944",\ + "-1.686489, -1.686489, -1.596173, -1.505857, -1.325224",\ + "-2.154461, -2.154461, -2.064146, -1.973830, -1.793197",\ + "-2.344733, -2.344733, -2.254417, -2.164101, -1.983469"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.226378, 3.812941, 3.462726, 2.994838, 2.804555",\ + "4.782015, 4.368578, 4.018363, 3.550475, 3.360192",\ + "5.337651, 4.924214, 4.573999, 4.106112, 3.915828",\ + "6.079983, 5.666545, 5.316330, 4.848443, 4.658159",\ + "6.366065, 5.952627, 5.602412, 5.134525, 4.944242"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.442225, 5.028788, 4.678573, 4.210685, 4.020401",\ + "5.895473, 5.482035, 5.131820, 4.663933, 4.473649",\ + "6.348720, 5.935282, 5.585067, 5.117180, 4.926896",\ + "6.954257, 6.540819, 6.190604, 5.722717, 5.532434",\ + "7.213499, 6.800061, 6.449846, 5.981959, 5.791676"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.640228, -2.187052, -1.733875, -1.128430, -0.869156",\ + "-2.657750, -2.204573, -1.751396, -1.145952, -0.886678",\ + "-2.675271, -2.222094, -1.768917, -1.163473, -0.904199",\ + "-2.698680, -2.245503, -1.792326, -1.186882, -0.927608",\ + "-2.653910, -2.200734, -1.747557, -1.142113, -0.882838"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.891240, -3.438063, -2.984886, -2.379442, -2.120168",\ + "-4.303954, -3.850777, -3.397600, -2.792156, -2.532882",\ + "-4.654235, -4.201058, -3.747881, -3.142437, -2.883162",\ + "-5.122208, -4.669031, -4.215854, -3.610410, -3.351135",\ + "-5.312479, -4.859303, -4.406125, -3.800681, -3.541407"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.014783, 7.014783, 6.834151, 6.773940, 6.653519",\ + "7.570440, 7.570440, 7.389808, 7.329597, 7.209176",\ + "8.126099, 8.126099, 7.945467, 7.885256, 7.764834",\ + "8.868458, 8.868458, 8.687826, 8.627615, 8.507194",\ + "9.154540, 9.154540, 8.973907, 8.913697, 8.793276"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.974927, 6.974927, 6.794295, 6.734084, 6.613663",\ + "7.428144, 7.428144, 7.247511, 7.187301, 7.066879",\ + "7.881360, 7.881360, 7.700728, 7.640517, 7.520096",\ + "8.486857, 8.486857, 8.306225, 8.246015, 8.125593",\ + "8.746115, 8.746115, 8.565483, 8.505272, 8.384851"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.440223, 0.440223, 0.530539, 0.620855, 0.801487",\ + "0.422708, 0.422708, 0.513024, 0.603340, 0.783972",\ + "0.405194, 0.405194, 0.495510, 0.585825, 0.766458",\ + "0.381794, 0.381794, 0.472110, 0.562426, 0.743059",\ + "0.426564, 0.426564, 0.516880, 0.607195, 0.787828"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.015826, 0.015826, 0.106142, 0.196458, 0.377090",\ + "-0.397167, -0.397167, -0.306851, -0.216536, -0.035903",\ + "-0.747421, -0.747421, -0.657105, -0.566789, -0.386157",\ + "-1.215360, -1.215360, -1.125044, -1.034729, -0.854096",\ + "-1.405634, -1.405634, -1.315319, -1.225003, -1.044370"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.566910, 3.153473, 2.803258, 2.335370, 2.145087",\ + "4.122568, 3.709131, 3.358916, 2.891028, 2.700745",\ + "4.678226, 4.264789, 3.914574, 3.446687, 3.256403",\ + "5.420586, 5.007148, 4.656933, 4.189046, 3.998762",\ + "5.706668, 5.293230, 4.943015, 4.475128, 4.284844"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.313150, 3.899713, 3.549498, 3.081611, 2.891327",\ + "4.766368, 4.352930, 4.002715, 3.534828, 3.344544",\ + "5.219584, 4.806147, 4.455932, 3.988045, 3.797761",\ + "5.825082, 5.411644, 5.061429, 4.593542, 4.403258",\ + "6.084338, 5.670900, 5.320685, 4.852798, 4.662515"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.684482, -2.231305, -1.778128, -1.172684, -0.913410",\ + "-2.701997, -2.248821, -1.795644, -1.190199, -0.930925",\ + "-2.719511, -2.266335, -1.813158, -1.207714, -0.948439",\ + "-2.742911, -2.289734, -1.836557, -1.231113, -0.971838",\ + "-2.698141, -2.244965, -1.791788, -1.186344, -0.927069"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.410472, -2.957295, -2.504118, -1.898674, -1.639400",\ + "-3.823465, -3.370289, -2.917112, -2.311667, -2.052393",\ + "-4.173718, -3.720542, -3.267365, -2.661921, -2.402647",\ + "-4.641658, -4.188481, -3.735305, -3.129860, -2.870586",\ + "-4.831932, -4.378756, -3.925579, -3.320135, -3.060860"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.016378, 7.016378, 6.835746, 6.775536, 6.655114",\ + "7.572037, 7.572037, 7.391405, 7.331194, 7.210773",\ + "8.127695, 8.127695, 7.947063, 7.886852, 7.766431",\ + "8.870055, 8.870055, 8.689423, 8.629212, 8.508791",\ + "9.156137, 9.156137, 8.975506, 8.915295, 8.794873"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.897202, 6.897202, 6.716570, 6.656360, 6.535938",\ + "7.350418, 7.350418, 7.169786, 7.109575, 6.989154",\ + "7.803634, 7.803634, 7.623002, 7.562791, 7.442369",\ + "8.409129, 8.409129, 8.228497, 8.168286, 8.047865",\ + "8.668386, 8.668386, 8.487755, 8.427544, 8.307122"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.220876, -0.220876, -0.130560, -0.040245, 0.140388",\ + "-0.238390, -0.238390, -0.148075, -0.057759, 0.122874",\ + "-0.255905, -0.255905, -0.165589, -0.075273, 0.105360",\ + "-0.279303, -0.279303, -0.188987, -0.098671, 0.081961",\ + "-0.234534, -0.234534, -0.144218, -0.053902, 0.126730"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.734637, -0.734637, -0.644321, -0.554005, -0.373373",\ + "-1.147641, -1.147641, -1.057325, -0.967009, -0.786376",\ + "-1.497893, -1.497893, -1.407577, -1.317261, -1.136629",\ + "-1.965830, -1.965830, -1.875515, -1.785199, -1.604566",\ + "-2.156104, -2.156104, -2.065788, -1.975472, -1.794839"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.357948, 3.944511, 3.594296, 3.126409, 2.936125",\ + "4.913608, 4.500171, 4.149956, 3.682068, 3.491785",\ + "5.469268, 5.055830, 4.705615, 4.237728, 4.047444",\ + "6.211628, 5.798191, 5.447976, 4.980088, 4.789805",\ + "6.497709, 6.084272, 5.734057, 5.266170, 5.075886"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.767550, 4.354112, 4.003897, 3.536010, 3.345726",\ + "5.220765, 4.807327, 4.457112, 3.989225, 3.798942",\ + "5.673982, 5.260545, 4.910330, 4.442442, 4.252159",\ + "6.279479, 5.866042, 5.515827, 5.047939, 4.857656",\ + "6.538735, 6.125299, 5.775084, 5.307196, 5.116912"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.817478, -2.364302, -1.911125, -1.305680, -1.046406",\ + "-2.834993, -2.381816, -1.928639, -1.323195, -1.063920",\ + "-2.852507, -2.399330, -1.946153, -1.340709, -1.081434",\ + "-2.875905, -2.422729, -1.969552, -1.364107, -1.104833",\ + "-2.831136, -2.377959, -1.924783, -1.319338, -1.060063"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.612587, -3.159411, -2.706234, -2.100789, -1.841515",\ + "-4.025591, -3.572415, -3.119238, -2.513793, -2.254519",\ + "-4.375843, -3.922667, -3.469490, -2.864045, -2.604771",\ + "-4.843781, -4.390604, -3.937427, -3.331983, -3.072708",\ + "-5.034054, -4.580877, -4.127701, -3.522256, -3.262981"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.216317, 7.216317, 7.035685, 6.975474, 6.855053",\ + "7.771986, 7.771986, 7.591354, 7.531143, 7.410722",\ + "8.327654, 8.327654, 8.147022, 8.086811, 7.966390",\ + "9.070026, 9.070026, 8.889395, 8.829184, 8.708762",\ + "9.356108, 9.356108, 9.175476, 9.115265, 8.994843"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.165323, 7.165323, 6.984691, 6.924480, 6.804059",\ + "7.618526, 7.618526, 7.437893, 7.377683, 7.257261",\ + "8.071729, 8.071729, 7.891097, 7.830886, 7.710464",\ + "8.677205, 8.677205, 8.496572, 8.436362, 8.315941",\ + "8.936468, 8.936468, 8.755836, 8.695625, 8.575204"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.684474, -0.684474, -0.594159, -0.503843, -0.323210",\ + "-0.701986, -0.701986, -0.611670, -0.521354, -0.340722",\ + "-0.719497, -0.719497, -0.629181, -0.538866, -0.358233",\ + "-0.742892, -0.742892, -0.652576, -0.562260, -0.381628",\ + "-0.698123, -0.698123, -0.607807, -0.517491, -0.336858"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.807181, -0.807181, -0.716865, -0.626549, -0.445917",\ + "-1.220305, -1.220305, -1.129990, -1.039674, -0.859041",\ + "-1.570547, -1.570547, -1.480231, -1.389915, -1.209282",\ + "-2.038469, -2.038469, -1.948153, -1.857837, -1.677205",\ + "-2.228745, -2.228745, -2.138429, -2.048113, -1.867481"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.672747, 4.259310, 3.909095, 3.441207, 3.250924",\ + "5.228415, 4.814979, 4.464764, 3.996876, 3.806592",\ + "5.784083, 5.370646, 5.020432, 4.552544, 4.362260",\ + "6.526457, 6.113020, 5.762805, 5.294917, 5.104634",\ + "6.812537, 6.399100, 6.048885, 5.580997, 5.390714"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.837547, 5.424110, 5.073895, 4.606008, 4.415724",\ + "6.290751, 5.877314, 5.527099, 5.059211, 4.868927",\ + "6.743954, 6.330517, 5.980302, 5.512414, 5.322131",\ + "7.349433, 6.935996, 6.585781, 6.117893, 5.927610",\ + "7.608695, 7.195258, 6.845043, 6.377155, 6.186872"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.764372, -3.311195, -2.858018, -2.252573, -1.993299",\ + "-3.781883, -3.328707, -2.875530, -2.270085, -2.010810",\ + "-3.799394, -3.346218, -2.893041, -2.287596, -2.028322",\ + "-3.822789, -3.369612, -2.916435, -2.310991, -2.051716",\ + "-3.778020, -3.324843, -2.871666, -2.266222, -2.006947"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.126831, -3.673654, -3.220477, -2.615033, -2.355758",\ + "-4.539955, -4.086779, -3.633602, -3.028157, -2.768883",\ + "-4.890197, -4.437020, -3.983843, -3.378398, -3.119124",\ + "-5.358119, -4.904943, -4.451766, -3.846321, -3.587047",\ + "-5.548395, -5.095219, -4.642042, -4.036597, -3.777323"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.051105, 7.051105, 6.870472, 6.810262, 6.689841",\ + "7.606778, 7.606778, 7.426145, 7.365935, 7.245513",\ + "8.162451, 8.162451, 7.981819, 7.921608, 7.801187",\ + "8.904831, 8.904831, 8.724197, 8.663988, 8.543566",\ + "9.190910, 9.190910, 9.010279, 8.950068, 8.829647"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.190356, 7.190356, 7.009723, 6.949513, 6.829092",\ + "7.643551, 7.643551, 7.462919, 7.402709, 7.282287",\ + "8.096746, 8.096746, 7.916113, 7.855903, 7.735482",\ + "8.702213, 8.702213, 8.521582, 8.461370, 8.340950",\ + "8.961479, 8.961479, 8.780848, 8.720636, 8.600216"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.412903, -0.412903, -0.322587, -0.232271, -0.051639",\ + "-0.430412, -0.430412, -0.340096, -0.249781, -0.069148",\ + "-0.447922, -0.447922, -0.357606, -0.267290, -0.086658",\ + "-0.471315, -0.471315, -0.380999, -0.290683, -0.110051",\ + "-0.426546, -0.426546, -0.336230, -0.245914, -0.065281"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.048978, -1.048978, -0.958662, -0.868346, -0.687714",\ + "-1.462169, -1.462169, -1.371853, -1.281537, -1.100904",\ + "-1.812404, -1.812404, -1.722088, -1.631772, -1.451139",\ + "-2.280317, -2.280317, -2.190001, -2.099686, -1.919053",\ + "-2.470594, -2.470594, -2.380279, -2.289963, -2.109330"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.119588, 3.706150, 3.355935, 2.888048, 2.697764",\ + "4.675261, 4.261825, 3.911609, 3.443722, 3.253438",\ + "5.230935, 4.817497, 4.467282, 3.999395, 3.809112",\ + "5.973314, 5.559877, 5.209662, 4.741775, 4.551491",\ + "6.259395, 5.845958, 5.495743, 5.027855, 4.837572"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.338833, 4.925396, 4.575181, 4.107293, 3.917010",\ + "5.792028, 5.378592, 5.028377, 4.560489, 4.370205",\ + "6.245224, 5.831787, 5.481572, 5.013684, 4.823401",\ + "6.850694, 6.437257, 6.087042, 5.619154, 5.428871",\ + "7.109959, 6.696522, 6.346307, 5.878419, 5.688136"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.022627, -2.569451, -2.116274, -1.510829, -1.251555",\ + "-3.040137, -2.586960, -2.133783, -1.528339, -1.269064",\ + "-3.057646, -2.604470, -2.151293, -1.545848, -1.286574",\ + "-3.081039, -2.627863, -2.174686, -1.569242, -1.309967",\ + "-3.036270, -2.583094, -2.129917, -1.524472, -1.265198"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.902204, -3.449028, -2.995851, -2.390406, -2.131132",\ + "-4.315395, -3.862218, -3.409041, -2.803597, -2.544322",\ + "-4.665630, -4.212453, -3.759276, -3.153832, -2.894557",\ + "-5.133543, -4.680367, -4.227190, -3.621746, -3.362471",\ + "-5.323821, -4.870644, -4.417467, -3.812023, -3.552748"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.527430, 6.527430, 6.346798, 6.286587, 6.166166",\ + "7.083093, 7.083093, 6.902461, 6.842250, 6.721829",\ + "7.638757, 7.638757, 7.458125, 7.397914, 7.277493",\ + "8.381124, 8.381124, 8.200493, 8.140282, 8.019861",\ + "8.667206, 8.667206, 8.486574, 8.426363, 8.305943"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.689615, 6.689615, 6.508983, 6.448772, 6.328351",\ + "7.142823, 7.142823, 6.962191, 6.901980, 6.781559",\ + "7.596030, 7.596030, 7.415398, 7.355187, 7.234766",\ + "8.201515, 8.201515, 8.020884, 7.960673, 7.840251",\ + "8.460775, 8.460775, 8.280144, 8.219933, 8.099512"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.734456, -0.734456, -0.644140, -0.553824, -0.373191",\ + "-0.751968, -0.751968, -0.661652, -0.571336, -0.390704",\ + "-0.769480, -0.769480, -0.679164, -0.588849, -0.408216",\ + "-0.792877, -0.792877, -0.702561, -0.612245, -0.431612",\ + "-0.748107, -0.748107, -0.657792, -0.567476, -0.386843"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.258731, -1.258731, -1.168416, -1.078100, -0.897467",\ + "-1.671806, -1.671806, -1.581490, -1.491174, -1.310542",\ + "-2.022051, -2.022051, -1.931736, -1.841420, -1.660787",\ + "-2.489980, -2.489980, -2.399664, -2.309349, -2.128716",\ + "-2.680256, -2.680256, -2.589940, -2.499624, -2.318992"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.433824, 4.020387, 3.670172, 3.202284, 3.012001",\ + "4.989487, 4.576050, 4.225835, 3.757947, 3.567664",\ + "5.545153, 5.131716, 4.781501, 4.313613, 4.123330",\ + "6.287520, 5.874083, 5.523868, 5.055980, 4.865697",\ + "6.573602, 6.160165, 5.809950, 5.342062, 5.151779"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.507726, 5.094288, 4.744073, 4.276186, 4.085902",\ + "5.960933, 5.547496, 5.197281, 4.729393, 4.539110",\ + "6.414141, 6.000704, 5.650489, 5.182601, 4.992318",\ + "7.019627, 6.606190, 6.255975, 5.788087, 5.597804",\ + "7.278887, 6.865450, 6.515235, 6.047348, 5.857064"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.384470, -2.931293, -2.478116, -1.872672, -1.613397",\ + "-3.401982, -2.948806, -2.495629, -1.890184, -1.630909",\ + "-3.419494, -2.966318, -2.513141, -1.907696, -1.648422",\ + "-3.442891, -2.989714, -2.536537, -1.931093, -1.671818",\ + "-3.398122, -2.944945, -2.491768, -1.886323, -1.627049"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.222449, -3.769273, -3.316096, -2.710651, -2.451376",\ + "-4.635524, -4.182347, -3.729170, -3.123725, -2.864451",\ + "-4.985769, -4.532593, -4.079415, -3.473971, -3.214696",\ + "-5.453698, -5.000522, -4.547344, -3.941900, -3.682625",\ + "-5.643973, -5.190797, -4.737619, -4.132175, -3.872900"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.680460, 6.680460, 6.499828, 6.439618, 6.319196",\ + "7.236151, 7.236151, 7.055519, 6.995308, 6.874887",\ + "7.791841, 7.791841, 7.611208, 7.550998, 7.430577",\ + "8.534243, 8.534243, 8.353609, 8.293400, 8.172977",\ + "8.820322, 8.820322, 8.639688, 8.579479, 8.459057"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.816348, 6.816348, 6.635715, 6.575505, 6.455083",\ + "7.269519, 7.269519, 7.088887, 7.028677, 6.908255",\ + "7.722691, 7.722691, 7.542058, 7.481848, 7.361427",\ + "8.328127, 8.328127, 8.147495, 8.087284, 7.966863",\ + "8.587404, 8.587404, 8.406771, 8.346561, 8.226139"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.450471, 1.450471, 1.540787, 1.631103, 1.811735",\ + "1.432967, 1.432967, 1.523283, 1.613598, 1.794231",\ + "1.415462, 1.415462, 1.505778, 1.596094, 1.776727",\ + "1.392076, 1.392076, 1.482392, 1.572708, 1.753341",\ + "1.436846, 1.436846, 1.527162, 1.617477, 1.798110"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.842622, 0.842622, 0.932938, 1.023253, 1.203886",\ + "0.429220, 0.429220, 0.519536, 0.609851, 0.790484",\ + "0.079005, 0.079005, 0.169321, 0.259636, 0.440269",\ + "-0.388883, -0.388883, -0.298567, -0.208251, -0.027619",\ + "-0.579162, -0.579162, -0.488846, -0.398530, -0.217898"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.421772, 2.008335, 1.658120, 1.190233, 0.999949",\ + "2.977462, 2.564024, 2.213809, 1.745922, 1.555638",\ + "3.533152, 3.119715, 2.769500, 2.301613, 2.111329",\ + "4.275555, 3.862117, 3.511902, 3.044015, 2.853731",\ + "4.561634, 4.148197, 3.797982, 3.330095, 3.139811"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.474121, 3.060684, 2.710469, 2.242582, 2.052298",\ + "3.927293, 3.513855, 3.163640, 2.695753, 2.505469",\ + "4.380465, 3.967027, 3.616812, 3.148925, 2.958641",\ + "4.985902, 4.572464, 4.222249, 3.754362, 3.564078",\ + "5.245178, 4.831740, 4.481525, 4.013638, 3.823355"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.674234, -1.221058, -0.767881, -0.162436, 0.096838",\ + "-1.691738, -1.238561, -0.785384, -0.179940, 0.079334",\ + "-1.709242, -1.256066, -0.802889, -0.197444, 0.061830",\ + "-1.732628, -1.279452, -0.826275, -0.220830, 0.038444",\ + "-1.687859, -1.234683, -0.781506, -0.176062, 0.083213"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.583677, -2.130500, -1.677323, -1.071879, -0.812604",\ + "-2.997079, -2.543902, -2.090725, -1.485281, -1.226007",\ + "-3.347294, -2.894117, -2.440940, -1.835496, -1.576221",\ + "-3.815181, -3.362005, -2.908828, -2.303384, -2.044109",\ + "-4.005461, -3.552284, -3.099107, -2.493663, -2.234388"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.582745, 6.582745, 6.402113, 6.341902, 6.221481",\ + "7.138426, 7.138426, 6.957794, 6.897583, 6.777162",\ + "7.694108, 7.694108, 7.513476, 7.453266, 7.332844",\ + "8.436499, 8.436499, 8.255867, 8.195656, 8.075235",\ + "8.722580, 8.722580, 8.541947, 8.481737, 8.361315"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.721492, 6.721492, 6.540860, 6.480649, 6.360228",\ + "7.174674, 7.174674, 6.994041, 6.933831, 6.813409",\ + "7.627856, 7.627856, 7.447224, 7.387013, 7.266592",\ + "8.233307, 8.233307, 8.052674, 7.992464, 7.872042",\ + "8.492579, 8.492579, 8.311946, 8.251736, 8.131313"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.617259, 1.617259, 1.707575, 1.797890, 1.978523",\ + "1.599752, 1.599752, 1.690068, 1.780383, 1.961016",\ + "1.582245, 1.582245, 1.672561, 1.762877, 1.943509",\ + "1.558856, 1.558856, 1.649172, 1.739488, 1.920120",\ + "1.603625, 1.603625, 1.693941, 1.784257, 1.964889"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.605253, 1.605253, 1.695569, 1.785885, 1.966517",\ + "1.191945, 1.191945, 1.282260, 1.372577, 1.553209",\ + "0.841721, 0.841721, 0.932037, 1.022353, 1.202985",\ + "0.373822, 0.373822, 0.464138, 0.554454, 0.735086",\ + "0.183544, 0.183544, 0.273860, 0.364176, 0.544808"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.753287, 1.339850, 0.989635, 0.521747, 0.331464",\ + "2.308969, 1.895532, 1.545317, 1.077430, 0.887146",\ + "2.864651, 2.451214, 2.100999, 1.633112, 1.442828",\ + "3.607044, 3.193606, 2.843391, 2.375504, 2.185220",\ + "3.893124, 3.479686, 3.129472, 2.661584, 2.471301"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.552045, 2.138607, 1.788392, 1.320505, 1.130221",\ + "3.005227, 2.591789, 2.241575, 1.773687, 1.583404",\ + "3.458409, 3.044972, 2.694757, 2.226869, 2.036586",\ + "4.063861, 3.650423, 3.300208, 2.832321, 2.642037",\ + "4.323133, 3.909695, 3.559480, 3.091593, 2.901309"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.979344, -0.526168, -0.072991, 0.532454, 0.791728",\ + "-0.996851, -0.543675, -0.090498, 0.514947, 0.774221",\ + "-1.014358, -0.561182, -0.108005, 0.497440, 0.756715",\ + "-1.037747, -0.584570, -0.131393, 0.474051, 0.733326",\ + "-0.992978, -0.539801, -0.086624, 0.518820, 0.778095"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.633536, -1.180359, -0.727182, -0.121737, 0.137537",\ + "-2.046844, -1.593667, -1.140490, -0.535046, -0.275771",\ + "-2.397066, -1.943890, -1.490713, -0.885268, -0.625994",\ + "-2.864966, -2.411789, -1.958612, -1.353168, -1.093893",\ + "-3.055244, -2.602067, -2.148890, -1.543446, -1.284171"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.791391, 6.791391, 6.610759, 6.550549, 6.430127",\ + "7.347080, 7.347080, 7.166448, 7.106237, 6.985816",\ + "7.902769, 7.902769, 7.722137, 7.661926, 7.541505",\ + "8.645168, 8.645168, 8.464537, 8.404325, 8.283904",\ + "8.931248, 8.931248, 8.750616, 8.690405, 8.569983"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.788592, 6.788592, 6.607960, 6.547750, 6.427328",\ + "7.241767, 7.241767, 7.061135, 7.000924, 6.880503",\ + "7.694941, 7.694941, 7.514308, 7.454098, 7.333676",\ + "8.300383, 8.300383, 8.119751, 8.059540, 7.939118",\ + "8.559658, 8.559658, 8.379026, 8.318815, 8.198394"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.564400, 1.564400, 1.654716, 1.745032, 1.925664",\ + "1.546895, 1.546895, 1.637211, 1.727527, 1.908159",\ + "1.529390, 1.529390, 1.619706, 1.710022, 1.890655",\ + "1.506004, 1.506004, 1.596320, 1.686635, 1.867268",\ + "1.550773, 1.550773, 1.641088, 1.731404, 1.912037"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.066780, 1.066780, 1.157095, 1.247411, 1.428044",\ + "0.653399, 0.653399, 0.743714, 0.834030, 1.014663",\ + "0.303181, 0.303181, 0.393497, 0.483812, 0.664445",\ + "-0.164710, -0.164710, -0.074394, 0.015922, 0.196555",\ + "-0.354989, -0.354989, -0.264673, -0.174357, 0.006276"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.454482, 2.041044, 1.690830, 1.222942, 1.032659",\ + "3.010170, 2.596733, 2.246518, 1.778630, 1.588347",\ + "3.565859, 3.152421, 2.802206, 2.334319, 2.144035",\ + "4.308258, 3.894821, 3.544606, 3.076719, 2.886435",\ + "4.594337, 4.180901, 3.830685, 3.362798, 3.172514"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.193447, 2.780010, 2.429795, 1.961907, 1.771624",\ + "3.646621, 3.233184, 2.882969, 2.415082, 2.224798",\ + "4.099795, 3.686358, 3.336143, 2.868255, 2.677972",\ + "4.705235, 4.291798, 3.941583, 3.473696, 3.283412",\ + "4.964511, 4.551073, 4.200858, 3.732971, 3.542687"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.515669, -1.062493, -0.609316, -0.003871, 0.255404",\ + "-1.533174, -1.079998, -0.626821, -0.021376, 0.237898",\ + "-1.550679, -1.097502, -0.644325, -0.038881, 0.220394",\ + "-1.574065, -1.120889, -0.667712, -0.062267, 0.197007",\ + "-1.529297, -1.076120, -0.622943, -0.017498, 0.241776"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.252868, -1.799692, -1.346515, -0.741070, -0.481796",\ + "-2.666249, -2.213073, -1.759896, -1.154451, -0.895176",\ + "-3.016467, -2.563290, -2.110113, -1.504669, -1.245394",\ + "-3.484358, -3.031181, -2.578004, -1.972559, -1.713285",\ + "-3.674637, -3.221460, -2.768283, -2.162838, -1.903564"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.930757, 6.930757, 6.750125, 6.689914, 6.569493",\ + "7.486437, 7.486437, 7.305805, 7.245595, 7.125173",\ + "8.042118, 8.042118, 7.861485, 7.801275, 7.680853",\ + "8.784506, 8.784506, 8.603873, 8.543663, 8.423241",\ + "9.070585, 9.070585, 8.889953, 8.829742, 8.709320"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.978732, 6.978732, 6.798100, 6.737889, 6.617467",\ + "7.431918, 7.431918, 7.251286, 7.191075, 7.070653",\ + "7.885104, 7.885104, 7.704472, 7.644261, 7.523839",\ + "8.490559, 8.490559, 8.309926, 8.249716, 8.129293",\ + "8.749828, 8.749828, 8.569196, 8.508986, 8.388563"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.759317, 1.759317, 1.849633, 1.939949, 2.120582",\ + "1.741810, 1.741810, 1.832126, 1.922441, 2.103074",\ + "1.724302, 1.724302, 1.814618, 1.904934, 2.085567",\ + "1.700912, 1.700912, 1.791228, 1.881544, 2.062177",\ + "1.745681, 1.745681, 1.835997, 1.926313, 2.106946"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.269648, 1.269648, 1.359963, 1.450279, 1.630912",\ + "0.856370, 0.856370, 0.946686, 1.037002, 1.217634",\ + "0.506143, 0.506143, 0.596459, 0.686775, 0.867407",\ + "0.038240, 0.038240, 0.128556, 0.218872, 0.399504",\ + "-0.152037, -0.152037, -0.061721, 0.028594, 0.209227"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.254285, 1.840848, 1.490633, 1.022745, 0.832462",\ + "2.809965, 2.396528, 2.046313, 1.578425, 1.388142",\ + "3.365645, 2.952207, 2.601992, 2.134105, 1.943821",\ + "4.108032, 3.694595, 3.344380, 2.876493, 2.686209",\ + "4.394113, 3.980675, 3.630461, 3.162573, 2.972290"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.033963, 2.620526, 2.270311, 1.802424, 1.612140",\ + "3.487149, 3.073712, 2.723497, 2.255610, 2.065326",\ + "3.940335, 3.526897, 3.176682, 2.708795, 2.518511",\ + "4.545790, 4.132353, 3.782138, 3.314250, 3.123967",\ + "4.805059, 4.391623, 4.041408, 3.573520, 3.383236"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.850407, -0.397231, 0.055946, 0.661391, 0.920665",\ + "-0.867915, -0.414738, 0.038439, 0.643883, 0.903158",\ + "-0.885422, -0.432246, 0.020931, 0.626376, 0.885650",\ + "-0.908812, -0.455636, -0.002459, 0.602986, 0.862260",\ + "-0.864043, -0.410867, 0.042310, 0.647755, 0.907029"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.583579, -1.130402, -0.677225, -0.071781, 0.187494",\ + "-1.996856, -1.543680, -1.090503, -0.485058, -0.225784",\ + "-2.347083, -1.893907, -1.440730, -0.835285, -0.576011",\ + "-2.814986, -2.361810, -1.908633, -1.303188, -1.043914",\ + "-3.005263, -2.552087, -2.098910, -1.493465, -1.234191"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.888352, 6.888352, 6.707720, 6.647510, 6.527088",\ + "7.444029, 7.444029, 7.263396, 7.203186, 7.082765",\ + "7.999706, 7.999706, 7.819074, 7.758863, 7.638442",\ + "8.742089, 8.742089, 8.561457, 8.501246, 8.380825",\ + "9.028170, 9.028170, 8.847537, 8.787327, 8.666905"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.748766, 6.748766, 6.568133, 6.507923, 6.387502",\ + "7.201955, 7.201955, 7.021322, 6.961112, 6.840691",\ + "7.655145, 7.655145, 7.474512, 7.414302, 7.293880",\ + "8.260606, 8.260606, 8.079973, 8.019763, 7.899342",\ + "8.519875, 8.519875, 8.339242, 8.279032, 8.158610"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.773429, 1.773429, 1.863745, 1.954061, 2.134694",\ + "1.755921, 1.755921, 1.846237, 1.936553, 2.117185",\ + "1.738412, 1.738412, 1.828728, 1.919044, 2.099676",\ + "1.715021, 1.715021, 1.805336, 1.895652, 2.076285",\ + "1.759790, 1.759790, 1.850106, 1.940422, 2.121054"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.713943, 0.713943, 0.804258, 0.894574, 1.075207",\ + "0.300707, 0.300707, 0.391023, 0.481339, 0.661972",\ + "-0.049523, -0.049523, 0.040792, 0.131108, 0.311741",\ + "-0.517432, -0.517432, -0.427116, -0.336800, -0.156168",\ + "-0.707709, -0.707709, -0.617393, -0.527077, -0.346445"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.869277, 1.455839, 1.105624, 0.637737, 0.447453",\ + "2.424953, 2.011516, 1.661301, 1.193414, 1.003130",\ + "2.980630, 2.567193, 2.216978, 1.749090, 1.558807",\ + "3.723014, 3.309577, 2.959362, 2.491475, 2.301191",\ + "4.009095, 3.595657, 3.245442, 2.777555, 2.587271"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.140548, 2.727111, 2.376896, 1.909009, 1.718725",\ + "3.593739, 3.180301, 2.830086, 2.362199, 2.171915",\ + "4.046928, 3.633491, 3.283276, 2.815389, 2.625105",\ + "4.652390, 4.238953, 3.888738, 3.420850, 3.230567",\ + "4.911659, 4.498221, 4.148006, 3.680119, 3.489836"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.876585, -0.423408, 0.029769, 0.635214, 0.894488",\ + "-0.894093, -0.440917, 0.012260, 0.617705, 0.876980",\ + "-0.911602, -0.458426, -0.005249, 0.600196, 0.859471",\ + "-0.934993, -0.481817, -0.028640, 0.576805, 0.836079",\ + "-0.890224, -0.437047, 0.016129, 0.621574, 0.880849"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.249775, -1.796599, -1.343422, -0.737977, -0.478703",\ + "-2.663010, -2.209834, -1.756657, -1.151212, -0.891938",\ + "-3.013241, -2.560065, -2.106888, -1.501443, -1.242168",\ + "-3.481149, -3.027973, -2.574796, -1.969351, -1.710077",\ + "-3.671427, -3.218250, -2.765073, -2.159628, -1.900354"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.027685, 7.027685, 6.847052, 6.786842, 6.666420",\ + "7.583372, 7.583372, 7.402739, 7.342529, 7.222107",\ + "8.139059, 8.139059, 7.958426, 7.898216, 7.777794",\ + "8.881456, 8.881456, 8.700823, 8.640614, 8.520191",\ + "9.167536, 9.167536, 8.986902, 8.926693, 8.806271"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.191092, 7.191092, 7.010459, 6.950249, 6.829828",\ + "7.644267, 7.644267, 7.463634, 7.403424, 7.283002",\ + "8.097443, 8.097443, 7.916810, 7.856599, 7.736178",\ + "8.702885, 8.702885, 8.522251, 8.462042, 8.341619",\ + "8.962158, 8.962158, 8.781527, 8.721315, 8.600895"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.861217, 1.861217, 1.951533, 2.041849, 2.222482",\ + "1.843712, 1.843712, 1.934028, 2.024344, 2.204977",\ + "1.826207, 1.826207, 1.916523, 2.006839, 2.187471",\ + "1.802820, 1.802820, 1.893136, 1.983452, 2.164084",\ + "1.847589, 1.847589, 1.937905, 2.028221, 2.208853"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.360357, 1.360357, 1.450673, 1.540989, 1.721622",\ + "0.946990, 0.946990, 1.037306, 1.127622, 1.308254",\ + "0.596771, 0.596771, 0.687087, 0.777403, 0.958035",\ + "0.128879, 0.128879, 0.219195, 0.309511, 0.490143",\ + "-0.061400, -0.061400, 0.028915, 0.119231, 0.299864"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.663423, 1.249986, 0.899771, 0.431883, 0.241600",\ + "2.219110, 1.805672, 1.455457, 0.987570, 0.797286",\ + "2.774797, 2.361360, 2.011145, 1.543257, 1.352974",\ + "3.517194, 3.103757, 2.753542, 2.285655, 2.095371",\ + "3.803274, 3.389837, 3.039622, 2.571734, 2.381451"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.443473, 2.030035, 1.679821, 1.211933, 1.021650",\ + "2.896648, 2.483211, 2.132996, 1.665109, 1.474825",\ + "3.349824, 2.936387, 2.586172, 2.118285, 1.928001",\ + "3.955266, 3.541829, 3.191614, 2.723726, 2.533443",\ + "4.214540, 3.801103, 3.450888, 2.983000, 2.792717"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.758132, -0.304956, 0.148221, 0.753665, 1.012940",\ + "-0.775638, -0.322461, 0.130716, 0.736160, 0.995435",\ + "-0.793143, -0.339967, 0.113210, 0.718655, 0.977929",\ + "-0.816530, -0.363353, 0.089823, 0.695268, 0.954542",\ + "-0.771761, -0.318584, 0.134593, 0.740037, 0.999311"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.466124, -1.012948, -0.559771, 0.045674, 0.304948",\ + "-1.879491, -1.426315, -0.973138, -0.367693, -0.108419",\ + "-2.229710, -1.776534, -1.323357, -0.717912, -0.458638",\ + "-2.697602, -2.244426, -1.791249, -1.185804, -0.926530",\ + "-2.887882, -2.434705, -1.981528, -1.376084, -1.116809"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.928835, 6.928835, 6.748203, 6.687993, 6.567571",\ + "7.484522, 7.484522, 7.303890, 7.243680, 7.123258",\ + "8.040209, 8.040209, 7.859577, 7.799366, 7.678945",\ + "8.782608, 8.782608, 8.601976, 8.541765, 8.421345",\ + "9.068687, 9.068687, 8.888056, 8.827845, 8.707424"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.123728, 7.123728, 6.943096, 6.882885, 6.762464",\ + "7.576904, 7.576904, 7.396271, 7.336061, 7.215640",\ + "8.030079, 8.030079, 7.849446, 7.789236, 7.668814",\ + "8.635519, 8.635519, 8.454887, 8.394676, 8.274256",\ + "8.894794, 8.894794, 8.714163, 8.653952, 8.533531"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.038283, 2.038283, 2.128599, 2.218915, 2.399547",\ + "2.020778, 2.020778, 2.111094, 2.201409, 2.382042",\ + "2.003273, 2.003273, 2.093588, 2.183904, 2.364537",\ + "1.979886, 1.979886, 2.070201, 2.160517, 2.341150",\ + "2.024655, 2.024655, 2.114971, 2.205287, 2.385919"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.860831, 1.860831, 1.951147, 2.041463, 2.222095",\ + "1.447459, 1.447459, 1.537775, 1.628091, 1.808723",\ + "1.097241, 1.097241, 1.187557, 1.277873, 1.458505",\ + "0.629350, 0.629350, 0.719666, 0.809982, 0.990614",\ + "0.439071, 0.439071, 0.529387, 0.619703, 0.800335"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.519322, 1.105884, 0.755669, 0.287782, 0.097498",\ + "2.075009, 1.661572, 1.311357, 0.843469, 0.653186",\ + "2.630696, 2.217259, 1.867044, 1.399156, 1.208873",\ + "3.373094, 2.959657, 2.609442, 2.141555, 1.951271",\ + "3.659174, 3.245736, 2.895521, 2.427634, 2.237350"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.554379, 2.140941, 1.790726, 1.322839, 1.132555",\ + "3.007554, 2.594116, 2.243901, 1.776014, 1.585731",\ + "3.460729, 3.047292, 2.697077, 2.229189, 2.038906",\ + "4.066171, 3.652733, 3.302518, 2.834631, 2.644347",\ + "4.325445, 3.912008, 3.561793, 3.093905, 2.903622"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.729082, -0.275905, 0.177272, 0.782716, 1.041990",\ + "-0.746587, -0.293411, 0.159766, 0.765211, 1.024485",\ + "-0.764092, -0.310916, 0.142261, 0.747705, 1.006980",\ + "-0.787479, -0.334303, 0.118874, 0.724319, 0.983593",\ + "-0.742710, -0.289533, 0.163644, 0.769088, 1.028362"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.718945, -1.265769, -0.812592, -0.207148, 0.052127",\ + "-2.132317, -1.679141, -1.225964, -0.620520, -0.361245",\ + "-2.482536, -2.029359, -1.576182, -0.970738, -0.711463",\ + "-2.950427, -2.497250, -2.044073, -1.438629, -1.179355",\ + "-3.140706, -2.687529, -2.234352, -1.628908, -1.369634"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.864336, 6.864336, 6.683704, 6.623494, 6.503072",\ + "7.420017, 7.420017, 7.239385, 7.179174, 7.058753",\ + "7.975698, 7.975698, 7.795066, 7.734855, 7.614434",\ + "8.718086, 8.718086, 8.537455, 8.477243, 8.356823",\ + "9.004166, 9.004166, 8.823534, 8.763323, 8.642902"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.050234, 7.050234, 6.869602, 6.809391, 6.688970",\ + "7.503425, 7.503425, 7.322793, 7.262582, 7.142161",\ + "7.956615, 7.956615, 7.775983, 7.715772, 7.595351",\ + "8.562078, 8.562078, 8.381446, 8.321236, 8.200813",\ + "8.821348, 8.821348, 8.640717, 8.580505, 8.460085"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.454425, 2.454425, 2.544741, 2.635057, 2.815689",\ + "2.436927, 2.436927, 2.527243, 2.617559, 2.798191",\ + "2.419430, 2.419430, 2.509745, 2.600061, 2.780694",\ + "2.396053, 2.396053, 2.486368, 2.576684, 2.757317",\ + "2.440821, 2.440821, 2.531137, 2.621453, 2.802086"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.575725, 1.575725, 1.666041, 1.756356, 1.936989",\ + "1.162190, 1.162190, 1.252506, 1.342822, 1.523455",\ + "0.811975, 0.811975, 0.902291, 0.992607, 1.173240",\ + "0.344089, 0.344089, 0.434404, 0.524720, 0.705353",\ + "0.153794, 0.153794, 0.244110, 0.334425, 0.515058"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.004995, 0.591558, 0.241343, -0.226545, -0.416828",\ + "1.560675, 1.147238, 0.797023, 0.329136, 0.138852",\ + "2.116358, 1.702920, 1.352705, 0.884818, 0.694534",\ + "2.858747, 2.445309, 2.095094, 1.627207, 1.436924",\ + "3.144826, 2.731388, 2.381173, 1.913286, 1.723002"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.282842, 1.869405, 1.519190, 1.051302, 0.861019",\ + "2.736032, 2.322595, 1.972380, 1.504493, 1.314209",\ + "3.189223, 2.775786, 2.425571, 1.957683, 1.767400",\ + "3.794686, 3.381249, 3.031034, 2.563146, 2.372863",\ + "4.053957, 3.640519, 3.290304, 2.822417, 2.632133"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.200724, 0.252453, 0.705630, 1.311074, 1.570348",\ + "-0.218222, 0.234955, 0.688132, 1.293576, 1.552850",\ + "-0.235719, 0.217457, 0.670634, 1.276078, 1.535353",\ + "-0.259096, 0.194080, 0.647257, 1.252701, 1.511976",\ + "-0.214328, 0.238849, 0.692026, 1.297470, 1.556745"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.392012, -0.938836, -0.485659, 0.119785, 0.379060",\ + "-1.805547, -1.352371, -0.899194, -0.293749, -0.034475",\ + "-2.155762, -1.702585, -1.249408, -0.643964, -0.384690",\ + "-2.623649, -2.170472, -1.717295, -1.111851, -0.852577",\ + "-2.813944, -2.360767, -1.907590, -1.302146, -1.042871"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.149109, 7.149109, 6.968476, 6.908266, 6.787845",\ + "7.704798, 7.704798, 7.524165, 7.463955, 7.343534",\ + "8.260488, 8.260488, 8.079855, 8.019645, 7.899223",\ + "9.002889, 9.002889, 8.822256, 8.762046, 8.641624",\ + "9.288968, 9.288968, 9.108335, 9.048125, 8.927704"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.311074, 7.311074, 7.130442, 7.070231, 6.949810",\ + "7.764251, 7.764251, 7.583618, 7.523408, 7.402987",\ + "8.217427, 8.217427, 8.036795, 7.976584, 7.856163",\ + "8.822871, 8.822871, 8.642239, 8.582028, 8.461607",\ + "9.082146, 9.082146, 8.901513, 8.841303, 8.720881"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.502248, 2.502248, 2.592563, 2.682879, 2.863512",\ + "2.484744, 2.484744, 2.575060, 2.665376, 2.846009",\ + "2.467241, 2.467241, 2.557557, 2.647872, 2.828505",\ + "2.443856, 2.443856, 2.534172, 2.624488, 2.805120",\ + "2.488625, 2.488625, 2.578941, 2.669257, 2.849890"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.021054, 2.021054, 2.111370, 2.201686, 2.382318",\ + "1.607620, 1.607620, 1.697935, 1.788252, 1.968884",\ + "1.257405, 1.257405, 1.347720, 1.438037, 1.618669",\ + "0.789517, 0.789517, 0.879833, 0.970149, 1.150781",\ + "0.599234, 0.599234, 0.689549, 0.779866, 0.960498"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.441547, 1.028109, 0.677894, 0.210007, 0.019723",\ + "1.997236, 1.583799, 1.233584, 0.765697, 0.575413",\ + "2.552927, 2.139490, 1.789275, 1.321388, 1.131104",\ + "3.295328, 2.881891, 2.531676, 2.063788, 1.873505",\ + "3.581407, 3.167969, 2.817755, 2.349867, 2.159584"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.453908, 2.040471, 1.690256, 1.222368, 1.032085",\ + "2.907084, 2.493647, 2.143432, 1.675545, 1.485261",\ + "3.360261, 2.946824, 2.596609, 2.128721, 1.938438",\ + "3.965704, 3.552267, 3.202052, 2.734164, 2.543881",\ + "4.224978, 3.811541, 3.461326, 2.993439, 2.803155"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.622457, -0.169281, 0.283896, 0.889340, 1.148615",\ + "-0.639961, -0.186784, 0.266393, 0.871837, 1.131112",\ + "-0.657464, -0.204288, 0.248889, 0.854334, 1.113608",\ + "-0.680849, -0.227672, 0.225505, 0.830949, 1.090224",\ + "-0.636080, -0.182903, 0.270274, 0.875718, 1.134993"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.566144, -1.112968, -0.659791, -0.054347, 0.204928",\ + "-1.979578, -1.526402, -1.073225, -0.467781, -0.208506",\ + "-2.329793, -1.876617, -1.423440, -0.817996, -0.558721",\ + "-2.797681, -2.344504, -1.891327, -1.285883, -1.026608",\ + "-2.987964, -2.534787, -2.081610, -1.476166, -1.216892"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.426082"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.661666"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.240458, 7.240458, 7.059826, 6.999616, 6.879194",\ + "7.796104, 7.796104, 7.615472, 7.555262, 7.434840",\ + "8.351749, 8.351749, 8.171118, 8.110907, 7.990485",\ + "9.094090, 9.094090, 8.913458, 8.853248, 8.732826",\ + "9.380169, 9.380169, 9.199537, 9.139326, 9.018905"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.383993, 7.383993, 7.203361, 7.143150, 7.022729",\ + "7.837242, 7.837242, 7.656610, 7.596399, 7.475978",\ + "8.290490, 8.290490, 8.109858, 8.049647, 7.929226",\ + "8.896031, 8.896031, 8.715399, 8.655189, 8.534767",\ + "9.155285, 9.155285, 8.974653, 8.914442, 8.794021"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.155000, 2.155000, 2.245316, 2.335631, 2.516264",\ + "2.141160, 2.141160, 2.231475, 2.321791, 2.502424",\ + "2.120120, 2.120120, 2.210435, 2.300751, 2.481384",\ + "2.096843, 2.096843, 2.187159, 2.277474, 2.458107",\ + "2.141612, 2.141612, 2.231928, 2.322244, 2.502876"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.369850, 1.369850, 1.460166, 1.550481, 1.731114",\ + "1.029917, 1.029917, 1.120233, 1.210549, 1.391181",\ + "0.608260, 0.608260, 0.698576, 0.788892, 0.969524",\ + "0.141775, 0.141775, 0.232091, 0.322407, 0.503039",\ + "-0.048566, -0.048566, 0.041750, 0.132066, 0.312698"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.868798, 3.851296, 3.833792, 3.810408, 3.855177",\ + "4.424444, 4.406941, 4.389438, 4.366054, 4.410823",\ + "4.980090, 4.962587, 4.945084, 4.921700, 4.966469",\ + "5.722432, 5.704929, 5.687426, 5.664042, 5.708811",\ + "6.008511, 5.991008, 5.973504, 5.950120, 5.994889"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.439591, 4.422089, 4.404585, 4.381201, 4.425970",\ + "4.892840, 4.875338, 4.857834, 4.834450, 4.879219",\ + "5.346089, 5.328587, 5.311083, 5.287699, 5.332468",\ + "5.951630, 5.934127, 5.916623, 5.893239, 5.938008",\ + "6.210883, 6.193380, 6.175877, 6.152493, 6.197262"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.065484, 5.652046, 5.301831, 4.833944, 4.643661",\ + "6.621129, 6.207691, 5.857476, 5.389589, 5.199306",\ + "7.176775, 6.763337, 6.413122, 5.945235, 5.754951",\ + "7.919116, 7.505679, 7.155464, 6.687577, 6.497293",\ + "8.205194, 7.791758, 7.441543, 6.973655, 6.783372"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.203058, 5.789620, 5.439405, 4.971518, 4.781235",\ + "6.656305, 6.242867, 5.892653, 5.424765, 5.234482",\ + "7.109555, 6.696117, 6.345902, 5.878015, 5.687732",\ + "7.715095, 7.301658, 6.951443, 6.483555, 6.293272",\ + "7.974349, 7.560912, 7.210697, 6.742810, 6.552526"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.631597, 1.187286, 1.742976, 2.485377, 2.771456",\ + "0.617757, 1.173446, 1.729136, 2.471537, 2.757616",\ + "0.596717, 1.152406, 1.708096, 2.450497, 2.736576",\ + "0.573440, 1.129129, 1.684819, 2.427220, 2.713299",\ + "0.618209, 1.173899, 1.729588, 2.471989, 2.758069"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.595142, 1.150832, 1.706521, 2.448922, 2.735002",\ + "0.255210, 0.810900, 1.366589, 2.108990, 2.395070",\ + "-0.166447, 0.389243, 0.944932, 1.687333, 1.973413",\ + "-0.632931, -0.077242, 0.478448, 1.220849, 1.506928",\ + "-0.823272, -0.267583, 0.288107, 1.030508, 1.316587"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.454668, -0.001491, 0.451686, 1.057130, 1.316405",\ + "-0.468508, -0.015332, 0.437845, 1.043290, 1.302564",\ + "-0.489548, -0.036371, 0.416806, 1.022250, 1.281524",\ + "-0.512825, -0.059648, 0.393529, 0.998973, 1.258248",\ + "-0.468055, -0.014879, 0.438298, 1.043742, 1.303017"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.483377, -1.030200, -0.577023, 0.028421, 0.287696",\ + "-1.823308, -1.370132, -0.916955, -0.311511, -0.052236",\ + "-2.244966, -1.791789, -1.338612, -0.733168, -0.473893",\ + "-2.711451, -2.258274, -1.805097, -1.199653, -0.940378",\ + "-2.901792, -2.448615, -1.995438, -1.389994, -1.130719"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.402386, 16.729750, 16.969791, 16.996939, 17.081936",\ + "16.402386, 16.729750, 16.969791, 16.996939, 17.081936",\ + "16.492702, 16.820066, 17.060108, 17.087255, 17.172253",\ + "16.583017, 16.910381, 17.150425, 17.177570, 17.262568",\ + "16.763651, 17.091015, 17.331057, 17.358204, 17.443201"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.821770, 17.202160, 17.458517, 17.487509, 17.578281",\ + "16.821770, 17.202160, 17.458517, 17.487509, 17.578281",\ + "16.912086, 17.292477, 17.548832, 17.577824, 17.668598",\ + "17.002401, 17.382792, 17.639149, 17.668140, 17.758915",\ + "17.183033, 17.563423, 17.819780, 17.848772, 17.939545"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.287821, 16.564798, 16.669863, 16.681746, 16.718946",\ + "16.287821, 16.564798, 16.669863, 16.681746, 16.718946",\ + "16.468452, 16.745432, 16.850496, 16.862377, 16.899580",\ + "16.528664, 16.805641, 16.910706, 16.922588, 16.959789",\ + "16.649084, 16.926064, 17.031128, 17.043009, 17.080212"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.608145, 16.758163, 16.842981, 16.852573, 16.882608",\ + "16.608145, 16.758163, 16.842981, 16.852573, 16.882608",\ + "16.788778, 16.938795, 17.023615, 17.033207, 17.063240",\ + "16.848988, 16.999006, 17.083824, 17.093416, 17.123451",\ + "16.969410, 17.119427, 17.204247, 17.213839, 17.243872"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.331493, 7.331493, 7.150861, 7.090651, 6.970229",\ + "7.887120, 7.887120, 7.706488, 7.646277, 7.525856",\ + "8.442746, 8.442746, 8.262114, 8.201903, 8.081482",\ + "9.185062, 9.185062, 9.004431, 8.944220, 8.823798",\ + "9.471140, 9.471140, 9.290508, 9.230297, 9.109876"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.303314, 7.303314, 7.122682, 7.062471, 6.942050",\ + "7.756595, 7.756595, 7.575963, 7.515752, 7.395330",\ + "8.209875, 8.209875, 8.029243, 7.969032, 7.848611",\ + "8.815458, 8.815458, 8.634827, 8.574615, 8.454194",\ + "9.074703, 9.074703, 8.894072, 8.833860, 8.713439"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.465969, 2.465969, 2.556284, 2.646600, 2.827233",\ + "2.448506, 2.448506, 2.538822, 2.629138, 2.809770",\ + "2.431043, 2.431043, 2.521359, 2.611675, 2.792308",\ + "2.407713, 2.407713, 2.498029, 2.588345, 2.768977",\ + "2.452482, 2.452482, 2.542798, 2.633114, 2.813746"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.179534, 1.179534, 1.269850, 1.360166, 1.540799",\ + "0.765380, 0.765380, 0.855696, 0.946012, 1.126645",\ + "0.415166, 0.415166, 0.505482, 0.595798, 0.776431",\ + "-0.052718, -0.052718, 0.037598, 0.127914, 0.308547",\ + "-0.243084, -0.243084, -0.152769, -0.062453, 0.118180"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "18.630333, 18.957697, 19.197739, 19.224886, 19.309883",\ + "18.694544, 19.021908, 19.261950, 19.289097, 19.351936",\ + "18.742035, 19.069399, 19.309441, 19.336588, 19.351936",\ + "18.772924, 19.100288, 19.340330, 19.351936, 19.351936",\ + "18.772924, 19.100288, 19.340330, 19.351936, 19.351936"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "18.043985, 18.424376, 18.680733, 18.709724, 18.800499",\ + "18.108196, 18.488586, 18.744944, 18.773935, 18.842552",\ + "18.155687, 18.536077, 18.792435, 18.821426, 18.842552",\ + "18.186577, 18.566967, 18.823324, 18.842552, 18.842552",\ + "18.186577, 18.566967, 18.823324, 18.842552, 18.842552"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "5.575267, 5.852246, 5.957310, 5.969192, 6.006394",\ + "5.618141, 5.895120, 6.000184, 6.012066, 6.049268",\ + "5.618141, 5.895120, 6.000184, 6.012066, 6.049268",\ + "5.618141, 5.895120, 6.000184, 6.012066, 6.049268",\ + "5.618141, 5.895120, 6.000184, 6.012066, 6.049268"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "5.959883, 6.109900, 6.194719, 6.204311, 6.234345",\ + "6.002756, 6.152774, 6.237593, 6.247185, 6.277219",\ + "6.002756, 6.152774, 6.237593, 6.247185, 6.277219",\ + "6.002756, 6.152774, 6.237593, 6.247185, 6.277219",\ + "6.002756, 6.152774, 6.237593, 6.247185, 6.277219"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.203603, 8.530967, 8.771009, 8.798157, 8.883154",\ + "8.759292, 9.086656, 9.326698, 9.353846, 9.438843",\ + "9.314981, 9.642344, 9.882387, 9.909534, 9.994531",\ + "10.057380, 10.384744, 10.624786, 10.651934, 10.736931",\ + "10.343459, 10.670823, 10.910866, 10.938013, 11.023010"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.168381, 9.548771, 9.805126, 9.834118, 9.924892",\ + "9.621554, 10.001945, 10.258301, 10.287292, 10.378066",\ + "10.074728, 10.455118, 10.711474, 10.740466, 10.831240",\ + "10.680168, 11.060558, 11.316915, 11.345906, 11.436680",\ + "10.939444, 11.319834, 11.576190, 11.605182, 11.695955"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.419578, 7.696557, 7.801620, 7.813502, 7.850705",\ + "7.433206, 7.710184, 7.815248, 7.827130, 7.864332",\ + "7.433206, 7.710184, 7.815248, 7.827130, 7.864332",\ + "7.433206, 7.710184, 7.815248, 7.827130, 7.864332",\ + "7.433206, 7.710184, 7.815248, 7.827130, 7.864332"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.161060, 8.311078, 8.395897, 8.405489, 8.435523",\ + "8.574442, 8.724460, 8.809278, 8.818871, 8.848905",\ + "8.924660, 9.074677, 9.159495, 9.169088, 9.199121",\ + "9.392550, 9.542566, 9.627385, 9.636978, 9.667011",\ + "9.582829, 9.732845, 9.817664, 9.827257, 9.857290"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.609805, 8.937169, 9.177212, 9.204359, 9.289356",\ + "9.062982, 9.390346, 9.630388, 9.657536, 9.742533",\ + "9.516159, 9.843523, 10.083565, 10.110712, 10.195709",\ + "10.121603, 10.448967, 10.689010, 10.716156, 10.801153",\ + "10.380877, 10.708241, 10.948284, 10.975431, 11.060429"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.582365, 9.962755, 10.219111, 10.248103, 10.338877",\ + "10.035542, 10.415933, 10.672289, 10.701281, 10.792054",\ + "10.488719, 10.869109, 11.125465, 11.154457, 11.245231",\ + "11.094163, 11.474553, 11.730909, 11.759901, 11.850676",\ + "11.353437, 11.733828, 11.990184, 12.019176, 12.109949"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.337082, 7.614060, 7.719125, 7.731007, 7.768209",\ + "7.750520, 8.027498, 8.132563, 8.144444, 8.181646",\ + "8.100735, 8.377713, 8.482777, 8.494658, 8.531861",\ + "8.568623, 8.845601, 8.950665, 8.962546, 8.999749",\ + "8.758905, 9.035884, 9.140948, 9.152830, 9.190032"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.854249, 8.004267, 8.089086, 8.098678, 8.128712",\ + "8.267687, 8.417705, 8.502523, 8.512115, 8.542150",\ + "8.617902, 8.767920, 8.852738, 8.862330, 8.892365",\ + "9.085790, 9.235807, 9.320626, 9.330218, 9.360252",\ + "9.276073, 9.426090, 9.510909, 9.520502, 9.550535"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "11.290631, 11.617995, 11.858038, 11.885185, 11.970182",\ + "11.846320, 12.173684, 12.413727, 12.440874, 12.525871",\ + "12.402009, 12.729373, 12.969416, 12.996563, 13.081560",\ + "13.144411, 13.471775, 13.711818, 13.738964, 13.823961",\ + "13.430491, 13.757855, 13.997898, 14.025045, 14.110043"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956",\ + "1.813980, 2.476838, 2.934938, 2.986746, 3.148956"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "12.450824, 12.831214, 13.087570, 13.116562, 13.207335",\ + "13.006514, 13.386904, 13.643259, 13.672251, 13.763025",\ + "13.562205, 13.942595, 14.198952, 14.227943, 14.318717",\ + "14.304605, 14.684996, 14.941351, 14.970343, 15.061117",\ + "14.590686, 14.971076, 15.227432, 15.256424, 15.347198"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171",\ + "1.858390, 2.555260, 3.032303, 3.086253, 3.255171"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.573075, 7.850054, 7.955118, 7.967000, 8.004202",\ + "7.586697, 7.863675, 7.968740, 7.980621, 8.017823",\ + "7.586697, 7.863675, 7.968740, 7.980621, 8.017823",\ + "7.586697, 7.863675, 7.968740, 7.980621, 8.017823",\ + "7.586697, 7.863675, 7.968740, 7.980621, 8.017823"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101",\ + "1.202829, 1.257104, 1.274141, 1.276068, 1.282101"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.185329, 8.335347, 8.420166, 8.429758, 8.459792",\ + "8.198951, 8.348969, 8.433787, 8.443379, 8.473413",\ + "8.198951, 8.348969, 8.433787, 8.443379, 8.473413",\ + "8.198951, 8.348969, 8.433787, 8.443379, 8.473413",\ + "8.198951, 8.348969, 8.433787, 8.443379, 8.473413"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708",\ + "0.840645, 0.894274, 0.929331, 0.933295, 0.945708"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.937893, 0.524456, 0.174241, -0.293647, -0.483930",\ + "1.493519, 1.080082, 0.729867, 0.261980, 0.071696",\ + "2.049145, 1.635707, 1.285492, 0.817605, 0.627321",\ + "2.791461, 2.378024, 2.027809, 1.559922, 1.369638",\ + "3.077539, 2.664102, 2.313887, 1.846000, 1.655716"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.607587, 2.194149, 1.843935, 1.376047, 1.185764",\ + "3.060868, 2.647431, 2.297216, 1.829329, 1.639045",\ + "3.514149, 3.100711, 2.750496, 2.282609, 2.092325",\ + "4.119732, 3.706295, 3.356080, 2.888192, 2.697909",\ + "4.378977, 3.965540, 3.615325, 3.147438, 2.957154"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.184045, 0.269131, 0.722308, 1.327753, 1.587027",\ + "-0.201508, 0.251668, 0.704845, 1.310290, 1.569565",\ + "-0.218971, 0.234206, 0.687383, 1.292828, 1.552102",\ + "-0.242301, 0.210876, 0.664053, 1.269497, 1.528772",\ + "-0.197532, 0.255645, 0.708822, 1.314266, 1.573541"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.784183, -1.331007, -0.877830, -0.272385, -0.013111",\ + "-2.198338, -1.745161, -1.291984, -0.686540, -0.427265",\ + "-2.548551, -2.095375, -1.642198, -1.036753, -0.777479",\ + "-3.016436, -2.563259, -2.110082, -1.504638, -1.245363",\ + "-3.206803, -2.753626, -2.300449, -1.695004, -1.435730"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.183639, 7.183639, 7.003006, 6.942796, 6.822375",\ + "7.739242, 7.739242, 7.558609, 7.498399, 7.377978",\ + "8.294846, 8.294846, 8.114214, 8.054003, 7.933582",\ + "9.037130, 9.037130, 8.856499, 8.796288, 8.675867",\ + "9.323210, 9.323210, 9.142576, 9.082367, 8.961945"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.224736, 7.224736, 7.044103, 6.983893, 6.863472",\ + "7.678055, 7.678055, 7.497423, 7.437212, 7.316791",\ + "8.131376, 8.131376, 7.950743, 7.890533, 7.770112",\ + "8.737011, 8.737011, 8.556379, 8.496168, 8.375748",\ + "8.996246, 8.996246, 8.815613, 8.755404, 8.634981"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.648941, 2.648941, 2.739257, 2.829573, 3.010206",\ + "2.631493, 2.631493, 2.721809, 2.812125, 2.992758",\ + "2.614046, 2.614046, 2.704362, 2.794677, 2.975310",\ + "2.590736, 2.590736, 2.681052, 2.771368, 2.952000",\ + "2.635505, 2.635505, 2.725821, 2.816137, 2.996769"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.265411, 2.265411, 2.355727, 2.446043, 2.626676",\ + "1.850989, 1.850989, 1.941305, 2.031621, 2.212253",\ + "1.500777, 1.500777, 1.591092, 1.681409, 1.862041",\ + "1.032893, 1.032893, 1.123209, 1.213525, 1.394157",\ + "0.842495, 0.842495, 0.932811, 1.023128, 1.203760"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.803051, 0.389613, 0.039398, -0.428489, -0.618773",\ + "1.358654, 0.945216, 0.595001, 0.127114, -0.063170",\ + "1.914257, 1.500820, 1.150605, 0.682717, 0.492434",\ + "2.656543, 2.243105, 1.892890, 1.425003, 1.234720",\ + "2.942621, 2.529183, 2.178968, 1.711081, 1.520797"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.948091, 1.534654, 1.184439, 0.716551, 0.526268",\ + "2.401411, 1.987973, 1.637758, 1.169871, 0.979587",\ + "2.854730, 2.441293, 2.091078, 1.623190, 1.432907",\ + "3.460365, 3.046928, 2.696713, 2.228826, 2.038542",\ + "3.719600, 3.306162, 2.955947, 2.488060, 2.297776"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.029591, 0.482768, 0.935945, 1.541389, 1.800664",\ + "0.012143, 0.465320, 0.918497, 1.523941, 1.783216",\ + "-0.005304, 0.447872, 0.901049, 1.506494, 1.765768",\ + "-0.028614, 0.424563, 0.877740, 1.483184, 1.742459",\ + "0.016155, 0.469332, 0.922508, 1.527953, 1.787227"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.999267, -0.546090, -0.092913, 0.512531, 0.771806",\ + "-1.413689, -0.960513, -0.507336, 0.098109, 0.357383",\ + "-1.763902, -1.310726, -0.857549, -0.252104, 0.007170",\ + "-2.231786, -1.778609, -1.325432, -0.719988, -0.460713",\ + "-2.422184, -1.969007, -1.515830, -0.910386, -0.651111"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.678441"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.615175"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.934543 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.641626, 10.889711, 10.994795, 11.006679, 11.043887",\ + "10.641626, 10.889711, 10.994795, 11.006679, 11.043887",\ + "10.731942, 10.980027, 11.085110, 11.096994, 11.134203",\ + "10.822258, 11.070344, 11.175426, 11.187311, 11.224520",\ + "11.002891, 11.250976, 11.356058, 11.367943, 11.405151"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202795, 1.257076, 1.274114, 1.276041, 1.282073",\ + "1.202795, 1.257076, 1.274114, 1.276041, 1.282073",\ + "1.202795, 1.257076, 1.274114, 1.276041, 1.282073",\ + "1.202795, 1.257076, 1.274114, 1.276041, 1.282073",\ + "1.202795, 1.257076, 1.274114, 1.276041, 1.282073"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "11.590031, 11.740190, 11.824995, 11.834586, 11.864614",\ + "11.590031, 11.740190, 11.824995, 11.834586, 11.864614",\ + "11.680346, 11.830505, 11.915311, 11.924902, 11.954931",\ + "11.770662, 11.920821, 12.005627, 12.015218, 12.045246",\ + "11.951295, 12.101454, 12.186259, 12.195850, 12.225880"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841114, 0.894376, 0.929420, 0.933383, 0.945792",\ + "0.841114, 0.894376, 0.929420, 0.933383, 0.945792",\ + "0.841114, 0.894376, 0.929420, 0.933383, 0.945792",\ + "0.841114, 0.894376, 0.929420, 0.933383, 0.945792",\ + "0.841114, 0.894376, 0.929420, 0.933383, 0.945792"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.262306, 10.510391, 10.615475, 10.627358, 10.664567",\ + "10.262306, 10.510391, 10.615475, 10.627358, 10.664567",\ + "10.442938, 10.691023, 10.796106, 10.807990, 10.845199",\ + "10.503149, 10.751234, 10.856318, 10.868201, 10.905410",\ + "10.623570, 10.871655, 10.976738, 10.988623, 11.025831"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202795, 1.257076, 1.274114, 1.276041, 1.282073",\ + "1.202795, 1.257076, 1.274114, 1.276041, 1.282073",\ + "1.202795, 1.257076, 1.274114, 1.276041, 1.282073",\ + "1.202795, 1.257076, 1.274114, 1.276041, 1.282073",\ + "1.202795, 1.257076, 1.274114, 1.276041, 1.282073"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.927563, 11.077723, 11.162528, 11.172119, 11.202147",\ + "10.927563, 11.077723, 11.162528, 11.172119, 11.202147",\ + "11.108194, 11.258354, 11.343160, 11.352751, 11.382779",\ + "11.168406, 11.318565, 11.403371, 11.412962, 11.442990",\ + "11.288828, 11.438987, 11.523792, 11.533382, 11.563412"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841114, 0.894376, 0.929420, 0.933383, 0.945792",\ + "0.841114, 0.894376, 0.929420, 0.933383, 0.945792",\ + "0.841114, 0.894376, 0.929420, 0.933383, 0.945792",\ + "0.841114, 0.894376, 0.929420, 0.933383, 0.945792",\ + "0.841114, 0.894376, 0.929420, 0.933383, 0.945792"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.059831, 8.307916, 8.412998, 8.424883, 8.462091",\ + "8.615520, 8.863605, 8.968689, 8.980573, 9.017781",\ + "9.171209, 9.419295, 9.524378, 9.536263, 9.573471",\ + "9.913610, 10.161696, 10.266779, 10.278664, 10.315872",\ + "10.199690, 10.447776, 10.552858, 10.564743, 10.601952"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.204019, 8.354177, 8.438983, 8.448574, 8.478603",\ + "8.759708, 8.909867, 8.994673, 9.004264, 9.034292",\ + "9.315398, 9.465557, 9.550363, 9.559954, 9.589983",\ + "10.057798, 10.207957, 10.292763, 10.302354, 10.332382",\ + "10.343878, 10.494037, 10.578842, 10.588433, 10.618462"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903220, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903220, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903220, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903220, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903220, 0.956298, 0.986953, 0.990420, 1.001275"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.750810, 6.750811, 6.750811, 6.750811, 6.750811",\ + "6.764431, 6.764432, 6.764432, 6.764432, 6.764432",\ + "6.764431, 6.764432, 6.764432, 6.764432, 6.764432",\ + "6.764431, 6.764432, 6.764432, 6.764432, 6.764432",\ + "6.764431, 6.764432, 6.764432, 6.764432, 6.764432"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.726928, 6.727001, 6.727001, 6.727001, 6.727001",\ + "6.740549, 6.740623, 6.740623, 6.740623, 6.740623",\ + "6.740549, 6.740623, 6.740623, 6.740623, 6.740623",\ + "6.740549, 6.740623, 6.740623, 6.740623, 6.740623",\ + "6.740549, 6.740623, 6.740623, 6.740623, 6.740623"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.639452, 9.887516, 9.992601, 10.004486, 10.041697",\ + "9.639452, 9.887516, 9.992601, 10.004486, 10.041697",\ + "9.729768, 9.977832, 10.082917, 10.094802, 10.132012",\ + "9.820084, 10.068148, 10.173234, 10.185118, 10.222328",\ + "10.000716, 10.248780, 10.353866, 10.365750, 10.402961"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.817583, 9.967767, 10.052570, 10.062160, 10.092189",\ + "9.817583, 9.967767, 10.052570, 10.062160, 10.092189",\ + "9.907900, 10.058083, 10.142885, 10.152476, 10.182505",\ + "9.998216, 10.148399, 10.233202, 10.242792, 10.272821",\ + "10.178848, 10.329031, 10.413834, 10.423425, 10.453453"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841193, 0.894394, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894394, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894394, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894394, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894394, 0.929435, 0.933398, 0.945806"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.326725, 9.574789, 9.679875, 9.691759, 9.728970",\ + "9.326725, 9.574789, 9.679875, 9.691759, 9.728970",\ + "9.507358, 9.755422, 9.860507, 9.872392, 9.909601",\ + "9.567568, 9.815632, 9.920718, 9.932602, 9.969812",\ + "9.687990, 9.936054, 10.041140, 10.053024, 10.090235"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.620794, 9.770977, 9.855780, 9.865371, 9.895399",\ + "9.620794, 9.770977, 9.855780, 9.865371, 9.895399",\ + "9.801426, 9.951609, 10.036412, 10.046002, 10.076031",\ + "9.861637, 10.011820, 10.096622, 10.106214, 10.136242",\ + "9.982059, 10.132241, 10.217045, 10.226635, 10.256663"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841193, 0.894393, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894393, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894393, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894393, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894393, 0.929435, 0.933398, 0.945806"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.869551, 8.117615, 8.222701, 8.234585, 8.271795",\ + "8.425241, 8.673305, 8.778391, 8.790276, 8.827485",\ + "8.980930, 9.228994, 9.334081, 9.345964, 9.383175",\ + "9.723331, 9.971395, 10.076481, 10.088366, 10.125576",\ + "10.009411, 10.257475, 10.362560, 10.374445, 10.411655"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.065198, 8.215382, 8.300184, 8.309774, 8.339804",\ + "8.620888, 8.771070, 8.855874, 8.865465, 8.895493",\ + "9.176577, 9.326760, 9.411564, 9.421154, 9.451182",\ + "9.918978, 10.069161, 10.153965, 10.163555, 10.193583",\ + "10.205057, 10.355241, 10.440044, 10.449635, 10.479663"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903220, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903220, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903220, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903220, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903220, 0.956298, 0.986953, 0.990420, 1.001275"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.532396, 6.532397, 6.532397, 6.532397, 6.532397",\ + "6.546018, 6.546019, 6.546019, 6.546019, 6.546019",\ + "6.546018, 6.546019, 6.546019, 6.546019, 6.546019",\ + "6.546018, 6.546019, 6.546019, 6.546019, 6.546019",\ + "6.546018, 6.546019, 6.546019, 6.546019, 6.546019"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.508492, 6.508566, 6.508566, 6.508566, 6.508566",\ + "6.522113, 6.522187, 6.522187, 6.522187, 6.522187",\ + "6.522113, 6.522187, 6.522187, 6.522187, 6.522187",\ + "6.522113, 6.522187, 6.522187, 6.522187, 6.522187",\ + "6.522113, 6.522187, 6.522187, 6.522187, 6.522187"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.100636, 7.348700, 7.453786, 7.465671, 7.502881",\ + "7.656326, 7.904389, 8.009476, 8.021360, 8.058570",\ + "8.212015, 8.460078, 8.565165, 8.577049, 8.614259",\ + "8.954415, 9.202479, 9.307565, 9.319449, 9.356660",\ + "9.240495, 9.488559, 9.593644, 9.605529, 9.642739"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.352486, 7.502669, 7.587472, 7.597063, 7.627091",\ + "7.805663, 7.955846, 8.040649, 8.050240, 8.080268",\ + "8.258841, 8.409023, 8.493827, 8.503418, 8.533445",\ + "8.864285, 9.014468, 9.099272, 9.108862, 9.138890",\ + "9.123560, 9.273743, 9.358545, 9.368136, 9.398165"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841193, 0.894394, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894394, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894394, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894394, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894394, 0.929435, 0.933398, 0.945806"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.571929, 6.819993, 6.925079, 6.936964, 6.974174",\ + "6.585551, 6.833614, 6.938701, 6.950585, 6.987795",\ + "6.585551, 6.833614, 6.938701, 6.950585, 6.987795",\ + "6.585551, 6.833614, 6.938701, 6.950585, 6.987795",\ + "6.585551, 6.833614, 6.938701, 6.950585, 6.987795"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069",\ + "1.202789, 1.257071, 1.274109, 1.276036, 1.282069"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.912811, 7.062994, 7.147798, 7.157388, 7.187416",\ + "7.326248, 7.476431, 7.561234, 7.570825, 7.600853",\ + "7.676464, 7.826647, 7.911450, 7.921041, 7.951069",\ + "8.144351, 8.294534, 8.379337, 8.388927, 8.418956",\ + "8.334635, 8.484818, 8.569621, 8.579211, 8.609240"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841193, 0.894393, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894393, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894393, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894393, 0.929435, 0.933398, 0.945806",\ + "0.841193, 0.894393, 0.929435, 0.933398, 0.945806"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.489243, 9.737312, 9.842397, 9.854281, 9.891491",\ + "9.489243, 9.737312, 9.842397, 9.854281, 9.891491",\ + "9.579557, 9.827627, 9.932713, 9.944597, 9.981807",\ + "9.669874, 9.917944, 10.023029, 10.034914, 10.072124",\ + "9.850506, 10.098576, 10.203661, 10.215546, 10.252755"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234241, 1.294850, 1.315918, 1.318301, 1.325760",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325760",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325760",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325760",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325760"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.789783, 9.944305, 10.030052, 10.039749, 10.070112",\ + "9.789783, 9.944305, 10.030052, 10.039749, 10.070112",\ + "9.880098, 10.034620, 10.120368, 10.130066, 10.160428",\ + "9.970415, 10.124937, 10.210684, 10.220382, 10.250744",\ + "10.151047, 10.305569, 10.391316, 10.401014, 10.431376"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903213, 0.956297, 0.986953, 0.990420, 1.001274",\ + "0.903213, 0.956297, 0.986953, 0.990420, 1.001274",\ + "0.903213, 0.956297, 0.986953, 0.990420, 1.001274",\ + "0.903213, 0.956297, 0.986953, 0.990420, 1.001274",\ + "0.903213, 0.956297, 0.986953, 0.990420, 1.001274"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.484432, 8.484433, 8.484433, 8.484433, 8.484433",\ + "8.484432, 8.484433, 8.484433, 8.484433, 8.484433",\ + "8.665065, 8.665065, 8.665065, 8.665065, 8.665065",\ + "8.725275, 8.725276, 8.725276, 8.725276, 8.725276",\ + "8.845697, 8.845697, 8.845697, 8.845697, 8.845697"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.460318, 8.460401, 8.460401, 8.460401, 8.460401",\ + "8.460318, 8.460401, 8.460401, 8.460401, 8.460401",\ + "8.640949, 8.641033, 8.641033, 8.641033, 8.641033",\ + "8.701160, 8.701243, 8.701243, 8.701243, 8.701243",\ + "8.821583, 8.821665, 8.821665, 8.821665, 8.821665"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.422569, 6.670639, 6.775724, 6.787609, 6.824819",\ + "6.978215, 7.226285, 7.331370, 7.343254, 7.380464",\ + "7.533861, 7.781930, 7.887015, 7.898900, 7.936110",\ + "8.276203, 8.524273, 8.629357, 8.641242, 8.678452",\ + "8.562281, 8.810350, 8.915436, 8.927320, 8.964530"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202791, 1.257073, 1.274110, 1.276037, 1.282070",\ + "1.202791, 1.257073, 1.274110, 1.276037, 1.282070",\ + "1.202791, 1.257073, 1.274110, 1.276037, 1.282070",\ + "1.202791, 1.257073, 1.274110, 1.276037, 1.282070",\ + "1.202791, 1.257073, 1.274110, 1.276037, 1.282070"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.738359, 6.888536, 6.973341, 6.982931, 7.012959",\ + "7.191608, 7.341785, 7.426589, 7.436180, 7.466208",\ + "7.644856, 7.795034, 7.879838, 7.889428, 7.919456",\ + "8.250398, 8.400576, 8.485379, 8.494969, 8.524998",\ + "8.509652, 8.659830, 8.744634, 8.754224, 8.784252"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841174, 0.894389, 0.929431, 0.933394, 0.945802",\ + "0.841174, 0.894389, 0.929431, 0.933394, 0.945802",\ + "0.841174, 0.894389, 0.929431, 0.933394, 0.945802",\ + "0.841174, 0.894389, 0.929431, 0.933394, 0.945802",\ + "0.841174, 0.894389, 0.929431, 0.933394, 0.945802"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.113867, 6.361937, 6.467022, 6.478906, 6.516116",\ + "6.127254, 6.375324, 6.480410, 6.492294, 6.529504",\ + "6.127254, 6.375324, 6.480410, 6.492294, 6.529504",\ + "6.127254, 6.375324, 6.480410, 6.492294, 6.529504",\ + "6.127254, 6.375324, 6.480410, 6.492294, 6.529504"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202791, 1.257073, 1.274110, 1.276037, 1.282070",\ + "1.202791, 1.257073, 1.274110, 1.276037, 1.282070",\ + "1.202791, 1.257073, 1.274110, 1.276037, 1.282070",\ + "1.202791, 1.257073, 1.274110, 1.276037, 1.282070",\ + "1.202791, 1.257073, 1.274110, 1.276037, 1.282070"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.422413, 6.572591, 6.657394, 6.666985, 6.697014",\ + "6.762346, 6.912523, 6.997327, 7.006917, 7.036946",\ + "7.184003, 7.334180, 7.418984, 7.428575, 7.458603",\ + "7.650487, 7.800664, 7.885468, 7.895059, 7.925087",\ + "7.840828, 7.991005, 8.075809, 8.085400, 8.115428"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841174, 0.894389, 0.929431, 0.933394, 0.945802",\ + "0.841174, 0.894389, 0.929431, 0.933394, 0.945802",\ + "0.841174, 0.894389, 0.929431, 0.933394, 0.945802",\ + "0.841174, 0.894389, 0.929431, 0.933394, 0.945802",\ + "0.841174, 0.894389, 0.929431, 0.933394, 0.945802"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.639941, 7.888011, 7.993095, 8.004980, 8.042190",\ + "8.195631, 8.443701, 8.548785, 8.560670, 8.597879",\ + "8.751320, 8.999390, 9.104475, 9.116360, 9.153569",\ + "9.493721, 9.741791, 9.846876, 9.858761, 9.895970",\ + "9.779800, 10.027870, 10.132956, 10.144840, 10.182050"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234241, 1.294850, 1.315918, 1.318301, 1.325760",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325760",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325760",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325760",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325760"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.979532, 8.134054, 8.219802, 8.229500, 8.259862",\ + "8.535221, 8.689744, 8.775492, 8.785189, 8.815551",\ + "9.090912, 9.245434, 9.331182, 9.340879, 9.371242",\ + "9.833312, 9.987835, 10.073582, 10.083279, 10.113642",\ + "10.119391, 10.273914, 10.359661, 10.369358, 10.399721"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903213, 0.956297, 0.986953, 0.990420, 1.001274",\ + "0.903213, 0.956297, 0.986953, 0.990420, 1.001274",\ + "0.903213, 0.956297, 0.986953, 0.990420, 1.001274",\ + "0.903213, 0.956297, 0.986953, 0.990420, 1.001274",\ + "0.903213, 0.956297, 0.986953, 0.990420, 1.001274"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.463324, 6.463325, 6.463325, 6.463325, 6.463325",\ + "6.476945, 6.476946, 6.476946, 6.476946, 6.476946",\ + "6.476945, 6.476946, 6.476946, 6.476946, 6.476946",\ + "6.476945, 6.476946, 6.476946, 6.476946, 6.476946",\ + "6.476945, 6.476946, 6.476946, 6.476946, 6.476946"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.439209, 6.439292, 6.439292, 6.439292, 6.439292",\ + "6.452830, 6.452913, 6.452913, 6.452913, 6.452913",\ + "6.452830, 6.452913, 6.452913, 6.452913, 6.452913",\ + "6.452830, 6.452913, 6.452913, 6.452913, 6.452913",\ + "6.452830, 6.452913, 6.452913, 6.452913, 6.452913"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "1.093946"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "1.141772"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/lib/tt/caravan.min.lib b/signoff/caravan/primetime/lib/tt/caravan.min.lib new file mode 100644 index 00000000..12eac71c --- /dev/null +++ b/signoff/caravan/primetime/lib/tt/caravan.min.lib @@ -0,0 +1,4508 @@ + +library("caravan.min") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 05:00:16 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.8000 ; + nom_temperature : 25.0000 ; + operating_conditions( "sky130_fd_sc_hd__tt_1.80v_25C" ) { + process : 1.0000 ; + voltage : 1.8000 ; + temperature : 25.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__tt_1.80v_25C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.008802, 7.008802, 6.828158, 6.767943, 6.647514",\ + "7.564463, 7.564463, 7.383819, 7.323605, 7.203176",\ + "8.120125, 8.120125, 7.939480, 7.879266, 7.758837",\ + "8.862488, 8.862488, 8.681843, 8.621629, 8.501200",\ + "9.148565, 9.148565, 8.967922, 8.907707, 8.787278"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.134975, 7.134975, 6.954331, 6.894116, 6.773687",\ + "7.588197, 7.588197, 7.407553, 7.347339, 7.226910",\ + "8.041420, 8.041420, 7.860775, 7.800561, 7.680132",\ + "8.646925, 8.646925, 8.466282, 8.406067, 8.285638",\ + "8.906187, 8.906187, 8.725544, 8.665329, 8.544900"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.365838, 1.365838, 1.456160, 1.546481, 1.727125",\ + "1.348352, 1.348352, 1.438674, 1.528996, 1.709640",\ + "1.330867, 1.330867, 1.421189, 1.511511, 1.692154",\ + "1.307507, 1.307507, 1.397829, 1.488150, 1.668794",\ + "1.352276, 1.352276, 1.442598, 1.532919, 1.713563"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.760190, 0.760190, 0.850512, 0.940834, 1.121477",\ + "0.346437, 0.346437, 0.436759, 0.527081, 0.707725",\ + "-0.003777, -0.003777, 0.086545, 0.176867, 0.357510",\ + "-0.471663, -0.471663, -0.381341, -0.291019, -0.110376",\ + "-0.661983, -0.661983, -0.571661, -0.481339, -0.300695"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.303165, 1.889528, 1.539313, 1.071427, 0.881120",\ + "2.858827, 2.445189, 2.094975, 1.627089, 1.436782",\ + "3.414488, 3.000851, 2.650637, 2.182750, 1.992444",\ + "4.156853, 3.743216, 3.393001, 2.925115, 2.734808",\ + "4.442931, 4.029294, 3.679080, 3.211193, 3.020886"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.390298, 2.976661, 2.626447, 2.158560, 1.968254",\ + "3.843521, 3.429884, 3.079669, 2.611783, 2.421476",\ + "4.296743, 3.883106, 3.532892, 3.065005, 2.874699",\ + "4.902249, 4.488612, 4.138397, 3.670511, 3.480204",\ + "5.161510, 4.747873, 4.397658, 3.929772, 3.739465"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.505520, -1.052314, -0.599108, 0.006374, 0.265641",\ + "-1.523005, -1.069799, -0.616593, -0.011111, 0.248156",\ + "-1.540491, -1.087285, -0.634079, -0.028596, 0.230670",\ + "-1.563851, -1.110645, -0.657439, -0.051957, 0.207310",\ + "-1.519082, -1.065876, -0.612670, -0.007187, 0.252079"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.411759, -1.958554, -1.505348, -0.899865, -0.640599",\ + "-2.825512, -2.372307, -1.919101, -1.313618, -1.054352",\ + "-3.175727, -2.722521, -2.269315, -1.663833, -1.404566",\ + "-3.643613, -3.190407, -2.737201, -2.131719, -1.872452",\ + "-3.833932, -3.380727, -2.927521, -2.322038, -2.062772"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.155715, 7.155715, 6.975070, 6.914856, 6.794427",\ + "7.711381, 7.711381, 7.530737, 7.470523, 7.350094",\ + "8.267048, 8.267048, 8.086403, 8.026189, 7.905760",\ + "9.009418, 9.009418, 8.828773, 8.768559, 8.648130",\ + "9.295496, 9.295496, 9.114853, 9.054638, 8.934209"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.096117, 7.096117, 6.915473, 6.855258, 6.734829",\ + "7.549330, 7.549330, 7.368686, 7.308472, 7.188043",\ + "8.002544, 8.002544, 7.821901, 7.761686, 7.641257",\ + "8.608040, 8.608040, 8.427395, 8.367181, 8.246752",\ + "8.867304, 8.867304, 8.686659, 8.626445, 8.506016"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.516512, 0.516512, 0.606834, 0.697155, 0.877799",\ + "0.499024, 0.499024, 0.589346, 0.679667, 0.860311",\ + "0.481535, 0.481535, 0.571857, 0.662179, 0.842823",\ + "0.458171, 0.458171, 0.548493, 0.638814, 0.819458",\ + "0.502940, 0.502940, 0.593262, 0.683583, 0.864227"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.447217, -0.447217, -0.356895, -0.266573, -0.085930",\ + "-0.860915, -0.860915, -0.770593, -0.680271, -0.499628",\ + "-1.211129, -1.211129, -1.120807, -1.030485, -0.849842",\ + "-1.679015, -1.679015, -1.588693, -1.498371, -1.317728",\ + "-1.869328, -1.869328, -1.779006, -1.688684, -1.508041"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.660739, 2.247102, 1.896888, 1.429001, 1.238695",\ + "3.216405, 2.802768, 2.452554, 1.984667, 1.794361",\ + "3.772072, 3.358435, 3.008221, 2.540334, 2.350028",\ + "4.514442, 4.100805, 3.750591, 3.282704, 3.092398",\ + "4.800521, 4.386884, 4.036670, 3.568783, 3.378477"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.919041, 3.505404, 3.155190, 2.687303, 2.496996",\ + "4.372256, 3.958619, 3.608404, 3.140518, 2.950211",\ + "4.825470, 4.411833, 4.061619, 3.593732, 3.403426",\ + "5.430965, 5.017328, 4.667113, 4.199227, 4.008920",\ + "5.690229, 5.276592, 4.926378, 4.458491, 4.268185"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.827758, -1.374552, -0.921346, -0.315863, -0.056597",\ + "-1.845246, -1.392040, -0.938834, -0.333351, -0.074085",\ + "-1.862734, -1.409529, -0.956323, -0.350840, -0.091573",\ + "-1.886099, -1.432893, -0.979687, -0.374204, -0.114938",\ + "-1.841330, -1.388124, -0.934918, -0.329435, -0.070169"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.070003, -2.616797, -2.163591, -1.558108, -1.298842",\ + "-3.483701, -3.030495, -2.577289, -1.971806, -1.712540",\ + "-3.833915, -3.380709, -2.927503, -2.322020, -2.062754",\ + "-4.301801, -3.848595, -3.395389, -2.789906, -2.530640",\ + "-4.492114, -4.038908, -3.585702, -2.980219, -2.720953"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.251047, 7.251047, 7.070403, 7.010189, 6.889760",\ + "7.806703, 7.806703, 7.626059, 7.565844, 7.445415",\ + "8.362357, 8.362357, 8.181713, 8.121498, 8.001070",\ + "9.104712, 9.104712, 8.924067, 8.863853, 8.743423",\ + "9.390789, 9.390789, 9.210145, 9.149931, 9.029501"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.373308, 7.373308, 7.192664, 7.132450, 7.012021",\ + "7.826542, 7.826542, 7.645898, 7.585683, 7.465254",\ + "8.279775, 8.279775, 8.099131, 8.038916, 7.918487",\ + "8.885295, 8.885295, 8.704651, 8.644436, 8.524008",\ + "9.144554, 9.144554, 8.963910, 8.903696, 8.783266"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.199998, 1.199998, 1.290320, 1.380642, 1.561285",\ + "1.182517, 1.182517, 1.272839, 1.363161, 1.543804",\ + "1.165036, 1.165036, 1.255358, 1.345680, 1.526323",\ + "1.141682, 1.141682, 1.232004, 1.322325, 1.502969",\ + "1.186451, 1.186451, 1.276773, 1.367094, 1.547738"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.653706, 0.653706, 0.744028, 0.834349, 1.014993",\ + "0.239877, 0.239877, 0.330199, 0.420520, 0.601164",\ + "-0.110337, -0.110337, -0.020015, 0.070306, 0.250950",\ + "-0.578223, -0.578223, -0.487901, -0.397580, -0.216936",\ + "-0.768552, -0.768552, -0.678230, -0.587908, -0.407265"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.442632, 2.028995, 1.678781, 1.210894, 1.020588",\ + "2.998287, 2.584650, 2.234436, 1.766549, 1.576243",\ + "3.553942, 3.140305, 2.790091, 2.322204, 2.131898",\ + "4.296298, 3.882661, 3.532446, 3.064560, 2.874253",\ + "4.582376, 4.168739, 3.818525, 3.350638, 3.160331"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.228399, 2.814761, 2.464547, 1.996660, 1.806354",\ + "3.681632, 3.267995, 2.917781, 2.449894, 2.259588",\ + "4.134866, 3.721229, 3.371014, 2.903128, 2.712821",\ + "4.740385, 4.326748, 3.976534, 3.508647, 3.318341",\ + "4.999644, 4.586007, 4.235793, 3.767906, 3.577600"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.620782, -1.167577, -0.714370, -0.108888, 0.150379",\ + "-1.638263, -1.185057, -0.731851, -0.126369, 0.132898",\ + "-1.655744, -1.202538, -0.749332, -0.143849, 0.115417",\ + "-1.679098, -1.225893, -0.772687, -0.167204, 0.092062",\ + "-1.634329, -1.181123, -0.727917, -0.122435, 0.136832"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.401335, -1.948130, -1.494924, -0.889441, -0.630175",\ + "-2.815164, -2.361959, -1.908753, -1.303270, -1.044003",\ + "-3.165378, -2.712173, -2.258967, -1.653484, -1.394217",\ + "-3.633264, -3.180059, -2.726853, -2.121370, -1.862103",\ + "-3.823593, -3.370387, -2.917181, -2.311698, -2.052432"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.149825, 7.149825, 6.969181, 6.908966, 6.788537",\ + "7.705500, 7.705500, 7.524856, 7.464641, 7.344212",\ + "8.261174, 8.261174, 8.080530, 8.020315, 7.899886",\ + "9.003554, 9.003554, 8.822910, 8.762695, 8.642266",\ + "9.289633, 9.289633, 9.108989, 9.048774, 8.928345"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.277672, 7.277672, 7.097028, 7.036813, 6.916384",\ + "7.730873, 7.730873, 7.550229, 7.490014, 7.369585",\ + "8.184074, 8.184074, 8.003430, 7.943215, 7.822786",\ + "8.789551, 8.789551, 8.608907, 8.548692, 8.428263",\ + "9.048819, 9.048819, 8.868175, 8.807960, 8.687531"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.760079, 0.760079, 0.850401, 0.940722, 1.121366",\ + "0.742586, 0.742586, 0.832908, 0.923229, 1.103873",\ + "0.725092, 0.725092, 0.815414, 0.905735, 1.086379",\ + "0.701721, 0.701721, 0.792043, 0.882364, 1.063008",\ + "0.746490, 0.746490, 0.836812, 0.927133, 1.107777"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.374793, 0.374793, 0.465115, 0.555436, 0.736080",\ + "-0.038813, -0.038813, 0.051509, 0.141831, 0.322474",\ + "-0.389028, -0.389028, -0.298706, -0.208385, -0.027741",\ + "-0.856915, -0.856915, -0.766593, -0.676271, -0.495628",\ + "-1.047218, -1.047218, -0.956896, -0.866574, -0.685931"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.504718, 2.091081, 1.740866, 1.272980, 1.082673",\ + "3.060392, 2.646755, 2.296541, 1.828654, 1.638348",\ + "3.616066, 3.202429, 2.852215, 2.384328, 2.194022",\ + "4.358449, 3.944811, 3.594597, 3.126710, 2.936404",\ + "4.644527, 4.230890, 3.880676, 3.412789, 3.222483"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.395619, 2.981982, 2.631768, 2.163881, 1.973575",\ + "3.848821, 3.435184, 3.084969, 2.617083, 2.426776",\ + "4.302022, 3.888385, 3.538170, 3.070284, 2.879977",\ + "4.907498, 4.493861, 4.143647, 3.675760, 3.485454",\ + "5.166767, 4.753129, 4.402915, 3.935029, 3.744722"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.595356, -1.142150, -0.688944, -0.083461, 0.175805",\ + "-1.612849, -1.159643, -0.706437, -0.100955, 0.158311",\ + "-1.630342, -1.177137, -0.723931, -0.118448, 0.140818",\ + "-1.653714, -1.200508, -0.747302, -0.141819, 0.117446",\ + "-1.608945, -1.155739, -0.702533, -0.097051, 0.162215"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.220139, -1.766933, -1.313727, -0.708244, -0.448978",\ + "-2.633744, -2.180538, -1.727332, -1.121850, -0.862584",\ + "-2.983959, -2.530754, -2.077548, -1.472065, -1.212799",\ + "-3.451846, -2.998641, -2.545434, -1.939952, -1.680686",\ + "-3.642149, -3.188943, -2.735737, -2.130255, -1.870989"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.043933, 7.043933, 6.863289, 6.803075, 6.682646",\ + "7.599598, 7.599598, 7.418954, 7.358740, 7.238311",\ + "8.155264, 8.155264, 7.974619, 7.914405, 7.793976",\ + "8.897633, 8.897633, 8.716990, 8.656775, 8.536345",\ + "9.183714, 9.183714, 9.003071, 8.942856, 8.822427"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.178965, 7.178965, 6.998321, 6.938107, 6.817677",\ + "7.632171, 7.632171, 7.451527, 7.391313, 7.270884",\ + "8.085377, 8.085377, 7.904733, 7.844519, 7.724090",\ + "8.690861, 8.690861, 8.510216, 8.450002, 8.329573",\ + "8.950121, 8.950121, 8.769478, 8.709263, 8.588834"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.832684, 0.832684, 0.923006, 1.013327, 1.193971",\ + "0.815172, 0.815172, 0.905494, 0.995815, 1.176459",\ + "0.797659, 0.797659, 0.887981, 0.978303, 1.158947",\ + "0.774263, 0.774263, 0.864585, 0.954907, 1.135550",\ + "0.819033, 0.819033, 0.909355, 0.999677, 1.180320"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.302375, -0.302375, -0.212053, -0.121732, 0.058912",\ + "-0.715466, -0.715466, -0.625144, -0.534822, -0.354179",\ + "-1.065710, -1.065710, -0.975388, -0.885067, -0.704423",\ + "-1.533637, -1.533637, -1.443315, -1.352993, -1.172349",\ + "-1.723912, -1.723912, -1.633590, -1.543269, -1.362625"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.453941, 2.040303, 1.690089, 1.222203, 1.031896",\ + "3.009607, 2.595969, 2.245755, 1.777869, 1.587562",\ + "3.565272, 3.151635, 2.801420, 2.333534, 2.143227",\ + "4.307642, 3.894005, 3.543790, 3.075904, 2.885597",\ + "4.593723, 4.180086, 3.829872, 3.361985, 3.171679"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.928385, 3.514748, 3.164534, 2.696647, 2.506341",\ + "4.381592, 3.967955, 3.617740, 3.149854, 2.959547",\ + "4.834797, 4.421160, 4.070946, 3.603059, 3.412752",\ + "5.440281, 5.026644, 4.676429, 4.208543, 4.018236",\ + "5.699542, 5.285905, 4.935691, 4.467804, 4.277497"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.561024, -1.107818, -0.654612, -0.049129, 0.210136",\ + "-1.578535, -1.125330, -0.672124, -0.066641, 0.192625",\ + "-1.596048, -1.142842, -0.689636, -0.084153, 0.175113",\ + "-1.619444, -1.166239, -0.713032, -0.107550, 0.151716",\ + "-1.574674, -1.121468, -0.668262, -0.062779, 0.196486"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.007010, -2.553804, -2.100598, -1.495115, -1.235849",\ + "-3.420100, -2.966895, -2.513689, -1.908206, -1.648940",\ + "-3.770344, -3.317139, -2.863933, -2.258450, -1.999184",\ + "-4.238271, -3.785065, -3.331859, -2.726377, -2.467111",\ + "-4.428547, -3.975341, -3.522135, -2.916652, -2.657386"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.188105, 7.188105, 7.007461, 6.947246, 6.826817",\ + "7.743794, 7.743794, 7.563150, 7.502936, 7.382507",\ + "8.299484, 8.299484, 8.118840, 8.058626, 7.938197",\ + "9.041886, 9.041886, 8.861242, 8.801027, 8.680599",\ + "9.327965, 9.327965, 9.147321, 9.087107, 8.966677"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.252855, 7.252855, 7.072211, 7.011997, 6.891568",\ + "7.706032, 7.706032, 7.525388, 7.465173, 7.344744",\ + "8.159206, 8.159206, 7.978562, 7.918348, 7.797919",\ + "8.764648, 8.764648, 8.584004, 8.523790, 8.403360",\ + "9.023923, 9.023923, 8.843279, 8.783064, 8.662636"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.037964, 1.037964, 1.128286, 1.218607, 1.399251",\ + "1.020460, 1.020460, 1.110782, 1.201104, 1.381747",\ + "1.002956, 1.002956, 1.093278, 1.183600, 1.364244",\ + "0.979571, 0.979571, 1.069893, 1.160215, 1.340858",\ + "1.024341, 1.024341, 1.114663, 1.204984, 1.385628"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.342689, 0.342689, 0.433011, 0.523332, 0.703976",\ + "-0.070738, -0.070738, 0.019584, 0.109905, 0.290549",\ + "-0.420953, -0.420953, -0.330631, -0.240310, -0.059666",\ + "-0.888840, -0.888840, -0.798518, -0.708197, -0.527553",\ + "-1.079122, -1.079122, -0.988800, -0.898479, -0.717835"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.298762, 1.885124, 1.534910, 1.067024, 0.876717",\ + "2.854452, 2.440815, 2.090600, 1.622714, 1.432407",\ + "3.410142, 2.996505, 2.646291, 2.178404, 1.988097",\ + "4.152544, 3.738907, 3.388693, 2.920806, 2.730500",\ + "4.438623, 4.024986, 3.674772, 3.206886, 3.016579"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.171427, 2.757790, 2.407576, 1.939689, 1.749383",\ + "3.624602, 3.210965, 2.860750, 2.392864, 2.202557",\ + "4.077778, 3.664140, 3.313926, 2.846040, 2.655733",\ + "4.683220, 4.269583, 3.919368, 3.451482, 3.261175",\ + "4.942495, 4.528858, 4.178643, 3.710757, 3.520450"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.330629, -0.877423, -0.424217, 0.181266, 0.440532",\ + "-1.348132, -0.894927, -0.441721, 0.163762, 0.423028",\ + "-1.365636, -0.912431, -0.459224, 0.146258, 0.405525",\ + "-1.389021, -0.935816, -0.482610, 0.122873, 0.382140",\ + "-1.344252, -0.891046, -0.437840, 0.167643, 0.426909"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.226031, -1.772825, -1.319619, -0.714136, -0.454870",\ + "-2.639457, -2.186252, -1.733046, -1.127563, -0.868297",\ + "-2.989672, -2.536467, -2.083261, -1.477778, -1.218512",\ + "-3.457559, -3.004354, -2.551148, -1.945665, -1.686399",\ + "-3.647841, -3.194636, -2.741430, -2.135947, -1.876680"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.456080, 7.456080, 7.275436, 7.215222, 7.094793",\ + "8.011680, 8.011680, 7.831036, 7.770821, 7.650392",\ + "8.567281, 8.567281, 8.386637, 8.326422, 8.205994",\ + "9.309563, 9.309563, 9.128919, 9.068705, 8.948275",\ + "9.595651, 9.595651, 9.415007, 9.354792, 9.234364"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.496277, 7.496277, 7.315633, 7.255418, 7.134989",\ + "7.949574, 7.949574, 7.768930, 7.708716, 7.588287",\ + "8.402874, 8.402874, 8.222230, 8.162016, 8.041586",\ + "9.008481, 9.008481, 8.827837, 8.767622, 8.647194",\ + "9.267700, 9.267700, 9.087056, 9.026842, 8.906412"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.687075, 0.687075, 0.777397, 0.867719, 1.048362",\ + "0.669543, 0.669543, 0.759865, 0.850186, 1.030830",\ + "0.652009, 0.652009, 0.742332, 0.832653, 1.013297",\ + "0.628586, 0.628586, 0.718908, 0.809230, 0.989873",\ + "0.673357, 0.673357, 0.763679, 0.854000, 1.034644"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.341929, -0.341929, -0.251607, -0.161285, 0.019358",\ + "-0.754180, -0.754180, -0.663858, -0.573537, -0.392893",\ + "-1.104503, -1.104503, -1.014181, -0.923859, -0.743216",\ + "-1.572534, -1.572534, -1.482212, -1.391891, -1.211247",\ + "-1.762800, -1.762800, -1.672478, -1.582156, -1.401513"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.043324, 2.629687, 2.279473, 1.811586, 1.621280",\ + "3.598926, 3.185288, 2.835074, 2.367188, 2.176881",\ + "4.154525, 3.740888, 3.390673, 2.922787, 2.732480",\ + "4.896808, 4.483171, 4.132956, 3.665070, 3.474763",\ + "5.182896, 4.769258, 4.419044, 3.951158, 3.760851"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.082278, 3.668641, 3.318426, 2.850540, 2.660233",\ + "4.535576, 4.121939, 3.771725, 3.303838, 3.113532",\ + "4.988875, 4.575238, 4.225023, 3.757137, 3.566830",\ + "5.594483, 5.180846, 4.830631, 4.362745, 4.172438",\ + "5.853703, 5.440065, 5.089851, 4.621964, 4.431658"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.809023, -1.355818, -0.902611, -0.297129, -0.037863",\ + "-1.826555, -1.373350, -0.920144, -0.314662, -0.055395",\ + "-1.844089, -1.390883, -0.937677, -0.332195, -0.072928",\ + "-1.867512, -1.414306, -0.961100, -0.355618, -0.096352",\ + "-1.822742, -1.369536, -0.916330, -0.310848, -0.051581"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.133224, -2.680018, -2.226812, -1.621330, -1.362063",\ + "-3.545475, -3.092270, -2.639064, -2.033581, -1.774315",\ + "-3.895797, -3.442592, -2.989386, -2.383904, -2.124637",\ + "-4.363829, -3.910623, -3.457417, -2.851935, -2.592669",\ + "-4.554094, -4.100889, -3.647683, -3.042201, -2.782934"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "8.447430, 8.447430, 8.266785, 8.206571, 8.086142",\ + "9.003088, 9.003088, 8.822445, 8.762230, 8.641801",\ + "9.558746, 9.558746, 9.378101, 9.317887, 9.197458",\ + "10.301105, 10.301105, 10.120462, 10.060247, 9.939818",\ + "10.587187, 10.587187, 10.406542, 10.346328, 10.225899"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "8.388874, 8.388874, 8.208229, 8.148015, 8.027586",\ + "8.842089, 8.842089, 8.661446, 8.601231, 8.480802",\ + "9.295305, 9.295305, 9.114660, 9.054446, 8.934017",\ + "9.900801, 9.900801, 9.720156, 9.659942, 9.539513",\ + "10.160057, 10.160057, 9.979412, 9.919198, 9.798769"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.153386, 0.153386, 0.243708, 0.334030, 0.514673",\ + "0.135871, 0.135871, 0.226193, 0.316515, 0.497159",\ + "0.118357, 0.118357, 0.208679, 0.299001, 0.479644",\ + "0.094958, 0.094958, 0.185280, 0.275602, 0.456245",\ + "0.139728, 0.139728, 0.230050, 0.320371, 0.501015"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.919967, -0.919967, -0.829645, -0.739323, -0.558680",\ + "-1.332969, -1.332969, -1.242647, -1.152325, -0.971682",\ + "-1.683222, -1.683222, -1.592900, -1.502578, -1.321935",\ + "-2.151158, -2.151158, -2.060836, -1.970515, -1.789871",\ + "-2.341434, -2.341434, -2.251112, -2.160790, -1.980146"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.569019, 3.155382, 2.805167, 2.337281, 2.146974",\ + "4.124678, 3.711040, 3.360826, 2.892940, 2.702633",\ + "4.680336, 4.266699, 3.916485, 3.448598, 3.258292",\ + "5.422696, 5.009059, 4.658844, 4.190958, 4.000651",\ + "5.708778, 5.295141, 4.944926, 4.477040, 4.286733"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.843007, 4.429370, 4.079155, 3.611269, 3.420962",\ + "5.296224, 4.882586, 4.532372, 4.064486, 3.874179",\ + "5.749440, 5.335803, 4.985588, 4.517702, 4.327395",\ + "6.354939, 5.941302, 5.591087, 5.123201, 4.932894",\ + "6.614195, 6.200558, 5.850344, 5.382457, 5.192151"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.246888, -1.793682, -1.340476, -0.734993, -0.475727",\ + "-2.264402, -1.811197, -1.357991, -0.752508, -0.493241",\ + "-2.281917, -1.828711, -1.375505, -0.770022, -0.510756",\ + "-2.305315, -1.852110, -1.398904, -0.793421, -0.534154",\ + "-2.260546, -1.807341, -1.354135, -0.748652, -0.489385"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.630696, -3.177491, -2.724285, -2.118802, -1.859535",\ + "-4.043698, -3.590493, -3.137287, -2.531804, -2.272537",\ + "-4.393951, -3.940746, -3.487540, -2.882057, -2.622790",\ + "-4.861888, -4.408682, -3.955476, -3.349993, -3.090727",\ + "-5.052163, -4.598958, -4.145751, -3.540268, -3.281002"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.257612, 7.257612, 7.076968, 7.016754, 6.896325",\ + "7.813286, 7.813286, 7.632642, 7.572427, 7.451998",\ + "8.368959, 8.368959, 8.188315, 8.128101, 8.007671",\ + "9.111340, 9.111340, 8.930696, 8.870481, 8.750051",\ + "9.397420, 9.397420, 9.216776, 9.156561, 9.036133"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.228561, 7.228561, 7.047917, 6.987703, 6.867274",\ + "7.681756, 7.681756, 7.501112, 7.440897, 7.320468",\ + "8.134950, 8.134950, 7.954306, 7.894091, 7.773662",\ + "8.740417, 8.740417, 8.559773, 8.499559, 8.379129",\ + "8.999684, 8.999684, 8.819040, 8.758825, 8.638397"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.451041, 0.451041, 0.541363, 0.631685, 0.812328",\ + "0.433532, 0.433532, 0.523854, 0.614175, 0.794819",\ + "0.416022, 0.416022, 0.506344, 0.596666, 0.777309",\ + "0.392630, 0.392630, 0.482952, 0.573273, 0.753917",\ + "0.437399, 0.437399, 0.527721, 0.618042, 0.798686"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.034713, -0.034713, 0.055609, 0.145931, 0.326574",\ + "-0.447909, -0.447909, -0.357587, -0.267265, -0.086622",\ + "-0.798143, -0.798143, -0.707821, -0.617499, -0.436856",\ + "-1.266057, -1.266057, -1.175735, -1.085413, -0.904769",\ + "-1.456334, -1.456334, -1.366012, -1.275690, -1.095047"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.244879, 2.831242, 2.481028, 2.013141, 1.822834",\ + "3.800554, 3.386917, 3.036702, 2.568816, 2.378509",\ + "4.356227, 3.942590, 3.592376, 3.124489, 2.934183",\ + "5.098607, 4.684969, 4.334755, 3.866868, 3.676562",\ + "5.384687, 4.971050, 4.620835, 4.152949, 3.962642"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.036969, 3.623332, 3.273118, 2.805231, 2.614924",\ + "4.490164, 4.076527, 3.726312, 3.258426, 3.068119",\ + "4.943358, 4.529721, 4.179506, 3.711620, 3.521313",\ + "5.548826, 5.135189, 4.784974, 4.317088, 4.126781",\ + "5.808093, 5.394456, 5.044241, 4.576355, 4.386048"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.420294, -1.967089, -1.513883, -0.908400, -0.649134",\ + "-2.437804, -1.984598, -1.531392, -0.925910, -0.666643",\ + "-2.455313, -2.002108, -1.548902, -0.943419, -0.684153",\ + "-2.478706, -2.025500, -1.572294, -0.966812, -0.707545",\ + "-2.433937, -1.980731, -1.527525, -0.922042, -0.662776"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.206629, -2.753424, -2.300218, -1.694735, -1.435469",\ + "-3.619825, -3.166620, -2.713414, -2.107931, -1.848665",\ + "-3.970059, -3.516854, -3.063648, -2.458165, -2.198899",\ + "-4.437973, -3.984767, -3.531561, -2.926079, -2.666812",\ + "-4.628250, -4.175045, -3.721838, -3.116356, -2.857090"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.238050, 7.238050, 7.057405, 6.997191, 6.876762",\ + "7.793723, 7.793723, 7.613079, 7.552865, 7.432436",\ + "8.349398, 8.349398, 8.168754, 8.108540, 7.988110",\ + "9.091778, 9.091778, 8.911134, 8.850920, 8.730490",\ + "9.377858, 9.377858, 9.197214, 9.136999, 9.016571"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.148046, 7.148046, 6.967402, 6.907188, 6.786759",\ + "7.601241, 7.601241, 7.420597, 7.360383, 7.239954",\ + "8.054434, 8.054434, 7.873790, 7.813575, 7.693146",\ + "8.659902, 8.659902, 8.479258, 8.419043, 8.298615",\ + "8.919168, 8.919168, 8.738523, 8.678308, 8.557880"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.197586, -0.197586, -0.107264, -0.016943, 0.163701",\ + "-0.215095, -0.215095, -0.124773, -0.034451, 0.146192",\ + "-0.232605, -0.232605, -0.142282, -0.051961, 0.128683",\ + "-0.255997, -0.255997, -0.165675, -0.075354, 0.105290",\ + "-0.211228, -0.211228, -0.120906, -0.030584, 0.150059"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.791564, -0.791564, -0.701242, -0.610921, -0.430277",\ + "-1.204766, -1.204766, -1.114444, -1.024122, -0.843479",\ + "-1.555000, -1.555000, -1.464678, -1.374357, -1.193713",\ + "-2.022913, -2.022913, -1.932591, -1.842270, -1.661626",\ + "-2.213191, -2.213191, -2.122869, -2.032547, -1.851903"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.906944, 3.493307, 3.143092, 2.675206, 2.484899",\ + "4.462618, 4.048981, 3.698766, 3.230880, 3.040573",\ + "5.018292, 4.604655, 4.254440, 3.786554, 3.596247",\ + "5.760673, 5.347035, 4.996821, 4.528934, 4.338628",\ + "6.046754, 5.633117, 5.282902, 4.815016, 4.624709"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.404198, 3.990561, 3.640347, 3.172460, 2.982153",\ + "4.857392, 4.443755, 4.093540, 3.625654, 3.435347",\ + "5.310586, 4.896949, 4.546734, 4.078848, 3.888541",\ + "5.916053, 5.502416, 5.152201, 4.684315, 4.494008",\ + "6.175319, 5.761682, 5.411467, 4.943581, 4.753274"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.541856, -2.088650, -1.635444, -1.029961, -0.770695",\ + "-2.559365, -2.106159, -1.652953, -1.047470, -0.788204",\ + "-2.576874, -2.123669, -1.670463, -1.064980, -0.805713",\ + "-2.600267, -2.147061, -1.693855, -1.088372, -0.829106",\ + "-2.555498, -2.102292, -1.649086, -1.043603, -0.784337"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.414351, -2.961145, -2.507939, -1.902456, -1.643189",\ + "-3.827552, -3.374346, -2.921140, -2.315657, -2.056391",\ + "-4.177786, -3.724581, -3.271375, -2.665892, -2.406625",\ + "-4.645700, -4.192494, -3.739288, -3.133805, -2.874538",\ + "-4.835977, -4.382771, -3.929565, -3.324082, -3.064816"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.454579, 7.454579, 7.273935, 7.213721, 7.093292",\ + "8.010262, 8.010262, 7.829618, 7.769404, 7.648975",\ + "8.565946, 8.565946, 8.385302, 8.325087, 8.204659",\ + "9.308338, 9.308338, 9.127694, 9.067480, 8.947050",\ + "9.594419, 9.594419, 9.413774, 9.353559, 9.233131"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.412443, 7.412443, 7.231799, 7.171585, 7.051156",\ + "7.865624, 7.865624, 7.684980, 7.624766, 7.504337",\ + "8.318806, 8.318806, 8.138162, 8.077948, 7.957518",\ + "8.924256, 8.924256, 8.743612, 8.683397, 8.562969",\ + "9.183528, 9.183528, 9.002884, 8.942669, 8.822241"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.440176, -0.440176, -0.349854, -0.259533, -0.078889",\ + "-0.457683, -0.457683, -0.367361, -0.277040, -0.096396",\ + "-0.475190, -0.475190, -0.384868, -0.294546, -0.113903",\ + "-0.498579, -0.498579, -0.408257, -0.317935, -0.137291",\ + "-0.453809, -0.453809, -0.363487, -0.273166, -0.092522"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.623288, -0.623288, -0.532966, -0.442645, -0.262001",\ + "-1.036600, -1.036600, -0.946278, -0.855957, -0.675313",\ + "-1.386824, -1.386824, -1.296502, -1.206180, -1.025537",\ + "-1.854722, -1.854722, -1.764400, -1.674078, -1.493435",\ + "-2.045001, -2.045001, -1.954679, -1.864357, -1.683714"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.056932, 3.643296, 3.293081, 2.825195, 2.634888",\ + "4.612616, 4.198978, 3.848764, 3.380878, 3.190571",\ + "5.168299, 4.754662, 4.404448, 3.936561, 3.746254",\ + "5.910691, 5.497054, 5.146839, 4.678953, 4.488647",\ + "6.196772, 5.783134, 5.432920, 4.965034, 4.774727"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.034270, 4.620633, 4.270418, 3.802532, 3.612225",\ + "5.487452, 5.073814, 4.723599, 4.255713, 4.065407",\ + "5.940634, 5.526997, 5.176782, 4.708896, 4.518589",\ + "6.546084, 6.132447, 5.782232, 5.314346, 5.124040",\ + "6.805356, 6.391719, 6.041504, 5.573618, 5.383311"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.260851, -2.807646, -2.354439, -1.748957, -1.489690",\ + "-3.278358, -2.825152, -2.371946, -1.766463, -1.507197",\ + "-3.295864, -2.842659, -2.389453, -1.783970, -1.524704",\ + "-3.319253, -2.866048, -2.412842, -1.807359, -1.548092",\ + "-3.274484, -2.821278, -2.368072, -1.762589, -1.503323"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.678319, -3.225114, -2.771908, -2.166425, -1.907158",\ + "-4.091631, -3.638426, -3.185220, -2.579737, -2.320470",\ + "-4.441854, -3.988649, -3.535443, -2.929960, -2.670694",\ + "-4.909753, -4.456548, -4.003342, -3.397859, -3.138592",\ + "-5.100032, -4.646827, -4.193621, -3.588138, -3.328871"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.259642, 7.259642, 7.078998, 7.018783, 6.898354",\ + "7.815327, 7.815327, 7.634683, 7.574468, 7.454039",\ + "8.371012, 8.371012, 8.190367, 8.130152, 8.009724",\ + "9.113407, 9.113407, 8.932762, 8.872547, 8.752119",\ + "9.399487, 9.399487, 9.218842, 9.158627, 9.038198"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.440775, 7.440775, 7.260131, 7.199916, 7.079487",\ + "7.893954, 7.893954, 7.713310, 7.653095, 7.532666",\ + "8.347132, 8.347132, 8.166489, 8.106274, 7.985844",\ + "8.952578, 8.952578, 8.771935, 8.711720, 8.591290",\ + "9.211851, 9.211851, 9.031206, 8.970991, 8.850563"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.321797, -0.321797, -0.231475, -0.141153, 0.039490",\ + "-0.339303, -0.339303, -0.248981, -0.158659, 0.021985",\ + "-0.356809, -0.356809, -0.266487, -0.176165, 0.004478",\ + "-0.380196, -0.380196, -0.289874, -0.199553, -0.018909",\ + "-0.335427, -0.335427, -0.245105, -0.154784, 0.025860"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.006005, -1.006005, -0.915683, -0.825361, -0.644718",\ + "-1.419349, -1.419349, -1.329027, -1.238706, -1.058062",\ + "-1.769570, -1.769570, -1.679248, -1.588926, -1.408283",\ + "-2.237464, -2.237464, -2.147142, -2.056821, -1.876177",\ + "-2.427743, -2.427743, -2.337421, -2.247100, -2.066456"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.639847, 3.226210, 2.875995, 2.408109, 2.217802",\ + "4.195532, 3.781895, 3.431680, 2.963794, 2.773487",\ + "4.751217, 4.337580, 3.987365, 3.519479, 3.329172",\ + "5.493613, 5.079976, 4.729761, 4.261875, 4.071568",\ + "5.779692, 5.366055, 5.015841, 4.547954, 4.357647"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.857670, 4.444033, 4.093818, 3.625932, 3.435625",\ + "5.310848, 4.897211, 4.546997, 4.079110, 3.888803",\ + "5.764026, 5.350389, 5.000175, 4.532288, 4.341981",\ + "6.369473, 5.955836, 5.605621, 5.137735, 4.947428",\ + "6.628747, 6.215109, 5.864895, 5.397008, 5.206702"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.677231, -2.224026, -1.770820, -1.165337, -0.906071",\ + "-2.694737, -2.241531, -1.788325, -1.182843, -0.923577",\ + "-2.712243, -2.259037, -1.805831, -1.200349, -0.941083",\ + "-2.735631, -2.282425, -1.829219, -1.223736, -0.964470",\ + "-2.690862, -2.237656, -1.784450, -1.178967, -0.919702"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.600936, -3.147730, -2.694524, -2.089042, -1.829776",\ + "-4.014280, -3.561075, -3.107869, -2.502386, -2.243120",\ + "-4.364501, -3.911295, -3.458089, -2.852607, -2.593341",\ + "-4.832396, -4.379190, -3.925984, -3.320501, -3.061235",\ + "-5.022675, -4.569469, -4.116263, -3.510780, -3.251514"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.756055, 6.756055, 6.575411, 6.515197, 6.394768",\ + "7.311734, 7.311734, 7.131090, 7.070875, 6.950446",\ + "7.867413, 7.867413, 7.686769, 7.626554, 7.506125",\ + "8.609800, 8.609800, 8.429155, 8.368941, 8.248512",\ + "8.895880, 8.895880, 8.715235, 8.655021, 8.534592"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.939430, 6.939430, 6.758786, 6.698571, 6.578142",\ + "7.392617, 7.392617, 7.211973, 7.151758, 7.031329",\ + "7.845804, 7.845804, 7.665160, 7.604945, 7.484516",\ + "8.451262, 8.451262, 8.270617, 8.210402, 8.089973",\ + "8.710531, 8.710531, 8.529886, 8.469672, 8.349243"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.492837, -0.492837, -0.402515, -0.312194, -0.131550",\ + "-0.510345, -0.510345, -0.420023, -0.329702, -0.149058",\ + "-0.527853, -0.527853, -0.437531, -0.347210, -0.166566",\ + "-0.551244, -0.551244, -0.460922, -0.370600, -0.189957",\ + "-0.506474, -0.506474, -0.416152, -0.325831, -0.145187"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.134449, -1.134449, -1.044127, -0.953805, -0.773161",\ + "-1.547711, -1.547711, -1.457389, -1.367068, -1.186424",\ + "-1.897940, -1.897940, -1.807618, -1.717296, -1.536653",\ + "-2.365844, -2.365844, -2.275522, -2.185201, -2.004557",\ + "-2.556122, -2.556122, -2.465800, -2.375479, -2.194835"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.836176, 3.422539, 3.072325, 2.604438, 2.414131",\ + "4.391855, 3.978218, 3.628004, 3.160117, 2.969811",\ + "4.947535, 4.533897, 4.183683, 3.715797, 3.525490",\ + "5.689923, 5.276286, 4.926072, 4.458185, 4.267879",\ + "5.976004, 5.562366, 5.212152, 4.744266, 4.553959"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.909808, 4.496171, 4.145956, 3.678070, 3.487763",\ + "5.362995, 4.949358, 4.599143, 4.131257, 3.940950",\ + "5.816182, 5.402545, 5.052331, 4.584444, 4.394137",\ + "6.421640, 6.008003, 5.657789, 5.189902, 4.999596",\ + "6.680909, 6.267272, 5.917058, 5.449171, 5.258864"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.886544, -2.433339, -1.980133, -1.374650, -1.115384",\ + "-2.904053, -2.450847, -1.997641, -1.392159, -1.132893",\ + "-2.921561, -2.468355, -2.015149, -1.409666, -1.150400",\ + "-2.944951, -2.491746, -2.038540, -1.433057, -1.173791",\ + "-2.900182, -2.446976, -1.993770, -1.388288, -1.129022"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.839083, -3.385877, -2.932671, -2.327188, -2.067923",\ + "-4.252346, -3.799140, -3.345934, -2.740451, -2.481185",\ + "-4.602574, -4.149368, -3.696162, -3.090680, -2.831414",\ + "-5.070478, -4.617273, -4.164067, -3.558584, -3.299318",\ + "-5.260756, -4.807551, -4.354345, -3.748862, -3.489596"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.920947, 6.920947, 6.740303, 6.680088, 6.559659",\ + "7.476620, 7.476620, 7.295976, 7.235761, 7.115332",\ + "8.032293, 8.032293, 7.851649, 7.791434, 7.671005",\ + "8.774673, 8.774673, 8.594028, 8.533813, 8.413385",\ + "9.060751, 9.060751, 8.880108, 8.819893, 8.699463"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.069345, 7.069345, 6.888701, 6.828486, 6.708057",\ + "7.522547, 7.522547, 7.341903, 7.281688, 7.161259",\ + "7.975750, 7.975750, 7.795106, 7.734891, 7.614462",\ + "8.581228, 8.581228, 8.400585, 8.340370, 8.219940",\ + "8.840496, 8.840496, 8.659851, 8.599636, 8.479208"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.373936, 1.373936, 1.464258, 1.554579, 1.735223",\ + "1.356443, 1.356443, 1.446765, 1.537086, 1.717730",\ + "1.338950, 1.338950, 1.429272, 1.519593, 1.700237",\ + "1.315579, 1.315579, 1.405901, 1.496222, 1.676866",\ + "1.360348, 1.360348, 1.450670, 1.540992, 1.721635"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.725161, 0.725161, 0.815483, 0.905804, 1.086448",\ + "0.311544, 0.311544, 0.401866, 0.492188, 0.672832",\ + "-0.038670, -0.038670, 0.051652, 0.141973, 0.322617",\ + "-0.506557, -0.506557, -0.416235, -0.325913, -0.145270",\ + "-0.696861, -0.696861, -0.606539, -0.516217, -0.335574"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.205020, 1.791383, 1.441169, 0.973282, 0.782976",\ + "2.760694, 2.347057, 1.996842, 1.528956, 1.338649",\ + "3.316368, 2.902731, 2.552516, 2.084630, 1.894323",\ + "4.058747, 3.645110, 3.294896, 2.827009, 2.636703",\ + "4.344826, 3.931189, 3.580975, 3.113088, 2.922781"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.267353, 2.853715, 2.503501, 2.035614, 1.845308",\ + "3.720555, 3.306918, 2.956704, 2.488817, 2.298511",\ + "4.173758, 3.760120, 3.409906, 2.942019, 2.751713",\ + "4.779236, 4.365599, 4.015385, 3.547498, 3.357192",\ + "5.038504, 4.624866, 4.274652, 3.806766, 3.616459"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.497400, -1.044194, -0.590988, 0.014494, 0.273761",\ + "-1.514893, -1.061687, -0.608481, -0.002999, 0.256268",\ + "-1.532386, -1.079180, -0.625974, -0.020492, 0.238775",\ + "-1.555757, -1.102551, -0.649345, -0.043863, 0.215404",\ + "-1.510988, -1.057782, -0.604576, 0.000906, 0.260173"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.446756, -1.993551, -1.540345, -0.934862, -0.675596",\ + "-2.860373, -2.407167, -1.953961, -1.348478, -1.089212",\ + "-3.210587, -2.757381, -2.304175, -1.698693, -1.439426",\ + "-3.678474, -3.225268, -2.772062, -2.166580, -1.907313",\ + "-3.868778, -3.415572, -2.962366, -2.356884, -2.097617"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.809247, 6.809247, 6.628603, 6.568389, 6.447960",\ + "7.364933, 7.364933, 7.184289, 7.124074, 7.003645",\ + "7.920619, 7.920619, 7.739974, 7.679760, 7.559331",\ + "8.663013, 8.663013, 8.482370, 8.422155, 8.301726",\ + "8.949093, 8.949093, 8.768448, 8.708234, 8.587805"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.967974, 6.967974, 6.787330, 6.727116, 6.606687",\ + "7.421157, 7.421157, 7.240513, 7.180299, 7.059870",\ + "7.874341, 7.874341, 7.693696, 7.633482, 7.513053",\ + "8.479794, 8.479794, 8.299150, 8.238935, 8.118506",\ + "8.739067, 8.739067, 8.558422, 8.498208, 8.377779"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.564902, 1.564902, 1.655224, 1.745546, 1.926189",\ + "1.547401, 1.547401, 1.637723, 1.728045, 1.908689",\ + "1.529901, 1.529901, 1.620223, 1.710544, 1.891188",\ + "1.506520, 1.506520, 1.596842, 1.687164, 1.867807",\ + "1.551289, 1.551289, 1.641611, 1.731933, 1.912576"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.503933, 1.503933, 1.594255, 1.684577, 1.865220",\ + "1.090450, 1.090450, 1.180772, 1.271094, 1.451737",\ + "0.740235, 0.740235, 0.830557, 0.920879, 1.101522",\ + "0.272348, 0.272348, 0.362670, 0.452991, 0.633635",\ + "0.082059, 0.082059, 0.172381, 0.262703, 0.443347"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.596900, 1.183262, 0.833048, 0.365161, 0.174855",\ + "2.152586, 1.738949, 1.388734, 0.920848, 0.730541",\ + "2.708271, 2.294634, 1.944419, 1.476533, 1.286226",\ + "3.450667, 3.037030, 2.686816, 2.218929, 2.028623",\ + "3.736746, 3.323109, 2.972894, 2.505008, 2.314701"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.393085, 1.979447, 1.629233, 1.161346, 0.971040",\ + "2.846267, 2.432630, 2.082416, 1.614529, 1.424222",\ + "3.299451, 2.885814, 2.535600, 2.067713, 1.877407",\ + "3.904905, 3.491268, 3.141053, 2.673167, 2.482860",\ + "4.164178, 3.750541, 3.400327, 2.932440, 2.742134"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.867593, -0.414387, 0.038819, 0.644302, 0.903568",\ + "-0.885094, -0.431888, 0.021318, 0.626801, 0.886067",\ + "-0.902594, -0.449389, 0.003817, 0.609300, 0.868567",\ + "-0.925975, -0.472769, -0.019563, 0.585920, 0.845186",\ + "-0.881206, -0.428000, 0.025206, 0.630689, 0.889955"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.547300, -1.094094, -0.640888, -0.035405, 0.223861",\ + "-1.960782, -1.507576, -1.054370, -0.448887, -0.189621",\ + "-2.310997, -1.857791, -1.404585, -0.799102, -0.539836",\ + "-2.778884, -2.325678, -1.872472, -1.266989, -1.007723",\ + "-2.969173, -2.515967, -2.062761, -1.457278, -1.198012"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.032512, 7.032512, 6.851868, 6.791653, 6.671224",\ + "7.588188, 7.588188, 7.407544, 7.347330, 7.226901",\ + "8.143864, 8.143864, 7.963220, 7.903005, 7.782576",\ + "8.886247, 8.886247, 8.705603, 8.645388, 8.524960",\ + "9.172325, 9.172325, 8.991681, 8.931467, 8.811037"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.039083, 7.039083, 6.858438, 6.798224, 6.677795",\ + "7.492282, 7.492282, 7.311638, 7.251423, 7.130994",\ + "7.945481, 7.945481, 7.764837, 7.704623, 7.584194",\ + "8.550957, 8.550957, 8.370313, 8.310099, 8.189669",\ + "8.810225, 8.810225, 8.629581, 8.569366, 8.448938"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.479189, 1.479189, 1.569511, 1.659833, 1.840477",\ + "1.461695, 1.461695, 1.552017, 1.642339, 1.822982",\ + "1.444201, 1.444201, 1.534523, 1.624844, 1.805488",\ + "1.420828, 1.420828, 1.511150, 1.601472, 1.782115",\ + "1.465597, 1.465597, 1.555919, 1.646241, 1.826885"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.926133, 0.926133, 1.016455, 1.106777, 1.287420",\ + "0.512539, 0.512539, 0.602861, 0.693182, 0.873826",\ + "0.162325, 0.162325, 0.252647, 0.342968, 0.523612",\ + "-0.305563, -0.305563, -0.215241, -0.124919, 0.055724",\ + "-0.495865, -0.495865, -0.405543, -0.315221, -0.134578"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.210325, 1.796688, 1.446473, 0.978587, 0.788280",\ + "2.766000, 2.352363, 2.002148, 1.534262, 1.343955",\ + "3.321676, 2.908038, 2.557824, 2.089937, 1.899631",\ + "4.064059, 3.650422, 3.300207, 2.832321, 2.642014",\ + "4.350138, 3.936501, 3.586286, 3.118400, 2.928093"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.988609, 2.574971, 2.224757, 1.756871, 1.566564",\ + "3.441808, 3.028170, 2.677956, 2.210069, 2.019763",\ + "3.895008, 3.481371, 3.131156, 2.663270, 2.472963",\ + "4.500483, 4.086845, 3.736631, 3.268744, 3.078438",\ + "4.759750, 4.346113, 3.995899, 3.528013, 3.337706"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.341591, -0.888385, -0.435179, 0.170304, 0.429570",\ + "-1.359085, -0.905879, -0.452673, 0.152810, 0.412076",\ + "-1.376580, -0.923374, -0.470168, 0.135315, 0.394581",\ + "-1.399952, -0.946746, -0.493540, 0.111943, 0.371209",\ + "-1.355183, -0.901977, -0.448771, 0.156712, 0.415978"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.128908, -1.675703, -1.222497, -0.617014, -0.357748",\ + "-2.542502, -2.089296, -1.636090, -1.030607, -0.771341",\ + "-2.892716, -2.439511, -1.986305, -1.380822, -1.121555",\ + "-3.360604, -2.907398, -2.454192, -1.848709, -1.589443",\ + "-3.550905, -3.097700, -2.644494, -2.039011, -1.779745"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.158994, 7.158994, 6.978350, 6.918136, 6.797707",\ + "7.714682, 7.714682, 7.534038, 7.473824, 7.353395",\ + "8.270370, 8.270370, 8.089725, 8.029511, 7.909082",\ + "9.012770, 9.012770, 8.832125, 8.771911, 8.651482",\ + "9.298847, 9.298847, 9.118204, 9.057989, 8.937560"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.213889, 7.213889, 7.033245, 6.973030, 6.852601",\ + "7.667069, 7.667069, 7.486425, 7.426210, 7.305781",\ + "8.120249, 8.120249, 7.939605, 7.879391, 7.758962",\ + "8.725695, 8.725695, 8.545052, 8.484837, 8.364408",\ + "8.984970, 8.984970, 8.804325, 8.744111, 8.623682"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.575699, 1.575699, 1.666021, 1.756343, 1.936986",\ + "1.558197, 1.558197, 1.648519, 1.738841, 1.919484",\ + "1.540695, 1.540695, 1.631017, 1.721339, 1.901982",\ + "1.517312, 1.517312, 1.607634, 1.697956, 1.878599",\ + "1.562081, 1.562081, 1.652403, 1.742725, 1.923368"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.042689, 1.042689, 1.133011, 1.223332, 1.403976",\ + "0.629233, 0.629233, 0.719555, 0.809876, 0.990520",\ + "0.279018, 0.279018, 0.369340, 0.459661, 0.640305",\ + "-0.188869, -0.188869, -0.098547, -0.008226, 0.172418",\ + "-0.379155, -0.379155, -0.288833, -0.198512, -0.017868"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.915101, 1.501463, 1.151249, 0.683362, 0.493056",\ + "2.470788, 2.057151, 1.706937, 1.239050, 1.048744",\ + "3.026477, 2.612840, 2.262625, 1.794739, 1.604432",\ + "3.768876, 3.355239, 3.005024, 2.537138, 2.346831",\ + "4.054955, 3.641318, 3.291104, 2.823217, 2.632911"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.775319, 2.361682, 2.011467, 1.543581, 1.353274",\ + "3.228498, 2.814861, 2.464647, 1.996760, 1.806454",\ + "3.681678, 3.268041, 2.917827, 2.449940, 2.259634",\ + "4.287126, 3.873489, 3.523274, 3.055388, 2.865081",\ + "4.546400, 4.132763, 3.782548, 3.314662, 3.124355"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.779734, -0.326529, 0.126678, 0.732160, 0.991426",\ + "-0.797236, -0.344031, 0.109175, 0.714658, 0.973924",\ + "-0.814738, -0.361533, 0.091673, 0.697156, 0.956422",\ + "-0.838121, -0.384916, 0.068290, 0.673773, 0.933039",\ + "-0.793352, -0.340147, 0.113060, 0.718542, 0.977808"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.552243, -1.099038, -0.645832, -0.040349, 0.218917",\ + "-1.965698, -1.512493, -1.059287, -0.453804, -0.194538",\ + "-2.315913, -1.862708, -1.409502, -0.804019, -0.544753",\ + "-2.783801, -2.330595, -1.877389, -1.271906, -1.012640",\ + "-2.974086, -2.520881, -2.067675, -1.462192, -1.202926"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.083311, 7.083311, 6.902667, 6.842452, 6.722023",\ + "7.639001, 7.639001, 7.458357, 7.398142, 7.277713",\ + "8.194691, 8.194691, 8.014047, 7.953832, 7.833403",\ + "8.937091, 8.937091, 8.756447, 8.696232, 8.575803",\ + "9.223170, 9.223170, 9.042526, 8.982311, 8.861882"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.996106, 6.996106, 6.815462, 6.755247, 6.634818",\ + "7.449278, 7.449278, 7.268634, 7.208419, 7.087990",\ + "7.902449, 7.902449, 7.721805, 7.661590, 7.541161",\ + "8.507886, 8.507886, 8.327242, 8.267027, 8.146598",\ + "8.767162, 8.767162, 8.586518, 8.526303, 8.405874"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.642209, 1.642209, 1.732531, 1.822853, 2.003496",\ + "1.624704, 1.624704, 1.715026, 1.805348, 1.985991",\ + "1.607200, 1.607200, 1.697522, 1.787844, 1.968487",\ + "1.583814, 1.583814, 1.674136, 1.764458, 1.945101",\ + "1.628583, 1.628583, 1.718905, 1.809227, 1.989870"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.564943, 0.564943, 0.655265, 0.745586, 0.926230",\ + "0.151541, 0.151541, 0.241863, 0.332185, 0.512828",\ + "-0.198674, -0.198674, -0.108352, -0.018030, 0.162613",\ + "-0.666561, -0.666561, -0.576239, -0.485918, -0.305274",\ + "-0.856841, -0.856841, -0.766519, -0.676197, -0.495553"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.542641, 1.129004, 0.778789, 0.310903, 0.120596",\ + "2.098330, 1.684693, 1.334479, 0.866592, 0.676286",\ + "2.654020, 2.240383, 1.890168, 1.422282, 1.231975",\ + "3.396421, 2.982784, 2.632570, 2.164683, 1.974376",\ + "3.682501, 3.268863, 2.918649, 2.450763, 2.260456"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.940850, 2.527213, 2.176999, 1.709112, 1.518806",\ + "3.394023, 2.980386, 2.630171, 2.162285, 1.971978",\ + "3.847194, 3.433557, 3.083342, 2.615456, 2.425149",\ + "4.452632, 4.038995, 3.688781, 3.220894, 3.030587",\ + "4.711908, 4.298271, 3.948056, 3.480170, 3.289863"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.751498, -0.298292, 0.154914, 0.760397, 1.019663",\ + "-0.769002, -0.315797, 0.137409, 0.742892, 1.002158",\ + "-0.786506, -0.333301, 0.119905, 0.725388, 0.984654",\ + "-0.809892, -0.356687, 0.096519, 0.702002, 0.961268",\ + "-0.765124, -0.311918, 0.141288, 0.746771, 1.006037"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.139692, -1.686486, -1.233280, -0.627797, -0.368531",\ + "-2.553093, -2.099888, -1.646682, -1.041199, -0.781933",\ + "-2.903308, -2.450103, -1.996897, -1.391414, -1.132148",\ + "-3.371196, -2.917990, -2.464784, -1.859301, -1.600035",\ + "-3.561475, -3.108269, -2.655063, -2.049581, -1.790315"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.268925, 7.268925, 7.088281, 7.028066, 6.907637",\ + "7.824605, 7.824605, 7.643960, 7.583745, 7.463316",\ + "8.380285, 8.380285, 8.199640, 8.139425, 8.018997",\ + "9.122673, 9.122673, 8.942028, 8.881813, 8.761385",\ + "9.408752, 9.408752, 9.228107, 9.167892, 9.047464"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.445862, 7.445862, 7.265218, 7.205003, 7.084574",\ + "7.899055, 7.899055, 7.718411, 7.658196, 7.537767",\ + "8.352247, 8.352247, 8.171604, 8.111389, 7.990960",\ + "8.957712, 8.957712, 8.777069, 8.716854, 8.596424",\ + "9.216984, 9.216984, 9.036339, 8.976124, 8.855696"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.738754, 1.738754, 1.829076, 1.919397, 2.100041",\ + "1.721257, 1.721257, 1.811579, 1.901900, 2.082544",\ + "1.703760, 1.703760, 1.794082, 1.884403, 2.065047",\ + "1.680384, 1.680384, 1.770706, 1.861027, 2.041671",\ + "1.725153, 1.725153, 1.815475, 1.905796, 2.086440"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.172450, 1.172450, 1.262772, 1.353094, 1.533737",\ + "0.758903, 0.758903, 0.849225, 0.939546, 1.120190",\ + "0.408689, 0.408689, 0.499011, 0.589332, 0.769976",\ + "-0.059198, -0.059198, 0.031124, 0.121445, 0.302089",\ + "-0.249495, -0.249495, -0.159173, -0.068851, 0.111792"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.469611, 1.055974, 0.705760, 0.237873, 0.047567",\ + "2.025292, 1.611655, 1.261440, 0.793554, 0.603247",\ + "2.580971, 2.167334, 1.817120, 1.349233, 1.158927",\ + "3.323360, 2.909723, 2.559508, 2.091622, 1.901315",\ + "3.609439, 3.195802, 2.845587, 2.377701, 2.187394"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.304827, 1.891190, 1.540975, 1.073089, 0.882782",\ + "2.758019, 2.344382, 1.994168, 1.526281, 1.335974",\ + "3.211212, 2.797575, 2.447361, 1.979474, 1.789168",\ + "3.816678, 3.403041, 3.052827, 2.584940, 2.394634",\ + "4.075948, 3.662311, 3.312096, 2.844210, 2.653903"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.629838, -0.176633, 0.276573, 0.882056, 1.141323",\ + "-0.647335, -0.194130, 0.259076, 0.864559, 1.123826",\ + "-0.664832, -0.211627, 0.241579, 0.847062, 1.106328",\ + "-0.688208, -0.235003, 0.218203, 0.823686, 1.082952",\ + "-0.643439, -0.190234, 0.262972, 0.868455, 1.127722"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.396268, -0.943063, -0.489856, 0.115626, 0.374893",\ + "-1.809817, -1.356611, -0.903405, -0.297922, -0.038656",\ + "-2.160031, -1.706825, -1.253619, -0.648136, -0.388870",\ + "-2.627918, -2.174712, -1.721506, -1.116023, -0.856757",\ + "-2.818214, -2.365009, -1.911803, -1.306320, -1.047053"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.181102, 7.181102, 7.000458, 6.940243, 6.819814",\ + "7.736773, 7.736773, 7.556129, 7.495914, 7.375485",\ + "8.292442, 8.292442, 8.111799, 8.051584, 7.931155",\ + "9.034819, 9.034819, 8.854176, 8.793961, 8.673531",\ + "9.320898, 9.320898, 9.140253, 9.080038, 8.959610"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.384574, 7.384574, 7.203930, 7.143715, 7.023286",\ + "7.837781, 7.837781, 7.657137, 7.596922, 7.476493",\ + "8.290989, 8.290989, 8.110344, 8.050129, 7.929700",\ + "8.896475, 8.896475, 8.715830, 8.655615, 8.535187",\ + "9.155741, 9.155741, 8.975096, 8.914881, 8.794453"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.913167, 1.913167, 2.003489, 2.093811, 2.274454",\ + "1.895676, 1.895676, 1.985998, 2.076320, 2.256963",\ + "1.878185, 1.878185, 1.968507, 2.058828, 2.239472",\ + "1.854816, 1.854816, 1.945138, 2.035460, 2.216104",\ + "1.899586, 1.899586, 1.989908, 2.080229, 2.260873"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.740541, 1.740541, 1.830863, 1.921185, 2.101828",\ + "1.326892, 1.326892, 1.417214, 1.507536, 1.688179",\ + "0.976678, 0.976678, 1.067000, 1.157321, 1.337965",\ + "0.508791, 0.508791, 0.599113, 0.689435, 0.870078",\ + "0.318482, 0.318482, 0.408804, 0.499126, 0.679770"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.318915, 0.905278, 0.555063, 0.087177, -0.103130",\ + "1.874586, 1.460948, 1.110734, 0.642848, 0.452541",\ + "2.430257, 2.016620, 1.666405, 1.198519, 1.008212",\ + "3.172633, 2.758996, 2.408782, 1.940895, 1.750588",\ + "3.458713, 3.045075, 2.694861, 2.226974, 2.036668"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.371244, 1.957607, 1.607392, 1.139506, 0.949199",\ + "2.824452, 2.410815, 2.060600, 1.592714, 1.402407",\ + "3.277659, 2.864022, 2.513807, 2.045921, 1.855614",\ + "3.883144, 3.469507, 3.119292, 2.651406, 2.461099",\ + "4.142410, 3.728773, 3.378558, 2.910672, 2.720365"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.582932, -0.129726, 0.323480, 0.928962, 1.188228",\ + "-0.600423, -0.147217, 0.305989, 0.911471, 1.170738",\ + "-0.617914, -0.164709, 0.288497, 0.893980, 1.153246",\ + "-0.641283, -0.188077, 0.265129, 0.870611, 1.129878",\ + "-0.596513, -0.143308, 0.309898, 0.915381, 1.174647"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.596161, -1.142955, -0.689749, -0.084267, 0.175000",\ + "-2.009809, -1.556604, -1.103398, -0.497915, -0.238649",\ + "-2.360024, -1.906818, -1.453612, -0.848130, -0.588863",\ + "-2.827911, -2.374705, -1.921499, -1.316017, -1.056750",\ + "-3.018218, -2.565013, -2.111807, -1.506324, -1.247058"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.096836, 7.096836, 6.916192, 6.855977, 6.735548",\ + "7.652501, 7.652501, 7.471857, 7.411642, 7.291213",\ + "8.208166, 8.208166, 8.027523, 7.967308, 7.846879",\ + "8.950537, 8.950537, 8.769892, 8.709678, 8.589249",\ + "9.236614, 9.236614, 9.055971, 8.995756, 8.875327"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.298450, 7.298450, 7.117806, 7.057591, 6.937162",\ + "7.751667, 7.751667, 7.571023, 7.510808, 7.390379",\ + "8.204882, 8.204882, 8.024239, 7.964024, 7.843595",\ + "8.810377, 8.810377, 8.629734, 8.569519, 8.449090",\ + "9.069641, 9.069641, 8.888998, 8.828783, 8.708354"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.277018, 2.277018, 2.367340, 2.457662, 2.638305",\ + "2.259530, 2.259530, 2.349852, 2.440174, 2.620817",\ + "2.242042, 2.242042, 2.332364, 2.422686, 2.603329",\ + "2.218678, 2.218678, 2.309000, 2.399322, 2.579965",\ + "2.263447, 2.263447, 2.353769, 2.444091, 2.624734"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.385675, 1.385675, 1.475997, 1.566319, 1.746963",\ + "0.971970, 0.971970, 1.062292, 1.152613, 1.333257",\ + "0.621755, 0.621755, 0.712077, 0.802399, 0.983042",\ + "0.153869, 0.153869, 0.244191, 0.334513, 0.515156",\ + "-0.036445, -0.036445, 0.053877, 0.144198, 0.324842"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.900443, 0.486806, 0.136591, -0.331295, -0.521602",\ + "1.456109, 1.042472, 0.692258, 0.224371, 0.034065",\ + "2.011775, 1.598138, 1.247923, 0.780037, 0.589730",\ + "2.754145, 2.340508, 1.990294, 1.522407, 1.332100",\ + "3.040224, 2.626586, 2.276372, 1.808486, 1.618179"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.171280, 1.757643, 1.407428, 0.939542, 0.749235",\ + "2.624496, 2.210859, 1.860644, 1.392758, 1.202451",\ + "3.077711, 2.664073, 2.313859, 1.845973, 1.655666",\ + "3.683207, 3.269570, 2.919355, 2.451469, 2.261162",\ + "3.942471, 3.528834, 3.178619, 2.710733, 2.520426"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.123387, 0.329819, 0.783025, 1.388508, 1.647774",\ + "-0.140875, 0.312331, 0.765537, 1.371020, 1.630286",\ + "-0.158363, 0.294843, 0.748049, 1.353532, 1.612798",\ + "-0.181727, 0.271478, 0.724684, 1.330168, 1.589434",\ + "-0.136958, 0.316247, 0.769454, 1.374937, 1.634203"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.325048, -0.871842, -0.418636, 0.186847, 0.446113",\ + "-1.738753, -1.285548, -0.832342, -0.226859, 0.032408",\ + "-2.088968, -1.635762, -1.182556, -0.577073, -0.317807",\ + "-2.556854, -2.103648, -1.650442, -1.044959, -0.785693",\ + "-2.747168, -2.293962, -1.840756, -1.235273, -0.976007"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.375325, 7.375325, 7.194681, 7.134466, 7.014037",\ + "7.930996, 7.930996, 7.750352, 7.690137, 7.569708",\ + "8.486667, 8.486667, 8.306023, 8.245808, 8.125379",\ + "9.229043, 9.229043, 9.048399, 8.988184, 8.867755",\ + "9.515121, 9.515121, 9.334477, 9.274262, 9.153833"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.556536, 7.556536, 7.375892, 7.315677, 7.195248",\ + "8.009744, 8.009744, 7.829100, 7.768885, 7.648456",\ + "8.462951, 8.462951, 8.282307, 8.222092, 8.101663",\ + "9.068436, 9.068436, 8.887792, 8.827577, 8.707148",\ + "9.327702, 9.327702, 9.147058, 9.086843, 8.966413"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.320292, 2.320292, 2.410614, 2.500936, 2.681580",\ + "2.302801, 2.302801, 2.393123, 2.483445, 2.664088",\ + "2.285310, 2.285310, 2.375632, 2.465954, 2.646597",\ + "2.261942, 2.261942, 2.352264, 2.442585, 2.623229",\ + "2.306711, 2.306711, 2.397033, 2.487354, 2.667998"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.868623, 1.868623, 1.958945, 2.049266, 2.229910",\ + "1.454973, 1.454973, 1.545295, 1.635616, 1.816260",\ + "1.104758, 1.104758, 1.195080, 1.285402, 1.466045",\ + "0.636872, 0.636872, 0.727194, 0.817515, 0.998159",\ + "0.446564, 0.446564, 0.536886, 0.627207, 0.807851"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.318559, 0.904922, 0.554707, 0.086821, -0.103486",\ + "1.874229, 1.460592, 1.110378, 0.642491, 0.452185",\ + "2.429900, 2.016263, 1.666049, 1.198162, 1.007855",\ + "3.172276, 2.758639, 2.408425, 1.940538, 1.750232",\ + "3.458355, 3.044718, 2.694504, 2.226617, 2.036311"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.339705, 1.926068, 1.575853, 1.107967, 0.917660",\ + "2.792912, 2.379275, 2.029060, 1.561174, 1.370867",\ + "3.246120, 2.832483, 2.482268, 2.014382, 1.824075",\ + "3.851606, 3.437969, 3.087754, 2.619868, 2.429561",\ + "4.110872, 3.697235, 3.347020, 2.879134, 2.688827"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.551044, -0.097838, 0.355368, 0.960851, 1.220117",\ + "-0.568535, -0.115329, 0.337877, 0.943360, 1.202626",\ + "-0.586026, -0.132820, 0.320386, 0.925868, 1.185135",\ + "-0.609394, -0.156189, 0.297017, 0.902500, 1.161766",\ + "-0.564625, -0.111420, 0.341786, 0.947269, 1.206535"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.513263, -1.060057, -0.606851, -0.001369, 0.257898",\ + "-1.926913, -1.473707, -1.020501, -0.415019, -0.155752",\ + "-2.277127, -1.823922, -1.370716, -0.765233, -0.505967",\ + "-2.745014, -2.291808, -1.838602, -1.233119, -0.973853",\ + "-2.935322, -2.482117, -2.028911, -1.423428, -1.164162"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.396260"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.620575"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.456016, 7.456016, 7.275372, 7.215157, 7.094728",\ + "8.011649, 8.011649, 7.831005, 7.770791, 7.650362",\ + "8.567282, 8.567282, 8.386638, 8.326424, 8.205994",\ + "9.309608, 9.309608, 9.128964, 9.068750, 8.948320",\ + "9.595687, 9.595687, 9.415043, 9.354828, 9.234400"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.619841, 7.619841, 7.439197, 7.378982, 7.258553",\ + "8.073110, 8.073110, 7.892466, 7.832251, 7.711822",\ + "8.526378, 8.526378, 8.345734, 8.285519, 8.165091",\ + "9.131946, 9.131946, 8.951302, 8.891087, 8.770658",\ + "9.391193, 9.391193, 9.210549, 9.150335, 9.029905"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.947556, 1.947556, 2.037878, 2.128200, 2.308843",\ + "1.933722, 1.933722, 2.024044, 2.114366, 2.295009",\ + "1.912691, 1.912691, 2.003013, 2.093335, 2.273978",\ + "1.889425, 1.889425, 1.979747, 2.070069, 2.250712",\ + "1.934194, 1.934194, 2.024516, 2.114838, 2.295481"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.168916, 1.168916, 1.259238, 1.349559, 1.530203",\ + "0.828845, 0.828845, 0.919167, 1.009488, 1.190132",\ + "0.407187, 0.407187, 0.497509, 0.587831, 0.768474",\ + "-0.059297, -0.059297, 0.031025, 0.121347, 0.301991",\ + "-0.249653, -0.249653, -0.159331, -0.069010, 0.111634"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.787993, 3.770501, 3.753009, 3.729640, 3.774409",\ + "4.343626, 4.326134, 4.308642, 4.285273, 4.330042",\ + "4.899261, 4.881768, 4.864277, 4.840908, 4.885676",\ + "5.641588, 5.624096, 5.606605, 5.583235, 5.628004",\ + "5.927667, 5.910174, 5.892683, 5.869314, 5.914083"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.315439, 4.297947, 4.280456, 4.257086, 4.301855",\ + "4.768708, 4.751216, 4.733725, 4.710355, 4.755124",\ + "5.221977, 5.204485, 5.186994, 5.163624, 5.208393",\ + "5.827545, 5.810053, 5.792562, 5.769192, 5.813961",\ + "6.086793, 6.069301, 6.051809, 6.028440, 6.073209"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.672384, 5.258747, 4.908532, 4.440646, 4.250340",\ + "6.228018, 5.814381, 5.464166, 4.996280, 4.805973",\ + "6.783651, 6.370014, 6.019799, 5.551913, 5.361607",\ + "7.525979, 7.112342, 6.762127, 6.294241, 6.103934",\ + "7.812057, 7.398420, 7.048205, 6.580319, 6.390013"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.778274, 5.364636, 5.014422, 4.546535, 4.356229",\ + "6.231543, 5.817905, 5.467691, 4.999804, 4.809498",\ + "6.684813, 6.271175, 5.920961, 5.453074, 5.262768",\ + "7.290379, 6.876741, 6.526527, 6.058640, 5.868334",\ + "7.549627, 7.135990, 6.785776, 6.317889, 6.127583"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.562372, 1.118044, 1.673716, 2.416094, 2.702173",\ + "0.548538, 1.104210, 1.659882, 2.402260, 2.688339",\ + "0.527508, 1.083179, 1.638851, 2.381230, 2.667309",\ + "0.504241, 1.059913, 1.615585, 2.357964, 2.644042",\ + "0.549011, 1.104682, 1.660354, 2.402733, 2.688812"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.500576, 1.056248, 1.611920, 2.354298, 2.640377",\ + "0.160504, 0.716176, 1.271848, 2.014226, 2.300305",\ + "-0.261153, 0.294519, 0.850191, 1.592569, 1.878648",\ + "-0.727637, -0.171965, 0.383707, 1.126085, 1.412164",\ + "-0.917993, -0.362321, 0.193351, 0.935729, 1.221807"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.407845, 0.045360, 0.498566, 1.104049, 1.363315",\ + "-0.421679, 0.031526, 0.484732, 1.090215, 1.349481",\ + "-0.442710, 0.010496, 0.463702, 1.069184, 1.328450",\ + "-0.465976, -0.012770, 0.440436, 1.045918, 1.305184",\ + "-0.421207, 0.031999, 0.485205, 1.090687, 1.349953"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.358041, -0.904835, -0.451629, 0.153853, 0.413119",\ + "-1.698113, -1.244907, -0.791701, -0.186218, 0.073048",\ + "-2.119770, -1.666565, -1.213359, -0.607876, -0.348610",\ + "-2.586254, -2.133049, -1.679843, -1.074360, -0.815094",\ + "-2.776611, -2.323405, -1.870199, -1.264716, -1.005450"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.007299, 16.334669, 16.574711, 16.601858, 16.686855",\ + "16.007299, 16.334669, 16.574711, 16.601858, 16.686855",\ + "16.097622, 16.424992, 16.665033, 16.692181, 16.777178",\ + "16.187943, 16.515314, 16.755356, 16.782501, 16.867498",\ + "16.368587, 16.695957, 16.935999, 16.963146, 17.048143"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.455235, 16.835636, 17.091995, 17.120987, 17.211761",\ + "16.455235, 16.835636, 17.091995, 17.120987, 17.211761",\ + "16.545555, 16.925959, 17.182318, 17.211309, 17.302086",\ + "16.635878, 17.016281, 17.272640, 17.301632, 17.392406",\ + "16.816521, 17.196924, 17.453283, 17.482275, 17.573051"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "15.915602, 16.192585, 16.297647, 16.309528, 16.346731",\ + "15.915602, 16.192585, 16.297647, 16.309528, 16.346731",\ + "16.096245, 16.373228, 16.478291, 16.490173, 16.527374",\ + "16.156460, 16.433443, 16.538506, 16.550388, 16.587589",\ + "16.276890, 16.553873, 16.658936, 16.670818, 16.708019"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.261339, 16.411348, 16.496168, 16.505760, 16.535793",\ + "16.261339, 16.411348, 16.496168, 16.505760, 16.535793",\ + "16.441982, 16.591991, 16.676811, 16.686403, 16.716438",\ + "16.502197, 16.652206, 16.737026, 16.746618, 16.776653",\ + "16.622627, 16.772636, 16.857456, 16.867048, 16.897081"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.542683, 7.542683, 7.362039, 7.301824, 7.181395",\ + "8.098298, 8.098298, 7.917654, 7.857440, 7.737010",\ + "8.653913, 8.653913, 8.473269, 8.413055, 8.292625",\ + "9.396215, 9.396215, 9.215571, 9.155357, 9.034927",\ + "9.682293, 9.682293, 9.501649, 9.441435, 9.321005"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.537957, 7.537957, 7.357313, 7.297099, 7.176670",\ + "7.991256, 7.991256, 7.810612, 7.750397, 7.629968",\ + "8.444555, 8.444555, 8.263911, 8.203697, 8.083267",\ + "9.050162, 9.050162, 8.869518, 8.809303, 8.688875",\ + "9.309402, 9.309402, 9.128758, 9.068544, 8.948114"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.253157, 2.253157, 2.343479, 2.433801, 2.614444",\ + "2.235702, 2.235702, 2.326024, 2.416345, 2.596989",\ + "2.218246, 2.218246, 2.308568, 2.398890, 2.579534",\ + "2.194926, 2.194926, 2.285248, 2.375570, 2.556213",\ + "2.239695, 2.239695, 2.330017, 2.420339, 2.600982"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.966131, 0.966131, 1.056453, 1.146775, 1.327418",\ + "0.551848, 0.551848, 0.642170, 0.732492, 0.913136",\ + "0.201636, 0.201636, 0.291958, 0.382280, 0.562923",\ + "-0.266248, -0.266248, -0.175926, -0.085604, 0.095039",\ + "-0.456629, -0.456629, -0.366307, -0.275986, -0.095342"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "17.755407, 18.082779, 18.322821, 18.349968, 18.434963",\ + "17.819431, 18.146803, 18.386845, 18.413990, 18.479549",\ + "17.866491, 18.193863, 18.433905, 18.461052, 18.479549",\ + "17.895887, 18.223259, 18.463301, 18.479549, 18.479549",\ + "17.895887, 18.223259, 18.463301, 18.479549, 18.479549"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "17.233273, 17.613676, 17.870035, 17.899027, 17.989803",\ + "17.297298, 17.677702, 17.934059, 17.963053, 18.034389",\ + "17.344357, 17.724760, 17.981119, 18.010111, 18.034389",\ + "17.373753, 17.754156, 18.010515, 18.034389, 18.034389",\ + "17.373753, 17.754156, 18.010515, 18.034389, 18.034389"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "5.389291, 5.666274, 5.771337, 5.783218, 5.820420",\ + "5.433878, 5.710861, 5.815924, 5.827806, 5.865007",\ + "5.433878, 5.710861, 5.815924, 5.827806, 5.865007",\ + "5.433878, 5.710861, 5.815924, 5.827806, 5.865007",\ + "5.433878, 5.710861, 5.815924, 5.827806, 5.865007"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "5.786838, 5.936846, 6.021666, 6.031258, 6.061292",\ + "5.831425, 5.981433, 6.066253, 6.075845, 6.105879",\ + "5.831425, 5.981433, 6.066253, 6.075845, 6.105879",\ + "5.831425, 5.981433, 6.066253, 6.075845, 6.105879",\ + "5.831425, 5.981433, 6.066253, 6.075845, 6.105879"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.838577, 8.165948, 8.405990, 8.433136, 8.518133",\ + "8.394253, 8.721623, 8.961665, 8.988811, 9.073809",\ + "8.949928, 9.277299, 9.517341, 9.544487, 9.629484",\ + "9.692311, 10.019682, 10.259724, 10.286871, 10.371867",\ + "9.978391, 10.305761, 10.545803, 10.572950, 10.657947"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.872026, 9.252430, 9.508788, 9.537781, 9.628555",\ + "9.325226, 9.705628, 9.961987, 9.990979, 10.081755",\ + "9.778425, 10.158829, 10.415188, 10.444180, 10.534954",\ + "10.383900, 10.764303, 11.020662, 11.049654, 11.140429",\ + "10.643169, 11.023572, 11.279930, 11.308923, 11.399698"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.126196, 7.403179, 7.508242, 7.520124, 7.557326",\ + "7.139789, 7.416772, 7.521834, 7.533716, 7.570918",\ + "7.139789, 7.416772, 7.521834, 7.533716, 7.570918",\ + "7.139789, 7.416772, 7.521834, 7.533716, 7.570918",\ + "7.139789, 7.416772, 7.521834, 7.533716, 7.570918"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.945008, 8.095016, 8.179836, 8.189429, 8.219463",\ + "8.358602, 8.508610, 8.593430, 8.603023, 8.633057",\ + "8.708817, 8.858825, 8.943645, 8.953238, 8.983272",\ + "9.176703, 9.326712, 9.411531, 9.421124, 9.451159",\ + "9.367005, 9.517014, 9.601833, 9.611426, 9.641460"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.267992, 8.595363, 8.835404, 8.862551, 8.947548",\ + "8.721197, 9.048568, 9.288610, 9.315756, 9.400753",\ + "9.174403, 9.501774, 9.741816, 9.768962, 9.853959",\ + "9.779885, 10.107256, 10.347298, 10.374445, 10.459441",\ + "10.039152, 10.366523, 10.606565, 10.633711, 10.718708"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.290993, 9.671396, 9.927755, 9.956747, 10.047522",\ + "9.744200, 10.124602, 10.380960, 10.409953, 10.500729",\ + "10.197405, 10.577808, 10.834167, 10.863159, 10.953934",\ + "10.802887, 11.183290, 11.439650, 11.468641, 11.559416",\ + "11.062155, 11.442557, 11.698915, 11.727908, 11.818684"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.140357, 7.417340, 7.522403, 7.534285, 7.571486",\ + "7.553994, 7.830977, 7.936040, 7.947922, 7.985124",\ + "7.904208, 8.181191, 8.286254, 8.298136, 8.335338",\ + "8.372095, 8.649078, 8.754141, 8.766023, 8.803225",\ + "8.562402, 8.839385, 8.944448, 8.956329, 8.993531"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.668973, 7.818982, 7.903802, 7.913394, 7.943428",\ + "8.082610, 8.232618, 8.317438, 8.327031, 8.357065",\ + "8.432825, 8.582833, 8.667653, 8.677246, 8.707280",\ + "8.900712, 9.050720, 9.135540, 9.145133, 9.175167",\ + "9.091019, 9.241027, 9.325847, 9.335440, 9.365474"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.734615, 11.061986, 11.302028, 11.329174, 11.414171",\ + "11.290288, 11.617659, 11.857700, 11.884847, 11.969844",\ + "11.845960, 12.173330, 12.413372, 12.440518, 12.525516",\ + "12.588336, 12.915707, 13.155748, 13.182895, 13.267892",\ + "12.874415, 13.201786, 13.441828, 13.468974, 13.553971"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963",\ + "1.813981, 2.476839, 2.934944, 2.986752, 3.148963"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "11.934902, 12.315306, 12.571664, 12.600657, 12.691431",\ + "12.490573, 12.870975, 13.127335, 13.156326, 13.247102",\ + "13.046245, 13.426647, 13.683006, 13.711998, 13.802773",\ + "13.788623, 14.169025, 14.425385, 14.454376, 14.545152",\ + "14.074701, 14.455105, 14.711463, 14.740456, 14.831230"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182",\ + "1.858379, 2.555269, 3.032314, 3.086264, 3.255182"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.319997, 7.596980, 7.702043, 7.713925, 7.751127",\ + "7.333581, 7.610564, 7.715627, 7.727509, 7.764710",\ + "7.333581, 7.610564, 7.715627, 7.727509, 7.764710",\ + "7.333581, 7.610564, 7.715627, 7.727509, 7.764710",\ + "7.333581, 7.610564, 7.715627, 7.727509, 7.764710"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103",\ + "1.202832, 1.257105, 1.274143, 1.276070, 1.282103"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.942626, 8.092634, 8.177454, 8.187047, 8.217081",\ + "7.956210, 8.106218, 8.191038, 8.200631, 8.230665",\ + "7.956210, 8.106218, 8.191038, 8.200631, 8.230665",\ + "7.956210, 8.106218, 8.191038, 8.200631, 8.230665",\ + "7.956210, 8.106218, 8.191038, 8.200631, 8.230665"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703",\ + "0.840616, 0.894268, 0.929325, 0.933290, 0.945703"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.860672, 0.447035, 0.096820, -0.371066, -0.561373",\ + "1.416287, 1.002650, 0.652436, 0.184549, -0.005758",\ + "1.971902, 1.558265, 1.208050, 0.740164, 0.549857",\ + "2.714204, 2.300567, 1.950352, 1.482466, 1.292159",\ + "3.000283, 2.586645, 2.236431, 1.768544, 1.578238"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.540576, 2.126939, 1.776724, 1.308838, 1.118531",\ + "2.993875, 2.580238, 2.230024, 1.762137, 1.571831",\ + "3.447175, 3.033538, 2.683323, 2.215437, 2.025130",\ + "4.052783, 3.639146, 3.288931, 2.821045, 2.630738",\ + "4.312023, 3.898386, 3.548171, 3.080285, 2.889978"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.140549, 0.312656, 0.765862, 1.371345, 1.630611",\ + "-0.158005, 0.295201, 0.748407, 1.353890, 1.613156",\ + "-0.175460, 0.277745, 0.730952, 1.336434, 1.595700",\ + "-0.198781, 0.254425, 0.707631, 1.313114, 1.572380",\ + "-0.154011, 0.299194, 0.752400, 1.357883, 1.617149"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.738503, -1.285298, -0.832092, -0.226609, 0.032657",\ + "-2.152786, -1.699580, -1.246374, -0.640892, -0.381626",\ + "-2.502998, -2.049793, -1.596586, -0.991104, -0.731838",\ + "-2.970882, -2.517677, -2.064471, -1.458988, -1.199722",\ + "-3.161264, -2.708058, -2.254852, -1.649369, -1.390103"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.388879, 7.388879, 7.208235, 7.148020, 7.027591",\ + "7.944474, 7.944474, 7.763830, 7.703615, 7.583186",\ + "8.500071, 8.500071, 8.319426, 8.259211, 8.138783",\ + "9.242346, 9.242346, 9.061703, 9.001488, 8.881058",\ + "9.528423, 9.528423, 9.347780, 9.287565, 9.167135"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.452616, 7.452616, 7.271972, 7.211757, 7.091328",\ + "7.905948, 7.905948, 7.725304, 7.665089, 7.544660",\ + "8.359280, 8.359280, 8.178637, 8.118422, 7.997992",\ + "8.964931, 8.964931, 8.784288, 8.724073, 8.603643",\ + "9.224163, 9.224163, 9.043518, 8.983303, 8.862875"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.416659, 2.416659, 2.506981, 2.597302, 2.777946",\ + "2.399216, 2.399216, 2.489538, 2.579860, 2.760503",\ + "2.381773, 2.381773, 2.472095, 2.562417, 2.743060",\ + "2.358469, 2.358469, 2.448792, 2.539113, 2.719757",\ + "2.403239, 2.403239, 2.493561, 2.583882, 2.764526"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.069841, 2.069841, 2.160163, 2.250484, 2.431128",\ + "1.655334, 1.655334, 1.745656, 1.835978, 2.016621",\ + "1.305122, 1.305122, 1.395444, 1.485766, 1.666409",\ + "0.837239, 0.837239, 0.927561, 1.017883, 1.198526",\ + "0.646832, 0.646832, 0.737154, 0.827475, 1.008119"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.728791, 0.315154, -0.035060, -0.502947, -0.693253",\ + "1.284387, 0.870750, 0.520536, 0.052649, -0.137657",\ + "1.839984, 1.426347, 1.076132, 0.608246, 0.417939",\ + "2.582259, 2.168622, 1.818408, 1.350521, 1.160215",\ + "2.868337, 2.454700, 2.104485, 1.636599, 1.446292"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.886011, 1.472374, 1.122160, 0.654273, 0.463967",\ + "2.339344, 1.925707, 1.575492, 1.107606, 0.917299",\ + "2.792676, 2.379039, 2.028824, 1.560938, 1.370631",\ + "3.398327, 2.984690, 2.634475, 2.166589, 1.976282",\ + "3.657558, 3.243921, 2.893707, 2.425820, 2.235513"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.048066, 0.501272, 0.954478, 1.559961, 1.819227",\ + "0.030624, 0.483829, 0.937035, 1.542518, 1.801785",\ + "0.013181, 0.466386, 0.919592, 1.525075, 1.784342",\ + "-0.010123, 0.443083, 0.896289, 1.501771, 1.761038",\ + "0.034646, 0.487852, 0.941058, 1.546541, 1.805807"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.991759, -0.538554, -0.085348, 0.520135, 0.779402",\ + "-1.406265, -0.953060, -0.499854, 0.105629, 0.364895",\ + "-1.756478, -1.303272, -0.850066, -0.244584, 0.014683",\ + "-2.224361, -1.771156, -1.317950, -0.712467, -0.453200",\ + "-2.414768, -1.961563, -1.508357, -0.902874, -0.643608"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.621531"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.537313"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.934543 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.147911, 10.396023, 10.501101, 10.512985, 10.550193",\ + "10.147911, 10.396023, 10.501101, 10.512985, 10.550193",\ + "10.238232, 10.486343, 10.591423, 10.603307, 10.640514",\ + "10.328554, 10.576666, 10.681745, 10.693628, 10.730836",\ + "10.509197, 10.757309, 10.862389, 10.874272, 10.911480"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202802, 1.257082, 1.274119, 1.276046, 1.282079",\ + "1.202802, 1.257082, 1.274119, 1.276046, 1.282079",\ + "1.202802, 1.257082, 1.274119, 1.276046, 1.282079",\ + "1.202802, 1.257082, 1.274119, 1.276046, 1.282079",\ + "1.202802, 1.257082, 1.274119, 1.276046, 1.282079"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "11.068848, 11.218978, 11.303786, 11.313377, 11.343408",\ + "11.068848, 11.218978, 11.303786, 11.313377, 11.343408",\ + "11.159169, 11.309300, 11.394108, 11.403700, 11.433729",\ + "11.249491, 11.399622, 11.484430, 11.494020, 11.524051",\ + "11.430134, 11.580265, 11.665073, 11.674664, 11.704695"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841020, 0.894356, 0.929402, 0.933365, 0.945775",\ + "0.841020, 0.894356, 0.929402, 0.933365, 0.945775",\ + "0.841020, 0.894356, 0.929402, 0.933365, 0.945775",\ + "0.841020, 0.894356, 0.929402, 0.933365, 0.945775",\ + "0.841020, 0.894356, 0.929402, 0.933365, 0.945775"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.836477, 10.084589, 10.189668, 10.201551, 10.238759",\ + "9.836477, 10.084589, 10.189668, 10.201551, 10.238759",\ + "10.017120, 10.265232, 10.370312, 10.382195, 10.419403",\ + "10.077335, 10.325447, 10.430526, 10.442410, 10.479617",\ + "10.197763, 10.445875, 10.550955, 10.562839, 10.600046"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202802, 1.257082, 1.274119, 1.276046, 1.282079",\ + "1.202802, 1.257082, 1.274119, 1.276046, 1.282079",\ + "1.202802, 1.257082, 1.274119, 1.276046, 1.282079",\ + "1.202802, 1.257082, 1.274119, 1.276046, 1.282079",\ + "1.202802, 1.257082, 1.274119, 1.276046, 1.282079"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.502826, 10.652957, 10.737764, 10.747356, 10.777386",\ + "10.502826, 10.652957, 10.737764, 10.747356, 10.777386",\ + "10.683470, 10.833600, 10.918408, 10.927999, 10.958030",\ + "10.743683, 10.893814, 10.978622, 10.988214, 11.018244",\ + "10.864113, 11.014243, 11.099051, 11.108643, 11.138673"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841020, 0.894356, 0.929402, 0.933365, 0.945775",\ + "0.841020, 0.894356, 0.929402, 0.933365, 0.945775",\ + "0.841020, 0.894356, 0.929402, 0.933365, 0.945775",\ + "0.841020, 0.894356, 0.929402, 0.933365, 0.945775",\ + "0.841020, 0.894356, 0.929402, 0.933365, 0.945775"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.737051, 7.985162, 8.090241, 8.102125, 8.139333",\ + "8.292723, 8.540834, 8.645914, 8.657798, 8.695005",\ + "8.848394, 9.096506, 9.201586, 9.213470, 9.250677",\ + "9.590773, 9.838884, 9.943963, 9.955847, 9.993054",\ + "9.876852, 10.124964, 10.230042, 10.241926, 10.279134"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234243, 1.294851, 1.315920, 1.318303, 1.325763",\ + "1.234243, 1.294851, 1.315920, 1.318303, 1.325763",\ + "1.234243, 1.294851, 1.315920, 1.318303, 1.325763",\ + "1.234243, 1.294851, 1.315920, 1.318303, 1.325763",\ + "1.234243, 1.294851, 1.315920, 1.318303, 1.325763"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.909457, 8.064015, 8.149765, 8.159462, 8.189826",\ + "8.465129, 8.619687, 8.705437, 8.715134, 8.745498",\ + "9.020802, 9.175360, 9.261109, 9.270807, 9.301170",\ + "9.763180, 9.917737, 10.003487, 10.013185, 10.043548",\ + "10.049258, 10.203816, 10.289566, 10.299263, 10.329627"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903225, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903225, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903225, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903225, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903225, 0.956298, 0.986953, 0.990420, 1.001275"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.477085, 6.477086, 6.477086, 6.477086, 6.477086",\ + "6.490669, 6.490669, 6.490669, 6.490669, 6.490669",\ + "6.490669, 6.490669, 6.490669, 6.490669, 6.490669",\ + "6.490669, 6.490669, 6.490669, 6.490669, 6.490669",\ + "6.490669, 6.490669, 6.490669, 6.490669, 6.490669"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.453341, 6.453409, 6.453409, 6.453409, 6.453409",\ + "6.466926, 6.466992, 6.466992, 6.466992, 6.466992",\ + "6.466926, 6.466992, 6.466992, 6.466992, 6.466992",\ + "6.466926, 6.466992, 6.466992, 6.466992, 6.466992",\ + "6.466926, 6.466992, 6.466992, 6.466992, 6.466992"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.219322, 9.467417, 9.572498, 9.584382, 9.621591",\ + "9.219322, 9.467417, 9.572498, 9.584382, 9.621591",\ + "9.309645, 9.557738, 9.662820, 9.674704, 9.711912",\ + "9.399966, 9.648060, 9.753141, 9.765025, 9.802234",\ + "9.580610, 9.828704, 9.933785, 9.945669, 9.982878"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.439777, 9.589928, 9.674734, 9.684324, 9.714355",\ + "9.439777, 9.589928, 9.674734, 9.684324, 9.714355",\ + "9.530099, 9.680250, 9.765056, 9.774647, 9.804676",\ + "9.620420, 9.770571, 9.855377, 9.864968, 9.894998",\ + "9.801064, 9.951215, 10.036021, 10.045612, 10.075642"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.958065, 9.206159, 9.311240, 9.323124, 9.360332",\ + "8.958065, 9.206159, 9.311240, 9.323124, 9.360332",\ + "9.138708, 9.386802, 9.491883, 9.503767, 9.540976",\ + "9.198923, 9.447017, 9.552098, 9.563982, 9.601191",\ + "9.319352, 9.567446, 9.672527, 9.684411, 9.721620"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.278679, 9.428829, 9.513636, 9.523226, 9.553256",\ + "9.278679, 9.428829, 9.513636, 9.523226, 9.553256",\ + "9.459322, 9.609472, 9.694279, 9.703870, 9.733899",\ + "9.519537, 9.669687, 9.754494, 9.764084, 9.794114",\ + "9.639965, 9.790116, 9.874923, 9.884514, 9.914543"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.554314, 7.802408, 7.907490, 7.919374, 7.956582",\ + "8.109986, 8.358080, 8.463161, 8.475045, 8.512254",\ + "8.665658, 8.913752, 9.018833, 9.030717, 9.067925",\ + "9.408035, 9.656130, 9.761211, 9.773095, 9.810304",\ + "9.694115, 9.942208, 10.047290, 10.059174, 10.096382"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234243, 1.294851, 1.315920, 1.318303, 1.325763",\ + "1.234243, 1.294851, 1.315920, 1.318303, 1.325763",\ + "1.234243, 1.294851, 1.315920, 1.318303, 1.325763",\ + "1.234243, 1.294851, 1.315920, 1.318303, 1.325763",\ + "1.234243, 1.294851, 1.315920, 1.318303, 1.325763"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.778049, 7.928200, 8.013006, 8.022596, 8.052627",\ + "8.333721, 8.483871, 8.568678, 8.578269, 8.608298",\ + "8.889393, 9.039543, 9.124350, 9.133941, 9.163970",\ + "9.631771, 9.781921, 9.866728, 9.876318, 9.906348",\ + "9.917850, 10.068001, 10.152806, 10.162397, 10.192427"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903225, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903225, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903225, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903225, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903225, 0.956298, 0.986953, 0.990420, 1.001275"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.266565, 6.266566, 6.266566, 6.266566, 6.266566",\ + "6.280149, 6.280149, 6.280149, 6.280149, 6.280149",\ + "6.280149, 6.280149, 6.280149, 6.280149, 6.280149",\ + "6.280149, 6.280149, 6.280149, 6.280149, 6.280149",\ + "6.280149, 6.280149, 6.280149, 6.280149, 6.280149"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.242817, 6.242885, 6.242885, 6.242885, 6.242885",\ + "6.256401, 6.256468, 6.256468, 6.256468, 6.256468",\ + "6.256401, 6.256468, 6.256468, 6.256468, 6.256468",\ + "6.256401, 6.256468, 6.256468, 6.256468, 6.256468",\ + "6.256401, 6.256468, 6.256468, 6.256468, 6.256468"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.822047, 7.070141, 7.175223, 7.187107, 7.224315",\ + "7.377719, 7.625813, 7.730895, 7.742779, 7.779987",\ + "7.933391, 8.181485, 8.286567, 8.298450, 8.335659",\ + "8.675769, 8.923862, 9.028944, 9.040828, 9.078036",\ + "8.961847, 9.209942, 9.315023, 9.326907, 9.364116"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.129537, 7.279688, 7.364494, 7.374084, 7.404114",\ + "7.582742, 7.732893, 7.817699, 7.827290, 7.857320",\ + "8.035949, 8.186100, 8.270905, 8.280497, 8.310526",\ + "8.641432, 8.791582, 8.876389, 8.885979, 8.916009",\ + "8.900698, 9.050848, 9.135654, 9.145245, 9.175275"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.337751, 6.585845, 6.690927, 6.702810, 6.740019",\ + "6.351335, 6.599429, 6.704510, 6.716394, 6.753603",\ + "6.351335, 6.599429, 6.704510, 6.716394, 6.753603",\ + "6.351335, 6.599429, 6.704510, 6.716394, 6.753603",\ + "6.351335, 6.599429, 6.704510, 6.716394, 6.753603"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075",\ + "1.202797, 1.257078, 1.274116, 1.276042, 1.282075"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.730409, 6.880560, 6.965366, 6.974957, 7.004987",\ + "7.144046, 7.294197, 7.379004, 7.388594, 7.418624",\ + "7.494261, 7.644412, 7.729218, 7.738809, 7.768838",\ + "7.962147, 8.112298, 8.197104, 8.206696, 8.236725",\ + "8.152453, 8.302605, 8.387410, 8.397001, 8.427031"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787",\ + "0.841086, 0.894370, 0.929414, 0.933378, 0.945787"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.116504, 9.364603, 9.469684, 9.481567, 9.518776",\ + "9.116504, 9.364603, 9.469684, 9.481567, 9.518776",\ + "9.206826, 9.454926, 9.560006, 9.571890, 9.609098",\ + "9.297148, 9.545247, 9.650328, 9.662212, 9.699420",\ + "9.477791, 9.725890, 9.830971, 9.842855, 9.880063"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.471523, 9.626061, 9.711810, 9.721507, 9.751870",\ + "9.471523, 9.626061, 9.711810, 9.721507, 9.751870",\ + "9.561846, 9.716383, 9.802132, 9.811830, 9.842192",\ + "9.652166, 9.806705, 9.892453, 9.902151, 9.932514",\ + "9.832810, 9.987349, 10.073097, 10.082794, 10.113157"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903218, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903218, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903218, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903218, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903218, 0.956298, 0.986953, 0.990420, 1.001275"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.159217, 8.159217, 8.159217, 8.159217, 8.159217",\ + "8.159217, 8.159217, 8.159217, 8.159217, 8.159217",\ + "8.339861, 8.339861, 8.339861, 8.339861, 8.339861",\ + "8.400075, 8.400075, 8.400075, 8.400075, 8.400075",\ + "8.520504, 8.520504, 8.520504, 8.520504, 8.520504"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.135263, 8.135340, 8.135340, 8.135340, 8.135340",\ + "8.135263, 8.135340, 8.135340, 8.135340, 8.135340",\ + "8.315908, 8.315984, 8.315984, 8.315984, 8.315984",\ + "8.376122, 8.376198, 8.376198, 8.376198, 8.376198",\ + "8.496552, 8.496627, 8.496627, 8.496627, 8.496627"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.250903, 6.499002, 6.604083, 6.615967, 6.653175",\ + "6.806536, 7.054635, 7.159716, 7.171600, 7.208808",\ + "7.362169, 7.610269, 7.715349, 7.727233, 7.764441",\ + "8.104495, 8.352594, 8.457675, 8.469559, 8.506767",\ + "8.390574, 8.638673, 8.743753, 8.755638, 8.792846"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202799, 1.257079, 1.274117, 1.276044, 1.282076",\ + "1.202799, 1.257079, 1.274117, 1.276044, 1.282076",\ + "1.202799, 1.257079, 1.274117, 1.276044, 1.282076",\ + "1.202799, 1.257079, 1.274117, 1.276044, 1.282076",\ + "1.202799, 1.257079, 1.274117, 1.276044, 1.282076"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.605705, 6.755850, 6.840657, 6.850248, 6.880278",\ + "7.058974, 7.209119, 7.293926, 7.303517, 7.333547",\ + "7.512243, 7.662388, 7.747195, 7.756786, 7.786816",\ + "8.117811, 8.267956, 8.352762, 8.362354, 8.392384",\ + "8.377060, 8.527205, 8.612011, 8.621602, 8.651631"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841066, 0.894366, 0.929411, 0.933374, 0.945783",\ + "0.841066, 0.894366, 0.929411, 0.933374, 0.945783",\ + "0.841066, 0.894366, 0.929411, 0.933374, 0.945783",\ + "0.841066, 0.894366, 0.929411, 0.933374, 0.945783",\ + "0.841066, 0.894366, 0.929411, 0.933374, 0.945783"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "5.950601, 6.198700, 6.303781, 6.315665, 6.352873",\ + "5.963963, 6.212062, 6.317142, 6.329027, 6.366235",\ + "5.963963, 6.212062, 6.317142, 6.329027, 6.366235",\ + "5.963963, 6.212062, 6.317142, 6.329027, 6.366235",\ + "5.963963, 6.212062, 6.317142, 6.329027, 6.366235"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202799, 1.257079, 1.274117, 1.276044, 1.282076",\ + "1.202799, 1.257079, 1.274117, 1.276044, 1.282076",\ + "1.202799, 1.257079, 1.274117, 1.276044, 1.282076",\ + "1.202799, 1.257079, 1.274117, 1.276044, 1.282076",\ + "1.202799, 1.257079, 1.274117, 1.276044, 1.282076"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.298278, 6.448422, 6.533230, 6.542821, 6.572850",\ + "6.638350, 6.788494, 6.873301, 6.882892, 6.912922",\ + "7.060006, 7.210151, 7.294958, 7.304549, 7.334579",\ + "7.526491, 7.676635, 7.761442, 7.771033, 7.801063",\ + "7.716847, 7.866992, 7.951799, 7.961390, 7.991420"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841066, 0.894366, 0.929411, 0.933374, 0.945783",\ + "0.841066, 0.894366, 0.929411, 0.933374, 0.945783",\ + "0.841066, 0.894366, 0.929411, 0.933374, 0.945783",\ + "0.841066, 0.894366, 0.929411, 0.933374, 0.945783",\ + "0.841066, 0.894366, 0.929411, 0.933374, 0.945783"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.375407, 7.623507, 7.728587, 7.740471, 7.777679",\ + "7.931079, 8.179178, 8.284259, 8.296143, 8.333351",\ + "8.486751, 8.734850, 8.839931, 8.851814, 8.889023",\ + "9.229129, 9.477228, 9.582309, 9.594193, 9.631401",\ + "9.515207, 9.763307, 9.868387, 9.880271, 9.917479"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315919, 1.318302, 1.325762"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.751040, 7.905578, 7.991326, 8.001023, 8.031386",\ + "8.306711, 8.461249, 8.546998, 8.556695, 8.587058",\ + "8.862383, 9.016922, 9.102670, 9.112368, 9.142731",\ + "9.604761, 9.759299, 9.845048, 9.854745, 9.885108",\ + "9.890841, 10.045378, 10.131126, 10.140824, 10.171186"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903218, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903218, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903218, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903218, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903218, 0.956298, 0.986953, 0.990420, 1.001275"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.241605, 6.241605, 6.241605, 6.241605, 6.241605",\ + "6.255188, 6.255189, 6.255189, 6.255189, 6.255189",\ + "6.255188, 6.255189, 6.255189, 6.255189, 6.255189",\ + "6.255188, 6.255189, 6.255189, 6.255189, 6.255189",\ + "6.255188, 6.255189, 6.255189, 6.255189, 6.255189"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.217652, 6.217728, 6.217728, 6.217728, 6.217728",\ + "6.231236, 6.231312, 6.231312, 6.231312, 6.231312",\ + "6.231236, 6.231312, 6.231312, 6.231312, 6.231312",\ + "6.231236, 6.231312, 6.231312, 6.231312, 6.231312",\ + "6.231236, 6.231312, 6.231312, 6.231312, 6.231312"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.816741"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.859247"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/lib/tt/caravan.nom.lib b/signoff/caravan/primetime/lib/tt/caravan.nom.lib new file mode 100644 index 00000000..6334acb9 --- /dev/null +++ b/signoff/caravan/primetime/lib/tt/caravan.nom.lib @@ -0,0 +1,4508 @@ + +library("caravan.nom") { + + technology (cmos) ; + delay_model : table_lookup ; + library_features ( report_delay_calculation ) ; + date : "Tue May 30 04:58:19 2023" ; + revision : "T-2022.03-SP3" ; + nom_process : 1.0000 ; + nom_voltage : 1.8000 ; + nom_temperature : 25.0000 ; + operating_conditions( "sky130_fd_sc_hd__tt_1.80v_25C" ) { + process : 1.0000 ; + voltage : 1.8000 ; + temperature : 25.0000 ; + } /* current design opcond */ + default_operating_conditions : "sky130_fd_sc_hd__tt_1.80v_25C" ; + voltage_unit : "1V" ; + time_unit : "1ns" ; + capacitive_load_unit (1.000000, pf); + slew_derate_from_library : 1.0000 ; + slew_lower_threshold_pct_rise : 20.0000 ; + slew_lower_threshold_pct_fall : 20.0000 ; + slew_upper_threshold_pct_rise : 80.0000 ; + slew_upper_threshold_pct_fall : 80.0000 ; + input_threshold_pct_rise : 50.0000 ; + input_threshold_pct_fall : 50.0000 ; + output_threshold_pct_rise : 50.0000 ; + output_threshold_pct_fall : 50.0000 ; + k_process_cell_rise : 0.000000; + k_process_cell_fall : 0.000000; + k_volt_cell_rise : 0.000000; + k_volt_cell_fall : 0.000000; + k_temp_cell_rise : 0.000000; + k_temp_cell_fall : 0.000000; + k_process_rise_transition : 0.000000; + k_process_fall_transition : 0.000000; + k_volt_rise_transition : 0.000000; + k_volt_fall_transition : 0.000000; + k_temp_rise_transition : 0.000000; + k_temp_fall_transition : 0.000000; + default_fanout_load : 1.000000; + default_inout_pin_cap : 1.000000; + default_input_pin_cap : 1.000000; + default_output_pin_cap : 0.000000; + current_unit : 1mA; + pulling_resistance_unit : "1kohm"; + comment : "PrimeTime extracted Model." ; + + define(min_delay_flag, timing, boolean); + define(internal_noise_width_above_low, pin, float); + define(internal_noise_height_below_high, pin, float); + define(original_pin, pin, string); + define(max_noise_immunity_low, pin, float); + define(is_unconstrained, pin, boolean); + define(internal_noise_width_below_high, pin, float); + define(internal_noise_peak_time_below_high, pin, float); + define(internal_noise_height_above_low, pin, float); + define(max_noise_immunity_high, pin, float); + define(internal_noise_peak_time_above_low, pin, float); + + + +/* SCALAR table template is built-in */ + +/* 2-D table template f(in_trans, out_cap) */ +lu_table_template( f_itrans_ocap ) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +/* 2-D table template f(d_trans, c_trans) */ +lu_table_template( f_dtrans_ctrans ) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 (" 0.0000, 1.0000 "); + index_2 (" 0.0000, 1.0000 "); +} + +type ( BUS38_type0 ) { + base_type : array ; + data_type : bit ; + bit_width : 38 ; + bit_from : 37 ; + bit_to : 0 ; + downto : true ; +} /* end of type */ + +cell( caravan ) { + area : 2238314.250000 ; + dont_use : true ; + dont_touch : true ; + interface_timing : true; + timing_model_type : "extracted"; + is_macro_cell : true; + pad_cell : true; + +pin("vddio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio */ + +pin("vddio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vddio_2 */ + +pin("vssio") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio */ + +pin("vssio_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssio_2 */ + +pin("vdda") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda */ + +pin("vssa") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa */ + +pin("vccd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd */ + +pin("vssd") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd */ + +pin("vdda1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1 */ + +pin("vdda1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda1_2 */ + +pin("vdda2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vdda2 */ + +pin("vssa1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1 */ + +pin("vssa1_2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa1_2 */ + +pin("vssa2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssa2 */ + +pin("vccd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd1 */ + +pin("vccd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vccd2 */ + +pin("vssd1") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd1 */ + +pin("vssd2") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.071586 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin vssd2 */ + +pin("gpio") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin gpio */ +bus ( mprj_io ) { + + bus_type : BUS38_type0 ; + direction : inout ; + +pin("mprj_io[37]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.888215, 6.888215, 6.707577, 6.647365, 6.526940",\ + "7.443883, 7.443883, 7.263246, 7.203034, 7.082609",\ + "7.999552, 7.999552, 7.818915, 7.758703, 7.638278",\ + "8.741926, 8.741926, 8.561289, 8.501076, 8.380651",\ + "9.028006, 9.028006, 8.847368, 8.787155, 8.666731"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.007687, 7.007687, 6.827050, 6.766838, 6.646413",\ + "7.460898, 7.460898, 7.280261, 7.220048, 7.099624",\ + "7.914107, 7.914107, 7.733469, 7.673257, 7.552833",\ + "8.519596, 8.519596, 8.338959, 8.278748, 8.158322",\ + "8.778862, 8.778862, 8.598225, 8.538012, 8.417587"); + } + + } /* end of arc clock_mprj_io[37]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.405691, 1.405691, 1.496010, 1.586329, 1.766965",\ + "1.388201, 1.388201, 1.478520, 1.568839, 1.749475",\ + "1.370711, 1.370711, 1.461030, 1.551348, 1.731985",\ + "1.347344, 1.347344, 1.437663, 1.527982, 1.708619",\ + "1.392113, 1.392113, 1.482432, 1.572751, 1.753387"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.816411, 0.816411, 0.906730, 0.997049, 1.177686",\ + "0.402743, 0.402743, 0.493062, 0.583381, 0.764018",\ + "0.052528, 0.052528, 0.142847, 0.233166, 0.413803",\ + "-0.415359, -0.415359, -0.325040, -0.234721, -0.054084",\ + "-0.605669, -0.605669, -0.515350, -0.425032, -0.244395"); + } + + } /* end of arc clock_mprj_io[37]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.453703, 2.040163, 1.689949, 1.222062, 1.031766",\ + "3.009372, 2.595832, 2.245618, 1.777731, 1.587435",\ + "3.565041, 3.151501, 2.801286, 2.333399, 2.143104",\ + "4.307415, 3.893875, 3.543660, 3.075773, 2.885478",\ + "4.593493, 4.179954, 3.829739, 3.361852, 3.171556"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.525884, 3.112344, 2.762129, 2.294242, 2.103947",\ + "3.979094, 3.565554, 3.215339, 2.747452, 2.557157",\ + "4.432304, 4.018764, 3.668550, 3.200663, 3.010367",\ + "5.037794, 4.624254, 4.274040, 3.806153, 3.615857",\ + "5.297059, 4.883519, 4.533305, 4.065418, 3.875122"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.614838, -1.161647, -0.708455, -0.102991, 0.156280",\ + "-1.632328, -1.179137, -0.725945, -0.120481, 0.138790",\ + "-1.649819, -1.196627, -0.743436, -0.137971, 0.121299",\ + "-1.673186, -1.219994, -0.766802, -0.161338, 0.097933",\ + "-1.628416, -1.175225, -0.722033, -0.116569, 0.142702"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.504762, -2.051570, -1.598378, -0.992914, -0.733644",\ + "-2.918430, -2.465238, -2.012047, -1.406582, -1.147312",\ + "-3.268645, -2.815453, -2.362262, -1.756797, -1.497527",\ + "-3.736532, -3.283340, -2.830148, -2.224684, -1.965414",\ + "-3.926842, -3.473651, -3.020459, -2.414995, -2.155724"); + } + + } /* end of arc mprj_io[4]_mprj_io[37]_hldf*/ + +} /* end of pin mprj_io[37] */ + +pin("mprj_io[36]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.035881, 7.035881, 6.855243, 6.795031, 6.674606",\ + "7.591559, 7.591559, 7.410922, 7.350709, 7.230285",\ + "8.147236, 8.147236, 7.966599, 7.906387, 7.785962",\ + "8.889622, 8.889622, 8.708984, 8.648773, 8.528348",\ + "9.175701, 9.175701, 8.995064, 8.934851, 8.814426"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.965868, 6.965868, 6.785231, 6.725019, 6.604594",\ + "7.419063, 7.419063, 7.238426, 7.178214, 7.057789",\ + "7.872259, 7.872259, 7.691621, 7.631409, 7.510984",\ + "8.477728, 8.477728, 8.297091, 8.236877, 8.116453",\ + "8.736998, 8.736998, 8.556360, 8.496147, 8.375723"); + } + + } /* end of arc clock_mprj_io[36]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.563789, 0.563789, 0.654108, 0.744427, 0.925064",\ + "0.546294, 0.546294, 0.636612, 0.726931, 0.907568",\ + "0.528798, 0.528798, 0.619116, 0.709435, 0.890072",\ + "0.505424, 0.505424, 0.595742, 0.686061, 0.866698",\ + "0.550192, 0.550192, 0.640511, 0.730830, 0.911467"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.383183, -0.383183, -0.292864, -0.202545, -0.021908",\ + "-0.796748, -0.796748, -0.706429, -0.616111, -0.435474",\ + "-1.146962, -1.146962, -1.056643, -0.966325, -0.785688",\ + "-1.614849, -1.614849, -1.524530, -1.434211, -1.253574",\ + "-1.805147, -1.805147, -1.714828, -1.624510, -1.443873"); + } + + } /* end of arc clock_mprj_io[36]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.829850, 2.416311, 2.066096, 1.598209, 1.407913",\ + "3.385528, 2.971988, 2.621774, 2.153887, 1.963591",\ + "3.941207, 3.527667, 3.177453, 2.709566, 2.519270",\ + "4.683593, 4.270053, 3.919839, 3.451952, 3.261656",\ + "4.969672, 4.556132, 4.205918, 3.738031, 3.547735"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.058370, 3.644830, 3.294616, 2.826729, 2.636433",\ + "4.511565, 4.098025, 3.747811, 3.279924, 3.089628",\ + "4.964760, 4.551220, 4.201006, 3.733119, 3.542823",\ + "5.570230, 5.156690, 4.806476, 4.338589, 4.148293",\ + "5.829498, 5.415958, 5.065744, 4.597857, 4.407561"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.930027, -1.476836, -1.023644, -0.418180, -0.158909",\ + "-1.947523, -1.494331, -1.041140, -0.435676, -0.176405",\ + "-1.965019, -1.511827, -1.058636, -0.453172, -0.193901",\ + "-1.988393, -1.535202, -1.082010, -0.476546, -0.217275",\ + "-1.943624, -1.490433, -1.037241, -0.431777, -0.172506"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.156763, -2.703571, -2.250379, -1.644915, -1.385644",\ + "-3.570328, -3.117136, -2.663945, -2.058481, -1.799210",\ + "-3.920542, -3.467350, -3.014159, -2.408695, -2.149424",\ + "-4.388429, -3.935237, -3.482045, -2.876581, -2.617311",\ + "-4.578727, -4.125535, -3.672344, -3.066880, -2.807609"); + } + + } /* end of arc mprj_io[4]_mprj_io[36]_hldf*/ + +} /* end of pin mprj_io[36] */ + +pin("mprj_io[35]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.139608, 7.139608, 6.958971, 6.898759, 6.778334",\ + "7.695271, 7.695271, 7.514634, 7.454422, 7.333997",\ + "8.250935, 8.250935, 8.070297, 8.010085, 7.889660",\ + "8.993299, 8.993299, 8.812662, 8.752450, 8.632025",\ + "9.279377, 9.279377, 9.098740, 9.038527, 8.918102"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.246463, 7.246463, 7.065825, 7.005613, 6.885189",\ + "7.699682, 7.699682, 7.519045, 7.458833, 7.338408",\ + "8.152902, 8.152902, 7.972264, 7.912052, 7.791627",\ + "8.758404, 8.758404, 8.577766, 8.517554, 8.397129",\ + "9.017666, 9.017666, 8.837029, 8.776816, 8.656391"); + } + + } /* end of arc clock_mprj_io[35]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.234399, 1.234399, 1.324718, 1.415037, 1.595674",\ + "1.216913, 1.216913, 1.307232, 1.397551, 1.578187",\ + "1.199427, 1.199427, 1.289746, 1.380064, 1.560701",\ + "1.176065, 1.176065, 1.266384, 1.356703, 1.537340",\ + "1.220834, 1.220834, 1.311153, 1.401472, 1.582108"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.718260, 0.718260, 0.808579, 0.898898, 1.079535",\ + "0.304526, 0.304526, 0.394845, 0.485163, 0.665800",\ + "-0.045689, -0.045689, 0.044630, 0.134949, 0.315586",\ + "-0.513575, -0.513575, -0.423256, -0.332937, -0.152300",\ + "-0.703892, -0.703892, -0.613573, -0.523254, -0.342618"); + } + + } /* end of arc clock_mprj_io[35]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.610297, 2.196757, 1.846542, 1.378655, 1.188360",\ + "3.165960, 2.752420, 2.402206, 1.934319, 1.744023",\ + "3.721623, 3.308084, 2.957869, 2.489982, 2.299686",\ + "4.463989, 4.050449, 3.700235, 3.232348, 3.042052",\ + "4.750068, 4.336528, 3.986313, 3.518426, 3.328131"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.348627, 2.935087, 2.584872, 2.116985, 1.926690",\ + "3.801847, 3.388307, 3.038092, 2.570205, 2.379910",\ + "4.255066, 3.841526, 3.491312, 3.023425, 2.833129",\ + "4.860568, 4.447028, 4.096814, 3.628927, 3.438631",\ + "5.119831, 4.706291, 4.356076, 3.888189, 3.697894"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.735827, -1.282635, -0.829443, -0.223979, 0.035292",\ + "-1.753313, -1.300122, -0.846930, -0.241466, 0.017805",\ + "-1.770799, -1.317608, -0.864416, -0.258952, 0.000319",\ + "-1.794161, -1.340969, -0.887778, -0.282313, -0.023043",\ + "-1.749392, -1.296200, -0.843008, -0.237544, 0.021727"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.488024, -2.034832, -1.581640, -0.976176, -0.716906",\ + "-2.901758, -2.448567, -1.995375, -1.389911, -1.130640",\ + "-3.251973, -2.798781, -2.345589, -1.740125, -1.480855",\ + "-3.719859, -3.266667, -2.813475, -2.208011, -1.948740",\ + "-3.910176, -3.456984, -3.003793, -2.398328, -2.139058"); + } + + } /* end of arc mprj_io[4]_mprj_io[35]_hldf*/ + +} /* end of pin mprj_io[35] */ + +pin("mprj_io[34]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.036942, 7.036942, 6.856305, 6.796093, 6.675668",\ + "7.592627, 7.592627, 7.411989, 7.351777, 7.231352",\ + "8.148311, 8.148311, 7.967673, 7.907461, 7.787036",\ + "8.890705, 8.890705, 8.710068, 8.649856, 8.529430",\ + "9.176783, 9.176783, 8.996145, 8.935933, 8.815508"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.151912, 7.151912, 6.971274, 6.911062, 6.790637",\ + "7.605097, 7.605097, 7.424459, 7.364247, 7.243822",\ + "8.058282, 8.058282, 7.877645, 7.817432, 7.697007",\ + "8.663737, 8.663737, 8.483100, 8.422888, 8.302463",\ + "8.923010, 8.923010, 8.742373, 8.682160, 8.561735"); + } + + } /* end of arc clock_mprj_io[34]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.778972, 0.778972, 0.869291, 0.959610, 1.140246",\ + "0.761473, 0.761473, 0.851791, 0.942110, 1.122747",\ + "0.743973, 0.743973, 0.834291, 0.924610, 1.105247",\ + "0.720593, 0.720593, 0.810912, 0.901231, 1.081868",\ + "0.765362, 0.765362, 0.855681, 0.946000, 1.126637"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.420288, 0.420288, 0.510607, 0.600925, 0.781562",\ + "0.006792, 0.006792, 0.097110, 0.187429, 0.368066",\ + "-0.343423, -0.343423, -0.253104, -0.162786, 0.017851",\ + "-0.811310, -0.811310, -0.720991, -0.630672, -0.450036",\ + "-1.001600, -1.001600, -0.911282, -0.820963, -0.640326"); + } + + } /* end of arc clock_mprj_io[34]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.704978, 2.291438, 1.941224, 1.473337, 1.283041",\ + "3.260662, 2.847122, 2.496908, 2.029021, 1.838725",\ + "3.816346, 3.402806, 3.052592, 2.584705, 2.394409",\ + "4.558741, 4.145201, 3.794986, 3.327099, 3.136804",\ + "4.844819, 4.431279, 4.081065, 3.613178, 3.422882"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.561301, 3.147761, 2.797547, 2.329660, 2.139364",\ + "4.014487, 3.600947, 3.250733, 2.782846, 2.592550",\ + "4.467672, 4.054132, 3.703918, 3.236031, 3.045735",\ + "5.073128, 4.659588, 4.309374, 3.841487, 3.651191",\ + "5.332401, 4.918861, 4.568646, 4.100760, 3.910464"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.725808, -1.272617, -0.819425, -0.213961, 0.045310",\ + "-1.743308, -1.290116, -0.836924, -0.231461, 0.027810",\ + "-1.760808, -1.307616, -0.854424, -0.248960, 0.010310",\ + "-1.784187, -1.330995, -0.877804, -0.272340, -0.013069",\ + "-1.739418, -1.286227, -0.833035, -0.227571, 0.031700"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.325732, -1.872540, -1.419348, -0.813885, -0.554614",\ + "-2.739228, -2.286037, -1.832845, -1.227381, -0.968111",\ + "-3.089443, -2.636251, -2.183059, -1.577596, -1.318325",\ + "-3.557330, -3.104138, -2.650946, -2.045483, -1.786212",\ + "-3.747620, -3.294429, -2.841237, -2.235773, -1.976502"); + } + + } /* end of arc mprj_io[4]_mprj_io[34]_hldf*/ + +} /* end of pin mprj_io[34] */ + +pin("mprj_io[33]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.908299, 6.908299, 6.727662, 6.667449, 6.547025",\ + "7.463954, 7.463954, 7.283317, 7.223105, 7.102680",\ + "8.019611, 8.019611, 7.838974, 7.778761, 7.658337",\ + "8.761969, 8.761969, 8.581331, 8.521118, 8.400694",\ + "9.048050, 9.048050, 8.867413, 8.807201, 8.686776"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.033577, 7.033577, 6.852940, 6.792728, 6.672303",\ + "7.486797, 7.486797, 7.306159, 7.245947, 7.125523",\ + "7.940015, 7.940015, 7.759378, 7.699166, 7.578741",\ + "8.545517, 8.545517, 8.364880, 8.304667, 8.184242",\ + "8.804771, 8.804771, 8.624134, 8.563921, 8.443497"); + } + + } /* end of arc clock_mprj_io[33]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.833289, 0.833289, 0.923607, 1.013926, 1.194562",\ + "0.815774, 0.815774, 0.906093, 0.996411, 1.177048",\ + "0.798259, 0.798259, 0.888578, 0.978897, 1.159533",\ + "0.774859, 0.774859, 0.865178, 0.955496, 1.136133",\ + "0.819628, 0.819628, 0.909947, 1.000266, 1.180902"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.247521, -0.247521, -0.157203, -0.066884, 0.113752",\ + "-0.660493, -0.660493, -0.570175, -0.479856, -0.299220",\ + "-1.010748, -1.010748, -0.920430, -0.830111, -0.649475",\ + "-1.478690, -1.478690, -1.388371, -1.298052, -1.117416",\ + "-1.668964, -1.668964, -1.578645, -1.488327, -1.307690"); + } + + } /* end of arc clock_mprj_io[33]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.682568, 2.269028, 1.918814, 1.450927, 1.260631",\ + "3.238224, 2.824684, 2.474470, 2.006583, 1.816287",\ + "3.793881, 3.380341, 3.030127, 2.562240, 2.371944",\ + "4.536238, 4.122698, 3.772483, 3.304596, 3.114300",\ + "4.822320, 4.408780, 4.058565, 3.590678, 3.400383"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.123395, 3.709855, 3.359640, 2.891753, 2.701458",\ + "4.576614, 4.163074, 3.812860, 3.344973, 3.154677",\ + "5.029835, 4.616295, 4.266080, 3.798193, 3.607898",\ + "5.635336, 5.221796, 4.871581, 4.403694, 4.213399",\ + "5.894591, 5.481051, 5.130836, 4.662950, 4.472654"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.710527, -1.257335, -0.804143, -0.198679, 0.060591",\ + "-1.728042, -1.274850, -0.821658, -0.216194, 0.043077",\ + "-1.745556, -1.292365, -0.839173, -0.233709, 0.025562",\ + "-1.768957, -1.315765, -0.862573, -0.257109, 0.002162",\ + "-1.724187, -1.270996, -0.817804, -0.212340, 0.046931"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.103157, -2.649965, -2.196774, -1.591310, -1.332039",\ + "-3.516129, -3.062937, -2.609746, -2.004282, -1.745011",\ + "-3.866384, -3.413192, -2.960001, -2.354537, -2.095266",\ + "-4.334325, -3.881134, -3.427942, -2.822478, -2.563207",\ + "-4.524600, -4.071408, -3.618216, -3.012752, -2.753481"); + } + + } /* end of arc mprj_io[4]_mprj_io[33]_hldf*/ + +} /* end of pin mprj_io[33] */ + +pin("mprj_io[32]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.073499, 7.073499, 6.892861, 6.832649, 6.712224",\ + "7.629183, 7.629183, 7.448545, 7.388333, 7.267909",\ + "8.184866, 8.184866, 8.004229, 7.944016, 7.823592",\ + "8.927258, 8.927258, 8.746621, 8.686409, 8.565985",\ + "9.213339, 9.213339, 9.032701, 8.972489, 8.852064"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.117614, 7.117614, 6.936976, 6.876764, 6.756340",\ + "7.570794, 7.570794, 7.390157, 7.329945, 7.209520",\ + "8.023974, 8.023974, 7.843337, 7.783125, 7.662700",\ + "8.629424, 8.629424, 8.448787, 8.388575, 8.268150",\ + "8.888697, 8.888697, 8.708059, 8.647847, 8.527422"); + } + + } /* end of arc clock_mprj_io[32]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.047565, 1.047565, 1.137884, 1.228202, 1.408839",\ + "1.030059, 1.030059, 1.120378, 1.210696, 1.391333",\ + "1.012552, 1.012552, 1.102871, 1.193190, 1.373826",\ + "0.989164, 0.989164, 1.079483, 1.169801, 1.350438",\ + "1.033933, 1.033933, 1.124251, 1.214570, 1.395206"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.417346, 0.417346, 0.507664, 0.597983, 0.778619",\ + "0.004024, 0.004024, 0.094343, 0.184661, 0.365298",\ + "-0.346199, -0.346199, -0.255880, -0.165561, 0.015075",\ + "-0.814097, -0.814097, -0.723778, -0.633460, -0.452823",\ + "-1.004375, -1.004375, -0.914056, -0.823738, -0.643101"); + } + + } /* end of arc clock_mprj_io[32]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.528085, 2.114545, 1.764331, 1.296444, 1.106148",\ + "3.083768, 2.670228, 2.320014, 1.852127, 1.661831",\ + "3.639452, 3.225912, 2.875698, 2.407811, 2.217515",\ + "4.381846, 3.968306, 3.618092, 3.150205, 2.959909",\ + "4.667926, 4.254386, 3.904171, 3.436285, 3.245989"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.334000, 2.920460, 2.570245, 2.102358, 1.912063",\ + "3.787181, 3.373641, 3.023427, 2.555540, 2.365244",\ + "4.240362, 3.826822, 3.476608, 3.008721, 2.818425",\ + "4.845812, 4.432272, 4.082057, 3.614171, 3.423875",\ + "5.105084, 4.691545, 4.341330, 3.873443, 3.683147"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.468552, -1.015361, -0.562169, 0.043295, 0.302566",\ + "-1.486058, -1.032867, -0.579675, 0.025789, 0.285060",\ + "-1.503565, -1.050373, -0.597182, 0.008283, 0.267553",\ + "-1.526953, -1.073762, -0.620570, -0.015106, 0.244165",\ + "-1.482185, -1.028993, -0.575801, 0.029663, 0.288934"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.300106, -1.846914, -1.393722, -0.788258, -0.528987",\ + "-2.713427, -2.260236, -1.807044, -1.201580, -0.942309",\ + "-3.063650, -2.610458, -2.157266, -1.551802, -1.292531",\ + "-3.531548, -3.078357, -2.625165, -2.019701, -1.760430",\ + "-3.721826, -3.268635, -2.815443, -2.209979, -1.950708"); + } + + } /* end of arc mprj_io[4]_mprj_io[32]_hldf*/ + +} /* end of pin mprj_io[32] */ + +pin("mprj_io[31]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.378366, 7.378366, 7.197728, 7.137516, 7.017091",\ + "7.933957, 7.933957, 7.753320, 7.693108, 7.572683",\ + "8.489548, 8.489548, 8.308910, 8.248698, 8.128273",\ + "9.231817, 9.231817, 9.051180, 8.990968, 8.870543",\ + "9.517905, 9.517905, 9.337268, 9.277056, 9.156631"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.403968, 7.403968, 7.223330, 7.163118, 7.042694",\ + "7.857279, 7.857279, 7.676641, 7.616429, 7.496005",\ + "8.310591, 8.310591, 8.129953, 8.069741, 7.949317",\ + "8.916217, 8.916217, 8.735579, 8.675367, 8.554943",\ + "9.175431, 9.175431, 8.994794, 8.934582, 8.814157"); + } + + } /* end of arc clock_mprj_io[31]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.700169, 0.700169, 0.790487, 0.880806, 1.061442",\ + "0.682633, 0.682633, 0.772952, 0.863270, 1.043907",\ + "0.665097, 0.665097, 0.755415, 0.845734, 1.026371",\ + "0.641669, 0.641669, 0.731987, 0.822306, 1.002943",\ + "0.686440, 0.686440, 0.776758, 0.867077, 1.047713"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.306104, -0.306104, -0.215785, -0.125466, 0.055170",\ + "-0.718233, -0.718233, -0.627914, -0.537596, -0.356959",\ + "-1.068567, -1.068567, -0.978249, -0.887930, -0.707294",\ + "-1.536613, -1.536613, -1.446294, -1.355976, -1.175339",\ + "-1.726877, -1.726877, -1.636559, -1.546240, -1.365603"); + } + + } /* end of arc clock_mprj_io[31]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.278946, 2.865407, 2.515192, 2.047305, 1.857009",\ + "3.834538, 3.420998, 3.070783, 2.602896, 2.412601",\ + "4.390128, 3.976588, 3.626374, 3.158487, 2.968191",\ + "5.132399, 4.718859, 4.368644, 3.900757, 3.710462",\ + "5.418487, 5.004947, 4.654733, 4.186846, 3.996550"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.259502, 3.845962, 3.495748, 3.027861, 2.837565",\ + "4.712814, 4.299274, 3.949059, 3.481173, 3.290877",\ + "5.166126, 4.752586, 4.402371, 3.934484, 3.744189",\ + "5.771751, 5.358212, 5.007997, 4.540110, 4.349814",\ + "6.030965, 5.617425, 5.267210, 4.799324, 4.609028"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.950724, -1.497532, -1.044340, -0.438876, -0.179605",\ + "-1.968260, -1.515068, -1.061876, -0.456412, -0.197141",\ + "-1.985796, -1.532604, -1.079412, -0.473948, -0.214677",\ + "-2.009224, -1.556032, -1.102840, -0.497376, -0.238105",\ + "-1.964453, -1.511261, -1.058069, -0.452605, -0.193335"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.254281, -2.801089, -2.347897, -1.742433, -1.483162",\ + "-3.666410, -3.213218, -2.760027, -2.154562, -1.895292",\ + "-4.016745, -3.563553, -3.110361, -2.504897, -2.245626",\ + "-4.484790, -4.031598, -3.578407, -2.972942, -2.713672",\ + "-4.675055, -4.221863, -3.768671, -3.163207, -2.903936"); + } + + } /* end of arc mprj_io[4]_mprj_io[31]_hldf*/ + +} /* end of pin mprj_io[31] */ + +pin("mprj_io[30]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "8.491322, 8.491322, 8.310684, 8.250471, 8.130047",\ + "9.046968, 9.046968, 8.866331, 8.806118, 8.685694",\ + "9.602617, 9.602617, 9.421980, 9.361767, 9.241343",\ + "10.344961, 10.344961, 10.164324, 10.104113, 9.983687",\ + "10.631044, 10.631044, 10.450407, 10.390196, 10.269771"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "8.419024, 8.419024, 8.238386, 8.178175, 8.057750",\ + "8.872255, 8.872255, 8.691618, 8.631405, 8.510981",\ + "9.325485, 9.325485, 9.144848, 9.084637, 8.964211",\ + "9.931002, 9.931002, 9.750364, 9.690151, 9.569727",\ + "10.190250, 10.190250, 10.009613, 9.949402, 9.828977"); + } + + } /* end of arc clock_mprj_io[30]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.109288, 0.109288, 0.199606, 0.289925, 0.470562",\ + "0.091770, 0.091770, 0.182089, 0.272408, 0.453044",\ + "0.074253, 0.074253, 0.164571, 0.254890, 0.435527",\ + "0.050849, 0.050849, 0.141168, 0.231486, 0.412123",\ + "0.095619, 0.095619, 0.185937, 0.276256, 0.456893"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.903891, -0.903891, -0.813572, -0.723253, -0.542616",\ + "-1.316759, -1.316759, -1.226440, -1.136121, -0.955484",\ + "-1.667024, -1.667024, -1.576705, -1.486387, -1.305750",\ + "-2.134978, -2.134978, -2.044659, -1.954340, -1.773704",\ + "-2.325251, -2.325251, -2.234932, -2.144614, -1.963977"); + } + + } /* end of arc clock_mprj_io[30]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.925578, 3.512038, 3.161824, 2.693937, 2.503641",\ + "4.481225, 4.067686, 3.717471, 3.249584, 3.059289",\ + "5.036874, 4.623334, 4.273119, 3.805233, 3.614937",\ + "5.779220, 5.365680, 5.015466, 4.547579, 4.357283",\ + "6.065303, 5.651763, 5.301549, 4.833662, 4.643366"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.157097, 4.743557, 4.393343, 3.925456, 3.735160",\ + "5.610328, 5.196788, 4.846574, 4.378687, 4.188391",\ + "6.063558, 5.650018, 5.299804, 4.831917, 4.641621",\ + "6.669075, 6.255535, 5.905320, 5.437433, 5.247138",\ + "6.928325, 6.514785, 6.164571, 5.696684, 5.506388"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.468160, -2.014969, -1.561777, -0.956313, -0.697042",\ + "-2.485678, -2.032486, -1.579294, -0.973830, -0.714560",\ + "-2.503196, -2.050004, -1.596812, -0.991348, -0.732077",\ + "-2.526599, -2.073407, -1.620216, -1.014751, -0.755481",\ + "-2.481829, -2.028638, -1.575446, -0.969982, -0.710711"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.767460, -3.314268, -2.861076, -2.255612, -1.996341",\ + "-4.180327, -3.727135, -3.273944, -2.668479, -2.409209",\ + "-4.530593, -4.077401, -3.624209, -3.018745, -2.759474",\ + "-4.998547, -4.545355, -4.092163, -3.486699, -3.227428",\ + "-5.188820, -4.735628, -4.282436, -3.676972, -3.417701"); + } + + } /* end of arc mprj_io[4]_mprj_io[30]_hldf*/ + +} /* end of pin mprj_io[30] */ + +pin("mprj_io[29]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.141807, 7.141807, 6.961169, 6.900957, 6.780532",\ + "7.697473, 7.697473, 7.516835, 7.456623, 7.336198",\ + "8.253139, 8.253139, 8.072501, 8.012289, 7.891864",\ + "8.995507, 8.995507, 8.814870, 8.754658, 8.634233",\ + "9.281590, 9.281590, 9.100952, 9.040740, 8.920315"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.099643, 7.099643, 6.919005, 6.858793, 6.738369",\ + "7.552849, 7.552849, 7.372211, 7.311999, 7.191575",\ + "8.006054, 8.006054, 7.825417, 7.765204, 7.644780",\ + "8.611537, 8.611537, 8.430900, 8.370687, 8.250263",\ + "8.870797, 8.870797, 8.690160, 8.629948, 8.509523"); + } + + } /* end of arc clock_mprj_io[29]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.423467, 0.423467, 0.513785, 0.604104, 0.784741",\ + "0.405955, 0.405955, 0.496274, 0.586592, 0.767229",\ + "0.388443, 0.388443, 0.478761, 0.569080, 0.749717",\ + "0.365047, 0.365047, 0.455365, 0.545684, 0.726321",\ + "0.409816, 0.409816, 0.500135, 0.590454, 0.771091"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.012188, -0.012188, 0.078131, 0.168449, 0.349086",\ + "-0.425283, -0.425283, -0.334964, -0.244646, -0.064009",\ + "-0.775527, -0.775527, -0.685208, -0.594889, -0.414252",\ + "-1.243453, -1.243453, -1.153134, -1.062815, -0.882178",\ + "-1.433729, -1.433729, -1.343410, -1.253091, -1.072454"); + } + + } /* end of arc clock_mprj_io[29]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.455798, 3.042258, 2.692044, 2.224157, 2.033861",\ + "4.011465, 3.597925, 3.247711, 2.779824, 2.589528",\ + "4.567132, 4.153592, 3.803378, 3.335491, 3.145195",\ + "5.309502, 4.895962, 4.545747, 4.077860, 3.887565",\ + "5.595584, 5.182045, 4.831830, 4.363943, 4.173647"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.196863, 3.783323, 3.433108, 2.965221, 2.774926",\ + "4.650068, 4.236528, 3.886314, 3.418427, 3.228131",\ + "5.103274, 4.689734, 4.339520, 3.871633, 3.681337",\ + "5.708757, 5.295217, 4.945003, 4.477116, 4.286820",\ + "5.968018, 5.554478, 5.204264, 4.736377, 4.546081"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.597047, -2.143855, -1.690663, -1.085199, -0.825928",\ + "-2.614559, -2.161367, -1.708175, -1.102711, -0.843441",\ + "-2.632071, -2.178879, -1.725688, -1.120224, -0.860953",\ + "-2.655467, -2.202275, -1.749083, -1.143619, -0.884348",\ + "-2.610698, -2.157506, -1.704314, -1.098850, -0.839579"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.333335, -2.880143, -2.426952, -1.821487, -1.562217",\ + "-3.746430, -3.293238, -2.840047, -2.234582, -1.975312",\ + "-4.096674, -3.643482, -3.190290, -2.584826, -2.325555",\ + "-4.564600, -4.111408, -3.658216, -3.052752, -2.793481",\ + "-4.754876, -4.301684, -3.848492, -3.243028, -2.983757"); + } + + } /* end of arc mprj_io[4]_mprj_io[29]_hldf*/ + +} /* end of pin mprj_io[29] */ + +pin("mprj_io[28]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.129104, 7.129104, 6.948466, 6.888254, 6.767829",\ + "7.684771, 7.684771, 7.504133, 7.443921, 7.323496",\ + "8.240438, 8.240438, 8.059800, 7.999588, 7.879163",\ + "8.982807, 8.982807, 8.802170, 8.741958, 8.621532",\ + "9.268888, 9.268888, 9.088251, 9.028039, 8.907614"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.015121, 7.015121, 6.834484, 6.774272, 6.653847",\ + "7.468327, 7.468327, 7.287689, 7.227477, 7.107052",\ + "7.921531, 7.921531, 7.740893, 7.680681, 7.560256",\ + "8.527012, 8.527012, 8.346375, 8.286162, 8.165737",\ + "8.786274, 8.786274, 8.605637, 8.545424, 8.424999"); + } + + } /* end of arc clock_mprj_io[28]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.216592, -0.216592, -0.126273, -0.035954, 0.144682",\ + "-0.234103, -0.234103, -0.143785, -0.053466, 0.127171",\ + "-0.251615, -0.251615, -0.161296, -0.070978, 0.109659",\ + "-0.275011, -0.275011, -0.184692, -0.094374, 0.086263",\ + "-0.230241, -0.230241, -0.139923, -0.049604, 0.131033"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.754542, -0.754542, -0.664223, -0.573905, -0.393268",\ + "-1.167647, -1.167647, -1.077329, -0.987010, -0.806373",\ + "-1.517890, -1.517890, -1.427572, -1.337253, -1.156616",\ + "-1.985815, -1.985815, -1.895496, -1.805178, -1.624541",\ + "-2.176091, -2.176091, -2.085772, -1.995453, -1.814816"); + } + + } /* end of arc clock_mprj_io[28]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.208505, 3.794965, 3.444751, 2.976864, 2.786568",\ + "4.764173, 4.350633, 4.000419, 3.532531, 3.342236",\ + "5.319839, 4.906300, 4.556085, 4.088198, 3.897902",\ + "6.062210, 5.648670, 5.298456, 4.830569, 4.640273",\ + "6.348291, 5.934752, 5.584538, 5.116651, 4.926354"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.615265, 4.201725, 3.851511, 3.383624, 3.193328",\ + "5.068471, 4.654931, 4.304716, 3.836829, 3.646534",\ + "5.521674, 5.108134, 4.757920, 4.290033, 4.099737",\ + "6.127156, 5.713616, 5.363402, 4.895515, 4.705219",\ + "6.386418, 5.972878, 5.622664, 5.154778, 4.964481"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.710409, -2.257217, -1.804025, -1.198561, -0.939291",\ + "-2.727920, -2.274728, -1.821537, -1.216073, -0.956802",\ + "-2.745432, -2.292240, -1.839048, -1.233584, -0.974314",\ + "-2.768828, -2.315636, -1.862444, -1.256980, -0.997710",\ + "-2.724058, -2.270866, -1.817675, -1.212211, -0.952940"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.528122, -3.074930, -2.621738, -2.016274, -1.757004",\ + "-3.941227, -3.488036, -3.034844, -2.429380, -2.170109",\ + "-4.291471, -3.838279, -3.385087, -2.779623, -2.520352",\ + "-4.759395, -4.306203, -3.853012, -3.247548, -2.988277",\ + "-4.949671, -4.496479, -4.043287, -3.437823, -3.178553"); + } + + } /* end of arc mprj_io[4]_mprj_io[28]_hldf*/ + +} /* end of pin mprj_io[28] */ + +pin("mprj_io[27]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.317308, 7.317308, 7.136671, 7.076459, 6.956034",\ + "7.872985, 7.872985, 7.692348, 7.632135, 7.511711",\ + "8.428660, 8.428660, 8.248023, 8.187811, 8.067387",\ + "9.171045, 9.171045, 8.990408, 8.930196, 8.809771",\ + "9.457126, 9.457126, 9.276488, 9.216276, 9.095852"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.266621, 7.266621, 7.085983, 7.025771, 6.905346",\ + "7.719811, 7.719811, 7.539174, 7.478962, 7.358537",\ + "8.173002, 8.173002, 7.992365, 7.932153, 7.811728",\ + "8.778464, 8.778464, 8.597827, 8.537615, 8.417191",\ + "9.037732, 9.037732, 8.857095, 8.796883, 8.676458"); + } + + } /* end of arc clock_mprj_io[27]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.550949, -0.550949, -0.460630, -0.370311, -0.189674",\ + "-0.568457, -0.568457, -0.478138, -0.387820, -0.207183",\ + "-0.585966, -0.585966, -0.495647, -0.405328, -0.224691",\ + "-0.609357, -0.609357, -0.519039, -0.428720, -0.248083",\ + "-0.564588, -0.564588, -0.474269, -0.383951, -0.203314"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.678733, -0.678733, -0.588414, -0.498096, -0.317459",\ + "-1.091960, -1.091960, -1.001641, -0.911323, -0.730686",\ + "-1.442192, -1.442192, -1.351873, -1.261554, -1.080917",\ + "-1.910101, -1.910101, -1.819782, -1.729464, -1.548827",\ + "-2.100378, -2.100378, -2.010059, -1.919741, -1.739104"); + } + + } /* end of arc clock_mprj_io[27]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.372739, 3.959199, 3.608985, 3.141098, 2.950802",\ + "4.928415, 4.514875, 4.164660, 3.696774, 3.506478",\ + "5.484091, 5.070551, 4.720337, 4.252450, 4.062154",\ + "6.226474, 5.812934, 5.462720, 4.994833, 4.804537",\ + "6.512555, 6.099015, 5.748800, 5.280913, 5.090618"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.429821, 5.016282, 4.666067, 4.198180, 4.007885",\ + "5.883013, 5.469473, 5.119259, 4.651372, 4.461076",\ + "6.336204, 5.922664, 5.572450, 5.104563, 4.914267",\ + "6.941667, 6.528127, 6.177913, 5.710026, 5.519730",\ + "7.200935, 6.787395, 6.437181, 5.969294, 5.778998"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.521065, -3.067873, -2.614681, -2.009217, -1.749946",\ + "-3.538573, -3.085381, -2.632190, -2.026725, -1.767455",\ + "-3.556082, -3.102890, -2.649698, -2.044234, -1.784963",\ + "-3.579473, -3.126281, -2.673090, -2.067626, -1.808355",\ + "-3.534704, -3.081512, -2.628320, -2.022856, -1.763586"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.885026, -3.431834, -2.978643, -2.373178, -2.113908",\ + "-4.298253, -3.845062, -3.391870, -2.786406, -2.527135",\ + "-4.648485, -4.195293, -3.742101, -3.136637, -2.877367",\ + "-5.116394, -4.663202, -4.210011, -3.604547, -3.345276",\ + "-5.306671, -4.853479, -4.400288, -3.794823, -3.535553"); + } + + } /* end of arc mprj_io[4]_mprj_io[27]_hldf*/ + +} /* end of pin mprj_io[27] */ + +pin("mprj_io[26]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.144337, 7.144337, 6.963700, 6.903488, 6.783062",\ + "7.700016, 7.700016, 7.519378, 7.459166, 7.338741",\ + "8.255695, 8.255695, 8.075058, 8.014845, 7.894420",\ + "8.998081, 8.998081, 8.817444, 8.757233, 8.636806",\ + "9.284163, 9.284163, 9.103525, 9.043312, 8.922888"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.305480, 7.305480, 7.124843, 7.064631, 6.944206",\ + "7.758667, 7.758667, 7.578030, 7.517818, 7.397393",\ + "8.211853, 8.211853, 8.031216, 7.971004, 7.850579",\ + "8.817310, 8.817310, 8.636673, 8.576462, 8.456036",\ + "9.076580, 9.076580, 8.895943, 8.835732, 8.715305"); + } + + } /* end of arc clock_mprj_io[26]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.363174, -0.363174, -0.272856, -0.182537, -0.001900",\ + "-0.380682, -0.380682, -0.290363, -0.200045, -0.019408",\ + "-0.398190, -0.398190, -0.307871, -0.217552, -0.036915",\ + "-0.421580, -0.421580, -0.331262, -0.240943, -0.060306",\ + "-0.376811, -0.376811, -0.286492, -0.196174, -0.015537"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.013017, -1.013017, -0.922698, -0.832380, -0.651743",\ + "-1.426284, -1.426284, -1.335966, -1.245647, -1.065010",\ + "-1.776512, -1.776512, -1.686193, -1.595875, -1.415238",\ + "-2.244416, -2.244416, -2.154098, -2.063779, -1.883142",\ + "-2.434694, -2.434694, -2.344375, -2.254056, -2.073420"); + } + + } /* end of arc clock_mprj_io[26]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.908035, 3.494495, 3.144281, 2.676394, 2.486098",\ + "4.463714, 4.050174, 3.699959, 3.232072, 3.041777",\ + "5.019393, 4.605853, 4.255639, 3.787752, 3.597456",\ + "5.761780, 5.348240, 4.998026, 4.530139, 4.339843",\ + "6.047861, 5.634321, 5.284106, 4.816219, 4.625924"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.115407, 4.701868, 4.351653, 3.883766, 3.693470",\ + "5.568594, 5.155055, 4.804840, 4.336953, 4.146657",\ + "6.021781, 5.608242, 5.258027, 4.790140, 4.599844",\ + "6.627239, 6.213699, 5.863484, 5.395597, 5.205302",\ + "6.886508, 6.472969, 6.122754, 5.654867, 5.464571"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.867955, -2.414763, -1.961571, -1.356108, -1.096837",\ + "-2.885463, -2.432271, -1.979079, -1.373616, -1.114345",\ + "-2.902971, -2.449779, -1.996587, -1.391123, -1.131853",\ + "-2.926361, -2.473169, -2.019977, -1.414514, -1.155243",\ + "-2.881592, -2.428400, -1.975209, -1.369745, -1.110474"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.759037, -3.305845, -2.852654, -2.247190, -1.987919",\ + "-4.172304, -3.719113, -3.265921, -2.660457, -2.401186",\ + "-4.522532, -4.069341, -3.616149, -3.010685, -2.751414",\ + "-4.990437, -4.537245, -4.084053, -3.478589, -3.219318",\ + "-5.180714, -4.727522, -4.274330, -3.668867, -3.409596"); + } + + } /* end of arc mprj_io[4]_mprj_io[26]_hldf*/ + +} /* end of pin mprj_io[26] */ + +pin("mprj_io[25]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.631875, 6.631875, 6.451237, 6.391025, 6.270600",\ + "7.187546, 7.187546, 7.006909, 6.946697, 6.826272",\ + "7.743218, 7.743218, 7.562581, 7.502368, 7.381944",\ + "8.485596, 8.485596, 8.304958, 8.244747, 8.124322",\ + "8.771677, 8.771677, 8.591040, 8.530827, 8.410402"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.804760, 6.804760, 6.624122, 6.563910, 6.443485",\ + "7.257956, 7.257956, 7.077319, 7.017107, 6.896682",\ + "7.711152, 7.711152, 7.530514, 7.470302, 7.349877",\ + "8.316622, 8.316622, 8.135984, 8.075773, 7.955348",\ + "8.575888, 8.575888, 8.395250, 8.335039, 8.214614"); + } + + } /* end of arc clock_mprj_io[25]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-0.607127, -0.607127, -0.516808, -0.426489, -0.245853",\ + "-0.624637, -0.624637, -0.534318, -0.443999, -0.263363",\ + "-0.642147, -0.642147, -0.551828, -0.461509, -0.280873",\ + "-0.665540, -0.665540, -0.575221, -0.484902, -0.304266",\ + "-0.620770, -0.620770, -0.530452, -0.440133, -0.259497"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "-1.185782, -1.185782, -1.095464, -1.005145, -0.824509",\ + "-1.598959, -1.598959, -1.508640, -1.418322, -1.237685",\ + "-1.949196, -1.949196, -1.858877, -1.768559, -1.587922",\ + "-2.417111, -2.417111, -2.326793, -2.236474, -2.055838",\ + "-2.607388, -2.607388, -2.517069, -2.426751, -2.246114"); + } + + } /* end of arc clock_mprj_io[25]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.169057, 3.755517, 3.405303, 2.937416, 2.747120",\ + "4.724730, 4.311190, 3.960975, 3.493088, 3.302792",\ + "5.280401, 4.866861, 4.516646, 4.048759, 3.858464",\ + "6.022779, 5.609239, 5.259024, 4.791137, 4.600842",\ + "6.308859, 5.895319, 5.545104, 5.077217, 4.886922"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.233662, 4.820122, 4.469907, 4.002020, 3.811724",\ + "5.686858, 5.273318, 4.923103, 4.455216, 4.264921",\ + "6.140055, 5.726515, 5.376300, 4.908413, 4.718118",\ + "6.745526, 6.331986, 5.981771, 5.513885, 5.323589",\ + "7.004791, 6.591251, 6.241036, 5.773149, 5.582854"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-3.150942, -2.697751, -2.244559, -1.639095, -1.379824",\ + "-3.168452, -2.715261, -2.262069, -1.656605, -1.397334",\ + "-3.185962, -2.732770, -2.279579, -1.674115, -1.414844",\ + "-3.209355, -2.756164, -2.302972, -1.697508, -1.438237",\ + "-3.164586, -2.711394, -2.258203, -1.652739, -1.393468"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-4.041418, -3.588226, -3.135035, -2.529571, -2.270300",\ + "-4.454595, -4.001403, -3.548211, -2.942747, -2.683476",\ + "-4.804832, -4.351640, -3.898448, -3.292984, -3.033713",\ + "-5.272747, -4.819555, -4.366364, -3.760900, -3.501629",\ + "-5.463024, -5.009832, -4.556641, -3.951177, -3.691906"); + } + + } /* end of arc mprj_io[4]_mprj_io[25]_hldf*/ + +} /* end of pin mprj_io[25] */ + +pin("mprj_io[24]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[24] */ + +pin("mprj_io[23]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[23] */ + +pin("mprj_io[22]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[22] */ + +pin("mprj_io[21]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[21] */ + +pin("mprj_io[20]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[20] */ + +pin("mprj_io[19]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[19] */ + +pin("mprj_io[18]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[18] */ + +pin("mprj_io[17]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[17] */ + +pin("mprj_io[16]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[16] */ + +pin("mprj_io[15]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[15] */ + +pin("mprj_io[14]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[14] */ + +pin("mprj_io[13]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.788496, 6.788496, 6.607859, 6.547647, 6.427222",\ + "7.344179, 7.344179, 7.163541, 7.103329, 6.982904",\ + "7.899861, 7.899861, 7.719223, 7.659011, 7.538586",\ + "8.642252, 8.642252, 8.461615, 8.401403, 8.280977",\ + "8.928331, 8.928331, 8.747694, 8.687483, 8.567057"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.930339, 6.930339, 6.749702, 6.689490, 6.569065",\ + "7.383527, 7.383527, 7.202890, 7.142678, 7.022253",\ + "7.836715, 7.836715, 7.656078, 7.595866, 7.475441",\ + "8.442173, 8.442173, 8.261536, 8.201324, 8.080898",\ + "8.701445, 8.701445, 8.520807, 8.460596, 8.340170"); + } + + } /* end of arc clock_mprj_io[13]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.440662, 1.440662, 1.530981, 1.621299, 1.801936",\ + "1.423163, 1.423163, 1.513482, 1.603801, 1.784438",\ + "1.405665, 1.405665, 1.495984, 1.586302, 1.766939",\ + "1.382287, 1.382287, 1.472605, 1.562924, 1.743561",\ + "1.427055, 1.427055, 1.517374, 1.607693, 1.788330"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.816228, 0.816228, 0.906547, 0.996865, 1.177502",\ + "0.402713, 0.402713, 0.493032, 0.583351, 0.763988",\ + "0.052498, 0.052498, 0.142817, 0.233136, 0.413773",\ + "-0.415389, -0.415389, -0.325070, -0.234752, -0.054115",\ + "-0.605681, -0.605681, -0.515362, -0.425044, -0.244407"); + } + + } /* end of arc clock_mprj_io[13]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.306907, 1.893367, 1.543152, 1.075265, 0.884970",\ + "2.862589, 2.449049, 2.098835, 1.630948, 1.440652",\ + "3.418272, 3.004732, 2.654518, 2.186631, 1.996335",\ + "4.160665, 3.747125, 3.396910, 2.929023, 2.738728",\ + "4.446744, 4.033204, 3.682990, 3.215103, 3.024807"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.355318, 2.941778, 2.591564, 2.123677, 1.933381",\ + "3.808506, 3.394967, 3.044752, 2.576865, 2.386569",\ + "4.261695, 3.848155, 3.497941, 3.030054, 2.839758",\ + "4.867154, 4.453614, 4.103400, 3.635513, 3.445217",\ + "5.126426, 4.712886, 4.362671, 3.894784, 3.704489"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.579851, -1.126660, -0.673468, -0.068004, 0.191267",\ + "-1.597350, -1.144158, -0.690966, -0.085502, 0.173769",\ + "-1.614848, -1.161657, -0.708465, -0.103001, 0.156270",\ + "-1.638227, -1.185035, -0.731843, -0.126379, 0.132892",\ + "-1.593458, -1.140266, -0.687074, -0.081610, 0.177660"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.504920, -2.051728, -1.598537, -0.993073, -0.733802",\ + "-2.918434, -2.465243, -2.012051, -1.406587, -1.147316",\ + "-3.268649, -2.815458, -2.362266, -1.756802, -1.497531",\ + "-3.736537, -3.283345, -2.830153, -2.224689, -1.965418",\ + "-3.926829, -3.473637, -3.020445, -2.414981, -2.155710"); + } + + } /* end of arc mprj_io[4]_mprj_io[13]_hldf*/ + +} /* end of pin mprj_io[13] */ + +pin("mprj_io[12]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.684663, 6.684663, 6.504026, 6.443814, 6.323389",\ + "7.240352, 7.240352, 7.059715, 6.999503, 6.879078",\ + "7.796040, 7.796040, 7.615403, 7.555191, 7.434766",\ + "8.538441, 8.538441, 8.357803, 8.297590, 8.177166",\ + "8.824520, 8.824520, 8.643883, 8.583670, 8.463245"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.834753, 6.834753, 6.654115, 6.593903, 6.473478",\ + "7.287926, 7.287926, 7.107289, 7.047077, 6.926652",\ + "7.741099, 7.741099, 7.560462, 7.500249, 7.379825",\ + "8.346539, 8.346539, 8.165901, 8.105688, 7.985264",\ + "8.605814, 8.605814, 8.425177, 8.364965, 8.244540"); + } + + } /* end of arc clock_mprj_io[12]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.630737, 1.630737, 1.721055, 1.811374, 1.992011",\ + "1.613232, 1.613232, 1.703551, 1.793869, 1.974506",\ + "1.595727, 1.595727, 1.686046, 1.776364, 1.957001",\ + "1.572340, 1.572340, 1.662659, 1.752978, 1.933615",\ + "1.617110, 1.617110, 1.707428, 1.797747, 1.978384"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.589332, 1.589332, 1.679650, 1.769969, 1.950606",\ + "1.175945, 1.175945, 1.266263, 1.356582, 1.537219",\ + "0.825728, 0.825728, 0.916047, 1.006366, 1.187002",\ + "0.357839, 0.357839, 0.448158, 0.538476, 0.719113",\ + "0.167560, 0.167560, 0.257878, 0.348197, 0.528834"); + } + + } /* end of arc clock_mprj_io[12]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.676796, 1.263256, 0.913041, 0.445154, 0.254859",\ + "2.232484, 1.818944, 1.468729, 1.000842, 0.810547",\ + "2.788172, 2.374632, 2.024418, 1.556531, 1.366235",\ + "3.530572, 3.117032, 2.766818, 2.298931, 2.108635",\ + "3.816651, 3.403111, 3.052897, 2.585010, 2.394714"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.477370, 2.063830, 1.713616, 1.245729, 1.055433",\ + "2.930544, 2.517004, 2.166790, 1.698903, 1.508607",\ + "3.383717, 2.970177, 2.619963, 2.152076, 1.961780",\ + "3.989157, 3.575617, 3.225403, 2.757516, 2.567220",\ + "4.248433, 3.834893, 3.484679, 3.016792, 2.826496"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.922134, -0.468943, -0.015751, 0.589713, 0.848984",\ + "-0.939639, -0.486447, -0.033256, 0.572208, 0.831479",\ + "-0.957144, -0.503953, -0.050761, 0.554703, 0.813974",\ + "-0.980531, -0.527339, -0.074147, 0.531317, 0.790587",\ + "-0.935761, -0.482570, -0.029378, 0.576086, 0.835357"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.593239, -1.140048, -0.686856, -0.081392, 0.177879",\ + "-2.006626, -1.553434, -1.100243, -0.494779, -0.235508",\ + "-2.356843, -1.903651, -1.450459, -0.844995, -0.585724",\ + "-2.824732, -2.371541, -1.918349, -1.312885, -1.053614",\ + "-3.015011, -2.561820, -2.108628, -1.503164, -1.243893"); + } + + } /* end of arc mprj_io[4]_mprj_io[12]_hldf*/ + +} /* end of pin mprj_io[12] */ + +pin("mprj_io[11]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.899680, 6.899680, 6.719043, 6.658831, 6.538406",\ + "7.455366, 7.455366, 7.274729, 7.214517, 7.094092",\ + "8.011053, 8.011053, 7.830416, 7.770204, 7.649779",\ + "8.753448, 8.753448, 8.572810, 8.512598, 8.392174",\ + "9.039527, 9.039527, 8.858890, 8.798677, 8.678253"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.900945, 6.900945, 6.720307, 6.660095, 6.539670",\ + "7.354129, 7.354129, 7.173491, 7.113279, 6.992855",\ + "7.807313, 7.807313, 7.626676, 7.566463, 7.446039",\ + "8.412767, 8.412767, 8.232130, 8.171918, 8.051493",\ + "8.672040, 8.672040, 8.491403, 8.431190, 8.310766"); + } + + } /* end of arc clock_mprj_io[11]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.551691, 1.551691, 1.642009, 1.732328, 1.912965",\ + "1.534190, 1.534190, 1.624509, 1.714828, 1.895464",\ + "1.516690, 1.516690, 1.607008, 1.697327, 1.877964",\ + "1.493309, 1.493309, 1.583628, 1.673947, 1.854584",\ + "1.538078, 1.538078, 1.628397, 1.718716, 1.899353"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.026540, 1.026540, 1.116859, 1.207178, 1.387815",\ + "0.613054, 0.613054, 0.703373, 0.793692, 0.974329",\ + "0.262839, 0.262839, 0.353158, 0.443477, 0.624114",\ + "-0.205048, -0.205048, -0.114729, -0.024410, 0.156227",\ + "-0.395337, -0.395337, -0.305018, -0.214699, -0.034062"); + } + + } /* end of arc clock_mprj_io[11]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.328863, 1.915323, 1.565109, 1.097222, 0.906926",\ + "2.884548, 2.471008, 2.120793, 1.652906, 1.462611",\ + "3.440233, 3.026693, 2.676479, 2.208592, 2.018296",\ + "4.182629, 3.769089, 3.418874, 2.950987, 2.760692",\ + "4.468707, 4.055167, 3.704953, 3.237066, 3.046770"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.081241, 2.667701, 2.317486, 1.849599, 1.659304",\ + "3.534425, 3.120885, 2.770671, 2.302784, 2.112488",\ + "3.987609, 3.574069, 3.223855, 2.755968, 2.565672",\ + "4.593063, 4.179523, 3.829309, 3.361422, 3.171126",\ + "4.852335, 4.438796, 4.088581, 3.620694, 3.430398"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.418536, -0.965344, -0.512152, 0.093312, 0.352582",\ + "-1.436036, -0.982845, -0.529653, 0.075811, 0.335082",\ + "-1.453537, -1.000345, -0.547153, 0.058311, 0.317582",\ + "-1.476917, -1.023725, -0.570534, 0.034931, 0.294201",\ + "-1.432148, -0.978956, -0.525765, 0.079700, 0.338970"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.179744, -1.726552, -1.273360, -0.667896, -0.408626",\ + "-2.593230, -2.140038, -1.686846, -1.081382, -0.822112",\ + "-2.943445, -2.490253, -2.037061, -1.431597, -1.172327",\ + "-3.411332, -2.958140, -2.504948, -1.899484, -1.640213",\ + "-3.601621, -3.148429, -2.695237, -2.089773, -1.830503"); + } + + } /* end of arc mprj_io[4]_mprj_io[11]_hldf*/ + +} /* end of pin mprj_io[11] */ + +pin("mprj_io[10]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.024645, 7.024645, 6.844008, 6.783796, 6.663371",\ + "7.580332, 7.580332, 7.399695, 7.339483, 7.219058",\ + "8.136019, 8.136019, 7.955382, 7.895170, 7.774745",\ + "8.878416, 8.878416, 8.697779, 8.637568, 8.517142",\ + "9.164495, 9.164495, 8.983858, 8.923647, 8.803222"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.080853, 7.080853, 6.900216, 6.840003, 6.719579",\ + "7.534030, 7.534030, 7.353393, 7.293181, 7.172756",\ + "7.987206, 7.987206, 7.806569, 7.746356, 7.625932",\ + "8.592648, 8.592648, 8.412010, 8.351799, 8.231374",\ + "8.851923, 8.851923, 8.671286, 8.611073, 8.490648"); + } + + } /* end of arc clock_mprj_io[10]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.700415, 1.700415, 1.790733, 1.881052, 2.061689",\ + "1.682909, 1.682909, 1.773228, 1.863547, 2.044183",\ + "1.665404, 1.665404, 1.755723, 1.846041, 2.026678",\ + "1.642017, 1.642017, 1.732336, 1.822654, 2.003291",\ + "1.686786, 1.686786, 1.777105, 1.867423, 2.048060"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.182838, 1.182838, 1.273157, 1.363475, 1.544112",\ + "0.769474, 0.769474, 0.859792, 0.950111, 1.130748",\ + "0.419255, 0.419255, 0.509573, 0.599892, 0.780529",\ + "-0.048637, -0.048637, 0.041681, 0.132000, 0.312637",\ + "-0.238917, -0.238917, -0.148598, -0.058280, 0.122357"); + } + + } /* end of arc clock_mprj_io[10]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.090051, 1.676511, 1.326296, 0.858409, 0.668114",\ + "2.645738, 2.232198, 1.881984, 1.414097, 1.223801",\ + "3.201425, 2.787885, 2.437671, 1.969784, 1.779488",\ + "3.943823, 3.530283, 3.180069, 2.712182, 2.521886",\ + "4.229903, 3.816363, 3.466149, 2.998262, 2.807966"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.906336, 2.492796, 2.142581, 1.674695, 1.484399",\ + "3.359512, 2.945972, 2.595757, 2.127871, 1.937575",\ + "3.812688, 3.399148, 3.048934, 2.581047, 2.390751",\ + "4.418132, 4.004592, 3.654378, 3.186491, 2.996195",\ + "4.677407, 4.263867, 3.913652, 3.445765, 3.255470"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.804366, -0.351174, 0.102017, 0.707481, 0.966752",\ + "-0.821872, -0.368680, 0.084512, 0.689976, 0.949246",\ + "-0.839377, -0.386185, 0.067007, 0.672471, 0.931741",\ + "-0.862764, -0.409572, 0.043620, 0.649083, 0.908354",\ + "-0.817995, -0.364803, 0.088389, 0.693852, 0.953123"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.563183, -1.109991, -0.656799, -0.051335, 0.207935",\ + "-1.976547, -1.523355, -1.070163, -0.464699, -0.205429",\ + "-2.326765, -1.873574, -1.420382, -0.814918, -0.555647",\ + "-2.794657, -2.341466, -1.888274, -1.282810, -1.023540",\ + "-2.984937, -2.531745, -2.078553, -1.473090, -1.213819"); + } + + } /* end of arc mprj_io[4]_mprj_io[10]_hldf*/ + +} /* end of pin mprj_io[10] */ + +pin("mprj_io[9]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.969998, 6.969998, 6.789361, 6.729149, 6.608724",\ + "7.525681, 7.525681, 7.345044, 7.284832, 7.164407",\ + "8.081366, 8.081366, 7.900728, 7.840516, 7.720091",\ + "8.823759, 8.823759, 8.643122, 8.582910, 8.462484",\ + "9.109838, 9.109838, 8.929201, 8.868989, 8.748564"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.861274, 6.861274, 6.680636, 6.620424, 6.499999",\ + "7.314453, 7.314453, 7.133816, 7.073604, 6.953178",\ + "7.767633, 7.767633, 7.586996, 7.526784, 7.406359",\ + "8.373081, 8.373081, 8.192444, 8.132232, 8.011806",\ + "8.632354, 8.632354, 8.451716, 8.391504, 8.271079"); + } + + } /* end of arc clock_mprj_io[9]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.724994, 1.724994, 1.815312, 1.905631, 2.086267",\ + "1.707487, 1.707487, 1.797806, 1.888125, 2.068761",\ + "1.689981, 1.689981, 1.780300, 1.870619, 2.051255",\ + "1.666593, 1.666593, 1.756911, 1.847230, 2.027866",\ + "1.711362, 1.711362, 1.801680, 1.891999, 2.072635"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "0.658723, 0.658723, 0.749042, 0.839360, 1.019997",\ + "0.245398, 0.245398, 0.335716, 0.426035, 0.606671",\ + "-0.104825, -0.104825, -0.014506, 0.075812, 0.256449",\ + "-0.572722, -0.572722, -0.482404, -0.392085, -0.211449",\ + "-0.763001, -0.763001, -0.672682, -0.582364, -0.401727"); + } + + } /* end of arc clock_mprj_io[9]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.673230, 1.259690, 0.909476, 0.441589, 0.251293",\ + "2.228915, 1.815375, 1.465161, 0.997274, 0.806978",\ + "2.784598, 2.371058, 2.020844, 1.552957, 1.362661",\ + "3.526992, 3.113452, 2.763237, 2.295350, 2.105055",\ + "3.813071, 3.399532, 3.049317, 2.581430, 2.391135"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.038291, 2.624751, 2.274536, 1.806649, 1.616354",\ + "3.491471, 3.077931, 2.727717, 2.259830, 2.069534",\ + "3.944652, 3.531112, 3.180898, 2.713011, 2.522715",\ + "4.550101, 4.136561, 3.786347, 3.318460, 3.128164",\ + "4.809374, 4.395834, 4.045619, 3.577732, 3.387437"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.818822, -0.365630, 0.087561, 0.693025, 0.952296",\ + "-0.836329, -0.383137, 0.070055, 0.675519, 0.934790",\ + "-0.853835, -0.400643, 0.052549, 0.658013, 0.917284",\ + "-0.877223, -0.424031, 0.029160, 0.634624, 0.893895",\ + "-0.832454, -0.379262, 0.073929, 0.679393, 0.938664"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-2.196913, -1.743721, -1.290529, -0.685065, -0.425795",\ + "-2.610238, -2.157046, -1.703855, -1.098391, -0.839120",\ + "-2.960461, -2.507269, -2.054077, -1.448613, -1.189342",\ + "-3.428358, -2.975166, -2.521975, -1.916511, -1.657240",\ + "-3.618637, -3.165445, -2.712253, -2.106789, -1.847518"); + } + + } /* end of arc mprj_io[4]_mprj_io[9]_hldf*/ + +} /* end of pin mprj_io[9] */ + +pin("mprj_io[8]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.136821, 7.136821, 6.956184, 6.895972, 6.775547",\ + "7.692509, 7.692509, 7.511872, 7.451660, 7.331234",\ + "8.248198, 8.248198, 8.067560, 8.007349, 7.886923",\ + "8.990599, 8.990599, 8.809961, 8.749748, 8.629324",\ + "9.276678, 9.276678, 9.096041, 9.035828, 8.915403"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.307849, 7.307849, 7.127212, 7.067000, 6.946575",\ + "7.761028, 7.761028, 7.580390, 7.520178, 7.399753",\ + "8.214205, 8.214205, 8.033567, 7.973356, 7.852931",\ + "8.819651, 8.819651, 8.639013, 8.578802, 8.458376",\ + "9.078926, 9.078926, 8.898289, 8.838076, 8.717651"); + } + + } /* end of arc clock_mprj_io[8]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.821640, 1.821640, 1.911959, 2.002277, 2.182914",\ + "1.804137, 1.804137, 1.894456, 1.984775, 2.165411",\ + "1.786634, 1.786634, 1.876953, 1.967272, 2.147908",\ + "1.763251, 1.763251, 1.853570, 1.943888, 2.124525",\ + "1.808020, 1.808020, 1.898339, 1.988657, 2.169294"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.295547, 1.295547, 1.385865, 1.476184, 1.656821",\ + "0.882100, 0.882100, 0.972419, 1.062737, 1.243374",\ + "0.531885, 0.531885, 0.622203, 0.712522, 0.893158",\ + "0.063997, 0.063997, 0.154316, 0.244635, 0.425271",\ + "-0.126287, -0.126287, -0.035968, 0.054350, 0.234987"); + } + + } /* end of arc clock_mprj_io[8]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.581311, 1.167771, 0.817557, 0.349670, 0.159374",\ + "2.137000, 1.723460, 1.373246, 0.905359, 0.715063",\ + "2.692689, 2.279150, 1.928935, 1.461048, 1.270752",\ + "3.435090, 3.021550, 2.671335, 2.203448, 2.013153",\ + "3.721169, 3.307629, 2.957415, 2.489528, 2.299232"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.383815, 1.970275, 1.620060, 1.152174, 0.961878",\ + "2.836994, 2.423454, 2.073239, 1.605352, 1.415057",\ + "3.290172, 2.876632, 2.526417, 2.058530, 1.868235",\ + "3.895618, 3.482078, 3.131864, 2.663977, 2.473681",\ + "4.154892, 3.741352, 3.391138, 2.923251, 2.732955"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.694477, -0.241286, 0.211906, 0.817370, 1.076641",\ + "-0.711980, -0.258788, 0.194403, 0.799868, 1.059138",\ + "-0.729483, -0.276291, 0.176901, 0.782365, 1.041636",\ + "-0.752867, -0.299675, 0.153517, 0.758981, 1.018252",\ + "-0.708097, -0.254905, 0.198286, 0.803751, 1.063021"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.421905, -0.968714, -0.515522, 0.089942, 0.349213",\ + "-1.835351, -1.382159, -0.928968, -0.323503, -0.064233",\ + "-2.185567, -1.732375, -1.279183, -0.673719, -0.414448",\ + "-2.653454, -2.200262, -1.747071, -1.141606, -0.882336",\ + "-2.843738, -2.390547, -1.937355, -1.331891, -1.072620"); + } + + } /* end of arc mprj_io[4]_mprj_io[8]_hldf*/ + +} /* end of pin mprj_io[8] */ + +pin("mprj_io[7]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.045583, 7.045583, 6.864946, 6.804734, 6.684309",\ + "7.601265, 7.601265, 7.420628, 7.360416, 7.239991",\ + "8.156948, 8.156948, 7.976310, 7.916098, 7.795673",\ + "8.899340, 8.899340, 8.718702, 8.658489, 8.538065",\ + "9.185419, 9.185419, 9.004782, 8.944569, 8.824144"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.243816, 7.243816, 7.063179, 7.002966, 6.882541",\ + "7.697005, 7.697005, 7.516367, 7.456155, 7.335730",\ + "8.150192, 8.150192, 7.969554, 7.909342, 7.788917",\ + "8.755651, 8.755651, 8.575014, 8.514803, 8.394377",\ + "9.014923, 9.014923, 8.834286, 8.774075, 8.653648"); + } + + } /* end of arc clock_mprj_io[7]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.997031, 1.997031, 2.087350, 2.177669, 2.358305",\ + "1.979533, 1.979533, 2.069851, 2.160170, 2.340806",\ + "1.962034, 1.962034, 2.052353, 2.142671, 2.323308",\ + "1.938656, 1.938656, 2.028975, 2.119293, 2.299930",\ + "1.983425, 1.983425, 2.073744, 2.164062, 2.344699"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.839267, 1.839267, 1.929585, 2.019904, 2.200541",\ + "1.425751, 1.425751, 1.516070, 1.606388, 1.787025",\ + "1.075536, 1.075536, 1.165855, 1.256173, 1.436810",\ + "0.607649, 0.607649, 0.697968, 0.788286, 0.968923",\ + "0.417356, 0.417356, 0.507675, 0.597993, 0.778630"); + } + + } /* end of arc clock_mprj_io[7]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.415467, 1.001927, 0.651712, 0.183825, -0.006470",\ + "1.971149, 1.557609, 1.207395, 0.739508, 0.549212",\ + "2.526831, 2.113291, 1.763077, 1.295190, 1.104894",\ + "3.269223, 2.855683, 2.505469, 2.037582, 1.847286",\ + "3.555302, 3.141762, 2.791547, 2.323660, 2.133365"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.456328, 2.042788, 1.692574, 1.224687, 1.034391",\ + "2.909516, 2.495976, 2.145762, 1.677875, 1.487579",\ + "3.362704, 2.949164, 2.598950, 2.131063, 1.940767",\ + "3.968164, 3.554624, 3.204410, 2.736523, 2.546227",\ + "4.227435, 3.813895, 3.463681, 2.995794, 2.805498"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.653862, -0.200671, 0.252521, 0.857985, 1.117256",\ + "-0.671361, -0.218169, 0.235023, 0.840487, 1.099757",\ + "-0.688860, -0.235668, 0.217524, 0.822988, 1.082259",\ + "-0.712238, -0.259046, 0.194146, 0.799610, 1.058881",\ + "-0.667469, -0.214277, 0.238915, 0.844379, 1.103650"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.656781, -1.203590, -0.750398, -0.144934, 0.114337",\ + "-2.070297, -1.617106, -1.163914, -0.558450, -0.299179",\ + "-2.420512, -1.967321, -1.514129, -0.908665, -0.649394",\ + "-2.888399, -2.435208, -1.982016, -1.376552, -1.117281",\ + "-3.078692, -2.625500, -2.172309, -1.566844, -1.307574"); + } + + } /* end of arc mprj_io[4]_mprj_io[7]_hldf*/ + +} /* end of pin mprj_io[7] */ + +pin("mprj_io[6]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "6.965378, 6.965378, 6.784741, 6.724529, 6.604104",\ + "7.521051, 7.521051, 7.340414, 7.280201, 7.159777",\ + "8.076725, 8.076725, 7.896087, 7.835875, 7.715450",\ + "8.819103, 8.819103, 8.638466, 8.578255, 8.457829",\ + "9.105183, 9.105183, 8.924545, 8.864332, 8.743908"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.160808, 7.160808, 6.980171, 6.919959, 6.799534",\ + "7.614011, 7.614011, 7.433374, 7.373162, 7.252737",\ + "8.067215, 8.067215, 7.886577, 7.826365, 7.705940",\ + "8.672695, 8.672695, 8.492058, 8.431845, 8.311420",\ + "8.931961, 8.931961, 8.751324, 8.691113, 8.570687"); + } + + } /* end of arc clock_mprj_io[6]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.401932, 2.401932, 2.492251, 2.582570, 2.763207",\ + "2.384439, 2.384439, 2.474758, 2.565077, 2.745714",\ + "2.366947, 2.366947, 2.457265, 2.547584, 2.728221",\ + "2.343576, 2.343576, 2.433895, 2.524214, 2.704850",\ + "2.388345, 2.388345, 2.478664, 2.568982, 2.749619"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.498222, 1.498222, 1.588541, 1.678859, 1.859496",\ + "1.084599, 1.084599, 1.174918, 1.265237, 1.445874",\ + "0.734385, 0.734385, 0.824703, 0.915022, 1.095659",\ + "0.266499, 0.266499, 0.356817, 0.447136, 0.627773",\ + "0.076194, 0.076194, 0.166512, 0.256831, 0.437468"); + } + + } /* end of arc clock_mprj_io[6]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.958251, 0.544712, 0.194497, -0.273390, -0.463686",\ + "1.513925, 1.100385, 0.750170, 0.282284, 0.091988",\ + "2.069598, 1.656058, 1.305844, 0.837957, 0.647661",\ + "2.811978, 2.398438, 2.048223, 1.580337, 1.390041",\ + "3.098056, 2.684516, 2.334302, 1.866415, 1.676119"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.245243, 1.831703, 1.481489, 1.013602, 0.823306",\ + "2.698446, 2.284906, 1.934692, 1.466805, 1.276509",\ + "3.151649, 2.738110, 2.387895, 1.920008, 1.729712",\ + "3.757130, 3.343590, 2.993375, 2.525488, 2.335193",\ + "4.016397, 3.602857, 3.252642, 2.784755, 2.594460"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.175716, 0.277476, 0.730668, 1.336132, 1.595403",\ + "-0.193208, 0.259983, 0.713175, 1.318639, 1.577910",\ + "-0.210701, 0.242491, 0.695682, 1.301147, 1.560417",\ + "-0.234072, 0.219120, 0.672312, 1.277776, 1.537046",\ + "-0.189303, 0.263889, 0.717080, 1.322545, 1.581815"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.365342, -0.912151, -0.458959, 0.146505, 0.405776",\ + "-1.778964, -1.325773, -0.872581, -0.267117, -0.007846",\ + "-2.129179, -1.675987, -1.222795, -0.617331, -0.358060",\ + "-2.597065, -2.143873, -1.690681, -1.085217, -0.825946",\ + "-2.787369, -2.334178, -1.880986, -1.275522, -1.016251"); + } + + } /* end of arc mprj_io[4]_mprj_io[6]_hldf*/ + +} /* end of pin mprj_io[6] */ + +pin("mprj_io[5]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.248114, 7.248114, 7.067476, 7.007264, 6.886839",\ + "7.803792, 7.803792, 7.623155, 7.562943, 7.442517",\ + "8.359471, 8.359471, 8.178834, 8.118622, 7.998197",\ + "9.101858, 9.101858, 8.921221, 8.861009, 8.740583",\ + "9.387938, 9.387938, 9.207300, 9.147088, 9.026663"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.420664, 7.420664, 7.240026, 7.179814, 7.059389",\ + "7.873857, 7.873857, 7.693219, 7.633007, 7.512582",\ + "8.327050, 8.327050, 8.146413, 8.086201, 7.965775",\ + "8.932516, 8.932516, 8.751879, 8.691667, 8.571241",\ + "9.191786, 9.191786, 9.011148, 8.950936, 8.830511"); + } + + } /* end of arc clock_mprj_io[5]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.429810, 2.429810, 2.520129, 2.610448, 2.791085",\ + "2.412314, 2.412314, 2.502632, 2.592951, 2.773588",\ + "2.394817, 2.394817, 2.485136, 2.575454, 2.756091",\ + "2.371441, 2.371441, 2.461760, 2.552079, 2.732716",\ + "2.416210, 2.416210, 2.506529, 2.596848, 2.777485"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.967573, 1.967573, 2.057892, 2.148211, 2.328848",\ + "1.554021, 1.554021, 1.644339, 1.734658, 1.915295",\ + "1.203806, 1.203806, 1.294125, 1.384443, 1.565080",\ + "0.735919, 0.735919, 0.826238, 0.916556, 1.097193",\ + "0.545622, 0.545622, 0.635941, 0.726260, 0.906897"); + } + + } /* end of arc clock_mprj_io[5]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.383128, 0.969589, 0.619374, 0.151487, -0.038809",\ + "1.938807, 1.525268, 1.175053, 0.707166, 0.516870",\ + "2.494487, 2.080947, 1.730732, 1.262846, 1.072550",\ + "3.236876, 2.823336, 2.473121, 2.005234, 1.814939",\ + "3.522954, 3.109414, 2.759200, 2.291313, 2.101017"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.391171, 1.977632, 1.627417, 1.159530, 0.969234",\ + "2.844365, 2.430825, 2.080611, 1.612724, 1.422428",\ + "3.297559, 2.884019, 2.533804, 2.065917, 1.875622",\ + "3.903026, 3.489486, 3.139271, 2.671384, 2.481089",\ + "4.162295, 3.748755, 3.398541, 2.930654, 2.740358"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.590703, -0.137511, 0.315681, 0.921145, 1.180415",\ + "-0.608200, -0.155008, 0.298184, 0.903648, 1.162919",\ + "-0.625696, -0.172505, 0.280687, 0.886151, 1.145422",\ + "-0.649072, -0.195880, 0.257312, 0.862776, 1.122046",\ + "-0.604303, -0.151111, 0.302081, 0.907545, 1.166816"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.537706, -1.084514, -0.631323, -0.025858, 0.233412",\ + "-1.951258, -1.498067, -1.044875, -0.439411, -0.180140",\ + "-2.301473, -1.848282, -1.395090, -0.789626, -0.530355",\ + "-2.769360, -2.316169, -1.862977, -1.257513, -0.998242",\ + "-2.959657, -2.506465, -2.053273, -1.447809, -1.188539"); + } + + } /* end of arc mprj_io[4]_mprj_io[5]_hldf*/ + +} /* end of pin mprj_io[5] */ + +pin("mprj_io[4]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.412245"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwl*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.638493"); + } + + } /* end of arc mprj_io[4]_mprj_io[4]_pwh*/ + +} /* end of pin mprj_io[4] */ + +pin("mprj_io[3]") { + direction : inout ; + max_transition : 4.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + three_state : "0" ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin mprj_io[3] */ + +pin("mprj_io[2]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 1.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.334559, 7.334559, 7.153922, 7.093710, 6.973285",\ + "7.890199, 7.890199, 7.709561, 7.649349, 7.528924",\ + "8.445838, 8.445838, 8.265201, 8.204988, 8.084564",\ + "9.188171, 9.188171, 9.007534, 8.947322, 8.826897",\ + "9.474249, 9.474249, 9.293612, 9.233399, 9.112974"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.490089, 7.490089, 7.309452, 7.249240, 7.128815",\ + "7.943350, 7.943350, 7.762712, 7.702500, 7.582076",\ + "8.396608, 8.396608, 8.215971, 8.155759, 8.035334",\ + "9.002164, 9.002164, 8.821527, 8.761314, 8.640890",\ + "9.261415, 9.261415, 9.080777, 9.020565, 8.900141"); + } + + } /* end of arc clock_mprj_io[2]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.065370, 2.065370, 2.155689, 2.246007, 2.426644",\ + "2.051533, 2.051533, 2.141852, 2.232171, 2.412807",\ + "2.030499, 2.030499, 2.120817, 2.211136, 2.391773",\ + "2.007227, 2.007227, 2.097546, 2.187865, 2.368502",\ + "2.051996, 2.051996, 2.142315, 2.232634, 2.413270"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.289505, 1.289505, 1.379823, 1.470142, 1.650779",\ + "0.949497, 0.949497, 1.039816, 1.130135, 1.310771",\ + "0.527840, 0.527840, 0.618158, 0.708477, 0.889114",\ + "0.061356, 0.061356, 0.151674, 0.241993, 0.422630",\ + "-0.128994, -0.128994, -0.038675, 0.051643, 0.232280"); + } + + } /* end of arc clock_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "3.820910, 3.803412, 3.785915, 3.762539, 3.807308",\ + "4.376549, 4.359052, 4.341554, 4.318178, 4.362947",\ + "4.932188, 4.914691, 4.897193, 4.873817, 4.918586",\ + "5.674522, 5.657025, 5.639528, 5.616152, 5.660921",\ + "5.960600, 5.943103, 5.925605, 5.902229, 5.946998"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "4.375492, 4.357995, 4.340497, 4.317122, 4.361890",\ + "4.828752, 4.811255, 4.793757, 4.770381, 4.815150",\ + "5.282012, 5.264515, 5.247017, 5.223641, 5.268410",\ + "5.887568, 5.870070, 5.852573, 5.829197, 5.873966",\ + "6.146818, 6.129321, 6.111824, 6.088448, 6.133216"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "5.908617, 5.495077, 5.144862, 4.676975, 4.486680",\ + "6.464257, 6.050717, 5.700502, 5.232615, 5.042320",\ + "7.019897, 6.606357, 6.256142, 5.788255, 5.597960",\ + "7.762232, 7.348692, 6.998477, 6.530590, 6.340295",\ + "8.048309, 7.634769, 7.284554, 6.816668, 6.626372"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "6.039541, 5.626001, 5.275786, 4.807899, 4.617604",\ + "6.492801, 6.079261, 5.729046, 5.261159, 5.070864",\ + "6.946062, 6.532522, 6.182307, 5.714420, 5.524125",\ + "7.551618, 7.138078, 6.787863, 6.319976, 6.129681",\ + "7.810869, 7.397329, 7.047114, 6.579227, 6.388932"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.597336, 1.153016, 1.708696, 2.451085, 2.737164",\ + "0.583499, 1.139179, 1.694860, 2.437248, 2.723327",\ + "0.562464, 1.118144, 1.673825, 2.416214, 2.702292",\ + "0.539193, 1.094873, 1.650554, 2.392942, 2.679021",\ + "0.583962, 1.139642, 1.695323, 2.437711, 2.723790"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.547159, 1.102839, 1.658520, 2.400909, 2.686988",\ + "0.207151, 0.762831, 1.318512, 2.060901, 2.346980",\ + "-0.214506, 0.341174, 0.896855, 1.639244, 1.925323",\ + "-0.680990, -0.125310, 0.430370, 1.172759, 1.458838",\ + "-0.871340, -0.315660, 0.240021, 0.982410, 1.268489"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.439367, 0.013825, 0.467017, 1.072481, 1.331751",\ + "-0.453203, -0.000012, 0.453180, 1.058644, 1.317914",\ + "-0.474238, -0.021046, 0.432145, 1.037609, 1.296880",\ + "-0.497509, -0.044317, 0.408874, 1.014338, 1.273609",\ + "-0.452740, 0.000451, 0.453643, 1.059107, 1.318377"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.440847, -0.987655, -0.534463, 0.071001, 0.330271",\ + "-1.780856, -1.327664, -0.874473, -0.269009, -0.009738",\ + "-2.202513, -1.749321, -1.296130, -0.690666, -0.431395",\ + "-2.668998, -2.215806, -1.762614, -1.157150, -0.897880",\ + "-2.859347, -2.406156, -1.952964, -1.347500, -1.088229"); + } + + } /* end of arc mprj_io[4]_mprj_io[2]_hldf*/ + +} /* end of pin mprj_io[2] */ + +pin("mprj_io[1]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.207621, 16.534988, 16.775030, 16.802177, 16.887175",\ + "16.207621, 16.534988, 16.775030, 16.802177, 16.887175",\ + "16.297939, 16.625307, 16.865349, 16.892496, 16.977493",\ + "16.388258, 16.715626, 16.955667, 16.982815, 17.067812",\ + "16.568895, 16.896263, 17.136305, 17.163452, 17.248449"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.642813, 17.023211, 17.279568, 17.308559, 17.399334",\ + "16.642813, 17.023211, 17.279568, 17.308559, 17.399334",\ + "16.733131, 17.113529, 17.369886, 17.398878, 17.489653",\ + "16.823450, 17.203848, 17.460205, 17.489197, 17.579971",\ + "17.004087, 17.384485, 17.640842, 17.669834, 17.760609"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177"); + } + + } /* end of arc clock_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.105507, 16.382488, 16.487551, 16.499434, 16.536634",\ + "16.105507, 16.382488, 16.487551, 16.499434, 16.536634",\ + "16.286144, 16.563126, 16.668188, 16.680071, 16.717272",\ + "16.346355, 16.623337, 16.728401, 16.740282, 16.777485",\ + "16.466782, 16.743763, 16.848825, 16.860708, 16.897909"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "16.439671, 16.589684, 16.674501, 16.684095, 16.714128",\ + "16.439671, 16.589684, 16.674501, 16.684095, 16.714128",\ + "16.620308, 16.770321, 16.855139, 16.864733, 16.894766",\ + "16.680521, 16.830534, 16.915352, 16.924946, 16.954979",\ + "16.800945, 16.950958, 17.035776, 17.045370, 17.075403"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705"); + } + + } /* end of arc clock_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.422566, 7.422566, 7.241929, 7.181717, 7.061292",\ + "7.978186, 7.978186, 7.797548, 7.737336, 7.616911",\ + "8.533806, 8.533806, 8.353168, 8.292956, 8.172531",\ + "9.276114, 9.276114, 9.095477, 9.035265, 8.914841",\ + "9.562191, 9.562191, 9.381554, 9.321342, 9.200916"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.407073, 7.407073, 7.226436, 7.166224, 7.045799",\ + "7.860363, 7.860363, 7.679726, 7.619514, 7.499089",\ + "8.313655, 8.313655, 8.133018, 8.072805, 7.952381",\ + "8.919251, 8.919251, 8.738614, 8.678402, 8.557978",\ + "9.178493, 9.178493, 8.997856, 8.937644, 8.817219"); + } + + } /* end of arc clock_mprj_io[1]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.371731, 2.371731, 2.462049, 2.552368, 2.733005",\ + "2.354272, 2.354272, 2.444591, 2.534909, 2.715546",\ + "2.336813, 2.336813, 2.427132, 2.517451, 2.698087",\ + "2.313488, 2.313488, 2.403807, 2.494126, 2.674762",\ + "2.358258, 2.358258, 2.448576, 2.538895, 2.719532"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "1.091774, 1.091774, 1.182092, 1.272411, 1.453047",\ + "0.677547, 0.677547, 0.767866, 0.858185, 1.038821",\ + "0.327335, 0.327335, 0.417654, 0.507972, 0.688609",\ + "-0.140550, -0.140550, -0.050231, 0.040088, 0.220724",\ + "-0.330925, -0.330925, -0.240606, -0.150287, 0.030349"); + } + + } /* end of arc clock_mprj_io[1]_hldr*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "18.131241, 18.458611, 18.698652, 18.725798, 18.810795",\ + "18.195423, 18.522789, 18.762833, 18.789978, 18.860233",\ + "18.243153, 18.570522, 18.810564, 18.837709, 18.860233",\ + "18.272346, 18.599712, 18.839756, 18.860233, 18.860233",\ + "18.272346, 18.599712, 18.839756, 18.860233, 18.860233"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "17.578764, 17.959162, 18.215519, 18.244511, 18.335285",\ + "17.642944, 18.023342, 18.279699, 18.308693, 18.384724",\ + "17.690674, 18.071072, 18.327429, 18.356422, 18.384724",\ + "17.719866, 18.100264, 18.356621, 18.384724, 18.384724",\ + "17.719866, 18.100264, 18.356621, 18.384724, 18.384724"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "hk_serial_load" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "5.477306, 5.754288, 5.859351, 5.871233, 5.908435",\ + "5.528575, 5.805556, 5.910620, 5.922502, 5.959703",\ + "5.528575, 5.805556, 5.910620, 5.922502, 5.959703",\ + "5.528575, 5.805556, 5.910620, 5.922502, 5.959703",\ + "5.528575, 5.805556, 5.910620, 5.922502, 5.959703"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "5.865458, 6.015471, 6.100290, 6.109882, 6.139916",\ + "5.916726, 6.066739, 6.151558, 6.161150, 6.191184",\ + "5.916726, 6.066739, 6.151558, 6.161150, 6.191184",\ + "5.916726, 6.066739, 6.151558, 6.161150, 6.191184",\ + "5.916726, 6.066739, 6.151558, 6.161150, 6.191184"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.223607, 0.629961, 1.774770, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705"); + } + + } /* end of arc hk_serial_load_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.998628, 8.325996, 8.566038, 8.593184, 8.678182",\ + "8.554313, 8.881680, 9.121722, 9.148870, 9.233866",\ + "9.109999, 9.437366, 9.677408, 9.704555, 9.789552",\ + "9.852394, 10.179762, 10.419804, 10.446950, 10.531947",\ + "10.138472, 10.465839, 10.705882, 10.733028, 10.818026"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.998924, 9.379322, 9.635679, 9.664671, 9.755445",\ + "9.452108, 9.832506, 10.088863, 10.117855, 10.208630",\ + "9.905293, 10.285689, 10.542048, 10.571039, 10.661814",\ + "10.510746, 10.891144, 11.147501, 11.176493, 11.267267",\ + "10.770019, 11.150416, 11.406774, 11.435766, 11.526541"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[11]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.256281, 7.533262, 7.638326, 7.650208, 7.687409",\ + "7.269894, 7.546875, 7.651938, 7.663820, 7.701022",\ + "7.269894, 7.546875, 7.651938, 7.663820, 7.701022",\ + "7.269894, 7.546875, 7.651938, 7.663820, 7.701022",\ + "7.269894, 7.546875, 7.651938, 7.663820, 7.701022"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.033717, 8.183729, 8.268549, 8.278141, 8.308175",\ + "8.447203, 8.597215, 8.682034, 8.691627, 8.721661",\ + "8.797418, 8.947431, 9.032249, 9.041842, 9.071877",\ + "9.265306, 9.415318, 9.500137, 9.509729, 9.539763",\ + "9.455594, 9.605607, 9.690426, 9.700019, 9.730053"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705"); + } + + } /* end of arc mprj_io[11]_mprj_io[1]_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.428116, 8.755484, 8.995526, 9.022673, 9.107670",\ + "8.881308, 9.208675, 9.448718, 9.475864, 9.560862",\ + "9.334499, 9.661867, 9.901909, 9.929056, 10.014053",\ + "9.939964, 10.267332, 10.507374, 10.534520, 10.619517",\ + "10.199234, 10.526602, 10.766644, 10.793791, 10.878788"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.424687, 9.805084, 10.061441, 10.090434, 10.181209",\ + "9.877879, 10.258276, 10.514633, 10.543626, 10.634400",\ + "10.331071, 10.711468, 10.967825, 10.996818, 11.087592",\ + "10.936535, 11.316933, 11.573290, 11.602282, 11.693056",\ + "11.195806, 11.576203, 11.832561, 11.861553, 11.952328"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : falling_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.224466, 7.501447, 7.606510, 7.618392, 7.655594",\ + "7.638006, 7.914987, 8.020050, 8.031932, 8.069134",\ + "7.988221, 8.265202, 8.370265, 8.382147, 8.419349",\ + "8.456108, 8.733089, 8.838153, 8.850035, 8.887237",\ + "8.646404, 8.923385, 9.028448, 9.040330, 9.077532"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.750342, 7.900355, 7.985174, 7.994767, 8.024801",\ + "8.163882, 8.313895, 8.398714, 8.408307, 8.438341",\ + "8.514097, 8.664110, 8.748929, 8.758522, 8.788555",\ + "8.981985, 9.131997, 9.216817, 9.226409, 9.256443",\ + "9.172280, 9.322293, 9.407112, 9.416705, 9.446739"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_fedg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "11.015099, 11.342466, 11.582508, 11.609654, 11.694652",\ + "11.570778, 11.898146, 12.138187, 12.165335, 12.250332",\ + "12.126458, 12.453826, 12.693868, 12.721015, 12.806011",\ + "12.868848, 13.196216, 13.436257, 13.463405, 13.548401",\ + "13.154927, 13.482295, 13.722337, 13.749484, 13.834480"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960",\ + "1.813981, 2.476839, 2.934941, 2.986749, 3.148960"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "12.196207, 12.576604, 12.832962, 12.861954, 12.952728",\ + "12.751887, 13.132284, 13.388641, 13.417634, 13.508409",\ + "13.307569, 13.687965, 13.944323, 13.973315, 14.064090",\ + "14.049958, 14.430355, 14.686712, 14.715705, 14.806479",\ + "14.336038, 14.716434, 14.972792, 15.001784, 15.092559"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177",\ + "1.858384, 2.555265, 3.032309, 3.086259, 3.255177"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.442741, 7.719722, 7.824785, 7.836667, 7.873869",\ + "7.456343, 7.733324, 7.838387, 7.850269, 7.887471",\ + "7.456343, 7.733324, 7.838387, 7.850269, 7.887471",\ + "7.456343, 7.733324, 7.838387, 7.850269, 7.887471",\ + "7.456343, 7.733324, 7.838387, 7.850269, 7.887471"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102",\ + "1.202831, 1.257105, 1.274142, 1.276069, 1.282102"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.060383, 8.210396, 8.295215, 8.304808, 8.334841",\ + "8.073985, 8.223998, 8.308817, 8.318410, 8.348444",\ + "8.073985, 8.223998, 8.308817, 8.318410, 8.348444",\ + "8.073985, 8.223998, 8.308817, 8.318410, 8.348444",\ + "8.073985, 8.223998, 8.308817, 8.318410, 8.348444"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705",\ + "0.840628, 0.894271, 0.929327, 0.933292, 0.945705"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.909877, 0.496337, 0.146123, -0.321764, -0.512060",\ + "1.465497, 1.051957, 0.701743, 0.233856, 0.043560",\ + "2.021117, 1.607577, 1.257363, 0.789476, 0.599180",\ + "2.763425, 2.349885, 1.999671, 1.531784, 1.341488",\ + "3.049503, 2.635963, 2.285748, 1.817861, 1.627566"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "2.583865, 2.170325, 1.820111, 1.352224, 1.161928",\ + "3.037156, 2.623616, 2.273401, 1.805515, 1.615219",\ + "3.490447, 3.076907, 2.726692, 2.258806, 2.068510",\ + "4.096045, 3.682505, 3.332291, 2.864404, 2.674108",\ + "4.355288, 3.941747, 3.591533, 3.123646, 2.933350"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.172085, 0.281107, 0.734298, 1.339762, 1.599033",\ + "-0.189544, 0.263648, 0.716840, 1.322304, 1.581574",\ + "-0.207002, 0.246189, 0.699381, 1.304845, 1.564116",\ + "-0.230327, 0.222864, 0.676056, 1.281520, 1.540791",\ + "-0.185558, 0.267634, 0.720825, 1.326289, 1.585560"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-1.763862, -1.310670, -0.857478, -0.252014, 0.007257",\ + "-2.178088, -1.724896, -1.271705, -0.666241, -0.406970",\ + "-2.528301, -2.075109, -1.621917, -1.016453, -0.757183",\ + "-2.996185, -2.542994, -2.089802, -1.484338, -1.225067",\ + "-3.186560, -2.733368, -2.280177, -1.674713, -1.415442"); + } + + } /* end of arc mprj_io[4]_mprj_io[1]_hldf*/ + +} /* end of pin mprj_io[1] */ + +pin("mprj_io[0]") { + direction : inout ; + max_transition : 0.000000 ; + min_transition : 0.000000 ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : setup_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.271335, 7.271335, 7.090698, 7.030486, 6.910060",\ + "7.826934, 7.826934, 7.646297, 7.586085, 7.465660",\ + "8.382534, 8.382534, 8.201897, 8.141684, 8.021259",\ + "9.124815, 9.124815, 8.944178, 8.883965, 8.763540",\ + "9.410892, 9.410892, 9.230255, 9.170042, 9.049618"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + values ( "7.325128, 7.325128, 7.144491, 7.084279, 6.963853",\ + "7.778454, 7.778454, 7.597817, 7.537605, 7.417180",\ + "8.231781, 8.231781, 8.051144, 7.990932, 7.870507",\ + "8.837427, 8.837427, 8.656790, 8.596577, 8.476152",\ + "9.096659, 9.096659, 8.916021, 8.855808, 8.735384"); + } + + } /* end of arc clock_mprj_io[0]_stupr*/ + + timing () { + related_pin : "clock" ; + timing_type : hold_rising ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.543686, 2.543686, 2.634005, 2.724323, 2.904960",\ + "2.526241, 2.526241, 2.616560, 2.706878, 2.887515",\ + "2.508796, 2.508796, 2.599115, 2.689433, 2.870070",\ + "2.485490, 2.485490, 2.575808, 2.666127, 2.846763",\ + "2.530259, 2.530259, 2.620577, 2.710896, 2.891532"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + values ( "2.181580, 2.181580, 2.271898, 2.362217, 2.542854",\ + "1.767109, 1.767109, 1.857428, 1.947747, 2.128384",\ + "1.416897, 1.416897, 1.507215, 1.597534, 1.778171",\ + "0.949014, 0.949014, 1.039332, 1.129651, 1.310288",\ + "0.758611, 0.758611, 0.848929, 0.939248, 1.119885"); + } + + } /* end of arc clock_mprj_io[0]_hldr*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : setup_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.783062, 0.369523, 0.019308, -0.448579, -0.638875",\ + "1.338661, 0.925122, 0.574907, 0.107020, -0.083276",\ + "1.894260, 1.480720, 1.130506, 0.662619, 0.472323",\ + "2.636539, 2.223000, 1.872785, 1.404898, 1.214602",\ + "2.922617, 2.509077, 2.158863, 1.690976, 1.500680"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "1.931004, 1.517464, 1.167249, 0.699362, 0.509067",\ + "2.384330, 1.970790, 1.620576, 1.152689, 0.962393",\ + "2.837657, 2.424117, 2.073903, 1.606016, 1.415720",\ + "3.443302, 3.029762, 2.679547, 2.211660, 2.021365",\ + "3.702534, 3.288994, 2.938779, 2.470892, 2.280597"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_stupf*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : hold_falling ; + rise_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "0.027569, 0.480760, 0.933952, 1.539416, 1.798687",\ + "0.010124, 0.463315, 0.916507, 1.521971, 1.781242",\ + "-0.007321, 0.445870, 0.899062, 1.504526, 1.763797",\ + "-0.030628, 0.422564, 0.875756, 1.481220, 1.740491",\ + "0.014141, 0.467333, 0.920525, 1.525989, 1.785260"); + } + fall_constraint( f_dtrans_ctrans ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + values ( "-0.994779, -0.541587, -0.088395, 0.517069, 0.776340",\ + "-1.409248, -0.956056, -0.502865, 0.102600, 0.361870",\ + "-1.759460, -1.306268, -0.853077, -0.247612, 0.011658",\ + "-2.227343, -1.774152, -1.320960, -0.715496, -0.456225",\ + "-2.417746, -1.964555, -1.511363, -0.905899, -0.646628"); + } + + } /* end of arc mprj_io[4]_mprj_io[0]_hldf*/ + +} /* end of pin mprj_io[0] */ +} /* end of bus mprj_io */ + +pin("clock") { + direction : input ; + clock : true ; + max_transition : 1.500000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.658122"); + } + + } /* end of arc clock_clock_pwl*/ + + timing () { + related_pin : "clock" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.569369"); + } + + } /* end of arc clock_clock_pwh*/ + +} /* end of pin clock */ + +pin("resetb") { + direction : input ; + max_transition : 0.000000 ; + capacitance : 0.934543 ; + is_pad : true ; + is_unconstrained : true; + + /* Other user defined attributes. */ + + is_unconstrained : true; +} /* end of pin resetb */ + +pin("flash_csb") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.416752, 10.664846, 10.769928, 10.781812, 10.819020",\ + "10.416752, 10.664846, 10.769928, 10.781812, 10.819020",\ + "10.507071, 10.755165, 10.860247, 10.872130, 10.909339",\ + "10.597389, 10.845484, 10.950565, 10.962449, 10.999658",\ + "10.778027, 11.026121, 11.131203, 11.143086, 11.180295"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202798, 1.257078, 1.274116, 1.276043, 1.282076",\ + "1.202798, 1.257078, 1.274116, 1.276043, 1.282076",\ + "1.202798, 1.257078, 1.274116, 1.276043, 1.282076",\ + "1.202798, 1.257078, 1.274116, 1.276043, 1.282076",\ + "1.202798, 1.257078, 1.274116, 1.276043, 1.282076"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "11.343839, 11.493988, 11.578794, 11.588386, 11.618415",\ + "11.343839, 11.493988, 11.578794, 11.588386, 11.618415",\ + "11.434157, 11.584307, 11.669113, 11.678704, 11.708734",\ + "11.524476, 11.674625, 11.759432, 11.769023, 11.799052",\ + "11.705112, 11.855262, 11.940068, 11.949659, 11.979689"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841080, 0.894369, 0.929413, 0.933377, 0.945786",\ + "0.841080, 0.894369, 0.929413, 0.933377, 0.945786",\ + "0.841080, 0.894369, 0.929413, 0.933377, 0.945786",\ + "0.841080, 0.894369, 0.929413, 0.933377, 0.945786",\ + "0.841080, 0.894369, 0.929413, 0.933377, 0.945786"); + } + + } /* end of arc clock_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.053144, 10.301239, 10.406321, 10.418204, 10.455413",\ + "10.053144, 10.301239, 10.406321, 10.418204, 10.455413",\ + "10.233782, 10.481876, 10.586958, 10.598842, 10.636050",\ + "10.293994, 10.542089, 10.647170, 10.659054, 10.696262",\ + "10.414418, 10.662514, 10.767595, 10.779479, 10.816688"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202798, 1.257078, 1.274116, 1.276043, 1.282076",\ + "1.202798, 1.257078, 1.274116, 1.276043, 1.282076",\ + "1.202798, 1.257078, 1.274116, 1.276043, 1.282076",\ + "1.202798, 1.257078, 1.274116, 1.276043, 1.282076",\ + "1.202798, 1.257078, 1.274116, 1.276043, 1.282076"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "10.721420, 10.871569, 10.956375, 10.965966, 10.995996",\ + "10.721420, 10.871569, 10.956375, 10.965966, 10.995996",\ + "10.902058, 11.052206, 11.137012, 11.146604, 11.176633",\ + "10.962270, 11.112418, 11.197225, 11.206816, 11.236845",\ + "11.082694, 11.232842, 11.317649, 11.327240, 11.357269"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841080, 0.894369, 0.929413, 0.933377, 0.945786",\ + "0.841080, 0.894369, 0.929413, 0.933377, 0.945786",\ + "0.841080, 0.894369, 0.929413, 0.933377, 0.945786",\ + "0.841080, 0.894369, 0.929413, 0.933377, 0.945786",\ + "0.841080, 0.894369, 0.929413, 0.933377, 0.945786"); + } + + } /* end of arc clock_flash_csb_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.907538, 8.155634, 8.260715, 8.272599, 8.309808",\ + "8.463219, 8.711314, 8.816396, 8.828279, 8.865488",\ + "9.018900, 9.266994, 9.372076, 9.383960, 9.421168",\ + "9.761289, 10.009384, 10.114466, 10.126349, 10.163558",\ + "10.047368, 10.295463, 10.400544, 10.412428, 10.449636"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234242, 1.294851, 1.315920, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315920, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315920, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315920, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315920, 1.318302, 1.325762"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.056990, 8.207139, 8.292303, 8.302001, 8.332364",\ + "8.612670, 8.762818, 8.847983, 8.857681, 8.888044",\ + "9.168350, 9.318500, 9.403664, 9.413362, 9.443725",\ + "9.910740, 10.060888, 10.146053, 10.155750, 10.186113",\ + "10.196818, 10.346968, 10.432132, 10.441830, 10.472193"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903222, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903222, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903222, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903222, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903222, 0.956298, 0.986953, 0.990420, 1.001275"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.621202, 6.621202, 6.621202, 6.621202, 6.621202",\ + "6.634804, 6.634805, 6.634805, 6.634805, 6.634805",\ + "6.634804, 6.634805, 6.634805, 6.634805, 6.634805",\ + "6.634804, 6.634805, 6.634805, 6.634805, 6.634805",\ + "6.634804, 6.634805, 6.634805, 6.634805, 6.634805"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.597360, 6.597431, 6.597431, 6.597431, 6.597431",\ + "6.610962, 6.611033, 6.611033, 6.611033, 6.611033",\ + "6.610962, 6.611033, 6.611033, 6.611033, 6.611033",\ + "6.610962, 6.611033, 6.611033, 6.611033, 6.611033",\ + "6.610962, 6.611033, 6.611033, 6.611033, 6.611033"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_csb_redg_min*/ + +} /* end of pin flash_csb */ + +pin("flash_clk") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.452215, 9.700289, 9.805374, 9.817258, 9.854467",\ + "9.452215, 9.700289, 9.805374, 9.817258, 9.854467",\ + "9.542535, 9.790608, 9.895693, 9.907578, 9.944786",\ + "9.632854, 9.880927, 9.986012, 9.997896, 10.035105",\ + "9.813490, 10.061563, 10.166649, 10.178533, 10.215742"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.643935, 9.794108, 9.878912, 9.888503, 9.918531",\ + "9.643935, 9.794108, 9.878912, 9.888503, 9.918531",\ + "9.734255, 9.884427, 9.969232, 9.978823, 10.008851",\ + "9.824574, 9.974746, 10.059550, 10.069141, 10.099170",\ + "10.005210, 10.155383, 10.240187, 10.249778, 10.279806"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800"); + } + + } /* end of arc clock_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.142994, 9.391068, 9.496153, 9.508037, 9.545246",\ + "9.142994, 9.391068, 9.496153, 9.508037, 9.545246",\ + "9.323631, 9.571705, 9.676790, 9.688674, 9.725883",\ + "9.383844, 9.631917, 9.737001, 9.748886, 9.786096",\ + "9.504269, 9.752342, 9.857427, 9.869310, 9.906521"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.452075, 9.602247, 9.687052, 9.696643, 9.726671",\ + "9.452075, 9.602247, 9.687052, 9.696643, 9.726671",\ + "9.632712, 9.782885, 9.867689, 9.877280, 9.907309",\ + "9.692924, 9.843098, 9.927901, 9.937492, 9.967521",\ + "9.813349, 9.963522, 10.048326, 10.057917, 10.087946"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800"); + } + + } /* end of arc clock_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.720031, 7.968104, 8.073189, 8.085073, 8.122283",\ + "8.275711, 8.523785, 8.628869, 8.640753, 8.677963",\ + "8.831391, 9.079465, 9.184549, 9.196434, 9.233643",\ + "9.573780, 9.821854, 9.926938, 9.938822, 9.976032",\ + "9.859859, 10.107933, 10.213017, 10.224901, 10.262112"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234242, 1.294851, 1.315920, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315920, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315920, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315920, 1.318302, 1.325762",\ + "1.234242, 1.294851, 1.315920, 1.318302, 1.325762"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.920589, 8.070762, 8.155566, 8.165157, 8.195186",\ + "8.476269, 8.626442, 8.711246, 8.720837, 8.750866",\ + "9.031950, 9.182122, 9.266927, 9.276518, 9.306546",\ + "9.774338, 9.924511, 10.009315, 10.018906, 10.048935",\ + "10.060417, 10.210590, 10.295395, 10.304985, 10.335014"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903221, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903221, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903221, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903221, 0.956298, 0.986953, 0.990420, 1.001275",\ + "0.903221, 0.956298, 0.986953, 0.990420, 1.001275"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.400447, 6.400447, 6.400447, 6.400447, 6.400447",\ + "6.414049, 6.414049, 6.414049, 6.414049, 6.414049",\ + "6.414049, 6.414049, 6.414049, 6.414049, 6.414049",\ + "6.414049, 6.414049, 6.414049, 6.414049, 6.414049",\ + "6.414049, 6.414049, 6.414049, 6.414049, 6.414049"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.376596, 6.376667, 6.376667, 6.376667, 6.376667",\ + "6.390198, 6.390270, 6.390270, 6.390270, 6.390270",\ + "6.390198, 6.390270, 6.390270, 6.390270, 6.390270",\ + "6.390198, 6.390270, 6.390270, 6.390270, 6.390270",\ + "6.390198, 6.390270, 6.390270, 6.390270, 6.390270"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_clk_redg_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.968949, 7.217022, 7.322107, 7.333991, 7.371201",\ + "7.524629, 7.772702, 7.877787, 7.889671, 7.926880",\ + "8.080309, 8.328382, 8.433468, 8.445352, 8.482561",\ + "8.822699, 9.070772, 9.175857, 9.187740, 9.224951",\ + "9.108778, 9.356851, 9.461935, 9.473820, 9.511029"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.234156, 7.384328, 7.469132, 7.478724, 7.508752",\ + "7.687347, 7.837521, 7.922324, 7.931915, 7.961944",\ + "8.140539, 8.290712, 8.375516, 8.385107, 8.415135",\ + "8.746003, 8.896176, 8.980980, 8.990571, 9.020599",\ + "9.005274, 9.155447, 9.240251, 9.249842, 9.279870"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800"); + } + + } /* end of arc mprj_io[4]_flash_clk_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.449072, 6.697145, 6.802230, 6.814115, 6.851324",\ + "6.462674, 6.710748, 6.815832, 6.827717, 6.864926",\ + "6.462674, 6.710748, 6.815832, 6.827717, 6.864926",\ + "6.462674, 6.710748, 6.815832, 6.827717, 6.864926",\ + "6.462674, 6.710748, 6.815832, 6.827717, 6.864926"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071",\ + "1.202792, 1.257074, 1.274111, 1.276038, 1.282071"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.814120, 6.964293, 7.049098, 7.058688, 7.088717",\ + "7.227660, 7.377833, 7.462638, 7.472228, 7.502257",\ + "7.577875, 7.728048, 7.812852, 7.822443, 7.852471",\ + "8.045762, 8.195935, 8.280740, 8.290330, 8.320358",\ + "8.236058, 8.386230, 8.471035, 8.480626, 8.510654"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800",\ + "0.841160, 0.894386, 0.929428, 0.933391, 0.945800"); + } + + } /* end of arc mprj_io[4]_flash_clk_una_min*/ + +} /* end of pin flash_clk */ + +pin("flash_io0") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.319869, 9.567948, 9.673032, 9.684916, 9.722125",\ + "9.319869, 9.567948, 9.673032, 9.684916, 9.722125",\ + "9.410189, 9.658267, 9.763351, 9.775235, 9.812445",\ + "9.500507, 9.748586, 9.853670, 9.865554, 9.902763",\ + "9.681144, 9.929223, 10.034307, 10.046190, 10.083400"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234241, 1.294850, 1.315918, 1.318301, 1.325761",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325761",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325761",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325761",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325761"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "9.646461, 9.800987, 9.886734, 9.896432, 9.926794",\ + "9.646461, 9.800987, 9.886734, 9.896432, 9.926794",\ + "9.736780, 9.891306, 9.977054, 9.986751, 10.017114",\ + "9.827099, 9.981625, 10.067372, 10.077069, 10.107432",\ + "10.007735, 10.162262, 10.248009, 10.257707, 10.288069"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.375000, 0.750000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903215, 0.956298, 0.986953, 0.990420, 1.001274",\ + "0.903215, 0.956298, 0.986953, 0.990420, 1.001274",\ + "0.903215, 0.956298, 0.986953, 0.990420, 1.001274",\ + "0.903215, 0.956298, 0.986953, 0.990420, 1.001274",\ + "0.903215, 0.956298, 0.986953, 0.990420, 1.001274"); + } + + } /* end of arc clock_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "clock" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.333576, 8.333576, 8.333576, 8.333576, 8.333576",\ + "8.333576, 8.333576, 8.333576, 8.333576, 8.333576",\ + "8.514214, 8.514214, 8.514214, 8.514214, 8.514214",\ + "8.574426, 8.574426, 8.574426, 8.574426, 8.574426",\ + "8.694850, 8.694851, 8.694851, 8.694851, 8.694851"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "8.309502, 8.309583, 8.309583, 8.309583, 8.309583",\ + "8.309502, 8.309583, 8.309583, 8.309583, 8.309583",\ + "8.490139, 8.490220, 8.490220, 8.490220, 8.490220",\ + "8.550350, 8.550432, 8.550432, 8.550432, 8.550432",\ + "8.670775, 8.670856, 8.670856, 8.670856, 8.670856"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 0.010000, 0.750000, 1.000000, 1.500000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc clock_flash_io0_redg_min*/ + + timing () { + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.335051, 6.583129, 6.688213, 6.700097, 6.737307",\ + "6.890689, 7.138768, 7.243852, 7.255736, 7.292945",\ + "7.446328, 7.694407, 7.799490, 7.811375, 7.848584",\ + "8.188662, 8.436741, 8.541824, 8.553709, 8.590918",\ + "8.474740, 8.722818, 8.827902, 8.839787, 8.876996"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202793, 1.257075, 1.274112, 1.276039, 1.282072",\ + "1.202793, 1.257075, 1.274112, 1.276039, 1.282072",\ + "1.202793, 1.257075, 1.274112, 1.276039, 1.282072",\ + "1.202793, 1.257075, 1.274112, 1.276039, 1.282072",\ + "1.202793, 1.257075, 1.274112, 1.276039, 1.282072"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.668077, 6.818244, 6.903049, 6.912640, 6.942669",\ + "7.121337, 7.271504, 7.356309, 7.365900, 7.395929",\ + "7.574596, 7.724764, 7.809568, 7.819160, 7.849188",\ + "8.180151, 8.330318, 8.415123, 8.424714, 8.454743",\ + "8.439403, 8.589570, 8.674375, 8.683966, 8.713994"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841142, 0.894382, 0.929425, 0.933388, 0.945797",\ + "0.841142, 0.894382, 0.929425, 0.933388, 0.945797",\ + "0.841142, 0.894382, 0.929425, 0.933388, 0.945797",\ + "0.841142, 0.894382, 0.929425, 0.933388, 0.945797",\ + "0.841142, 0.894382, 0.929425, 0.933388, 0.945797"); + } + + } /* end of arc mprj_io[2]_flash_io0_una*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[2]" ; + timing_type : combinational ; + timing_sense : positive_unate ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.028836, 6.276915, 6.381999, 6.393883, 6.431092",\ + "6.042210, 6.290289, 6.395372, 6.407257, 6.444466",\ + "6.042210, 6.290289, 6.395372, 6.407257, 6.444466",\ + "6.042210, 6.290289, 6.395372, 6.407257, 6.444466",\ + "6.042210, 6.290289, 6.395372, 6.407257, 6.444466"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.202793, 1.257075, 1.274112, 1.276039, 1.282072",\ + "1.202793, 1.257075, 1.274112, 1.276039, 1.282072",\ + "1.202793, 1.257075, 1.274112, 1.276039, 1.282072",\ + "1.202793, 1.257075, 1.274112, 1.276039, 1.282072",\ + "1.202793, 1.257075, 1.274112, 1.276039, 1.282072"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.356409, 6.506577, 6.591381, 6.600972, 6.631001",\ + "6.696417, 6.846585, 6.931390, 6.940980, 6.971009",\ + "7.118074, 7.268242, 7.353046, 7.362638, 7.392666",\ + "7.584558, 7.734726, 7.819530, 7.829122, 7.859150",\ + "7.774908, 7.925076, 8.009880, 8.019471, 8.049500"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.010000, 1.000000, 2.505000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.841142, 0.894382, 0.929425, 0.933388, 0.945797",\ + "0.841142, 0.894382, 0.929425, 0.933388, 0.945797",\ + "0.841142, 0.894382, 0.929425, 0.933388, 0.945797",\ + "0.841142, 0.894382, 0.929425, 0.933388, 0.945797",\ + "0.841142, 0.894382, 0.929425, 0.933388, 0.945797"); + } + + } /* end of arc mprj_io[2]_flash_io0_una_min*/ + + timing () { + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.503166, 7.751245, 7.856328, 7.868213, 7.905422",\ + "8.058846, 8.306925, 8.412008, 8.423893, 8.461102",\ + "8.614527, 8.862606, 8.967690, 8.979574, 9.016783",\ + "9.356916, 9.604995, 9.710079, 9.721963, 9.759172",\ + "9.642995, 9.891074, 9.996158, 10.008041, 10.045251"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "1.234241, 1.294850, 1.315918, 1.318301, 1.325761",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325761",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325761",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325761",\ + "1.234241, 1.294850, 1.315918, 1.318301, 1.325761"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "7.861257, 8.015783, 8.101530, 8.111228, 8.141590",\ + "8.416938, 8.571465, 8.657211, 8.666909, 8.697271",\ + "8.972618, 9.127144, 9.212892, 9.222589, 9.252952",\ + "9.715008, 9.869534, 9.955281, 9.964978, 9.995341",\ + "10.001086, 10.155613, 10.241360, 10.251058, 10.281420"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.903215, 0.956298, 0.986953, 0.990420, 1.001274",\ + "0.903215, 0.956298, 0.986953, 0.990420, 1.001274",\ + "0.903215, 0.956298, 0.986953, 0.990420, 1.001274",\ + "0.903215, 0.956298, 0.986953, 0.990420, 1.001274",\ + "0.903215, 0.956298, 0.986953, 0.990420, 1.001274"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg*/ + + timing () { + min_delay_flag : true ; + related_pin : "mprj_io[4]" ; + timing_type : rising_edge ; + cell_rise( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.352574, 6.352574, 6.352574, 6.352574, 6.352574",\ + "6.366177, 6.366177, 6.366177, 6.366177, 6.366177",\ + "6.366177, 6.366177, 6.366177, 6.366177, 6.366177",\ + "6.366177, 6.366177, 6.366177, 6.366177, 6.366177",\ + "6.366177, 6.366177, 6.366177, 6.366177, 6.366177"); + } + rise_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + cell_fall( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "6.328499, 6.328581, 6.328581, 6.328581, 6.328581",\ + "6.342102, 6.342183, 6.342183, 6.342183, 6.342183",\ + "6.342102, 6.342183, 6.342183, 6.342183, 6.342183",\ + "6.342102, 6.342183, 6.342183, 6.342183, 6.342183",\ + "6.342102, 6.342183, 6.342183, 6.342183, 6.342183"); + } + fall_transition( f_itrans_ocap ){ + index_1 ( "0.000000, 1.250000, 2.500000, 4.170000, 5.000000"); + index_2 ( "1.117215, 1.787544, 2.244425, 2.296095, 2.457873"); + values ( "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000",\ + "0.000000, 0.000000, 0.000000, 0.000000, 0.000000"); + } + + } /* end of arc mprj_io[4]_flash_io0_redg_min*/ + +} /* end of pin flash_io0 */ + +pin("flash_io1") { + direction : output ; + is_three_state : true ; + max_capacitance : 1.000000 ; + min_capacitance : 0.000000 ; + function : "0" ; + capacitance : 1.117215 ; + is_pad : true ; + + /* Other user defined attributes. */ + +} /* end of pin flash_io1 */ + +pin("hk_serial_load") { + direction : internal ; + clock : true ; + max_transition : 5.000000 ; + min_transition : 0.000000 ; + min_capacitance : 0.000000 ; + capacitance : 0.000000 ; + + /* Other user defined attributes. */ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + fall_constraint( scalar ){ + values ( "0.930550"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwl*/ + + timing () { + related_pin : "hk_serial_load" ; + timing_type : min_pulse_width ; + rise_constraint( scalar ){ + values ( "0.980367"); + } + + } /* end of arc hk_serial_load_hk_serial_load_pwh*/ + +} /* end of pin hk_serial_load */ + +} /* end of cell */ + +} /* end of library */ + diff --git a/signoff/caravan/primetime/sdf/ff/caravan.max.sdf.gz b/signoff/caravan/primetime/sdf/ff/caravan.max.sdf.gz new file mode 100644 index 00000000..3055262d Binary files /dev/null and b/signoff/caravan/primetime/sdf/ff/caravan.max.sdf.gz differ diff --git a/signoff/caravan/primetime/sdf/ff/caravan.min.sdf.gz b/signoff/caravan/primetime/sdf/ff/caravan.min.sdf.gz new file mode 100644 index 00000000..adc39f3a Binary files /dev/null and b/signoff/caravan/primetime/sdf/ff/caravan.min.sdf.gz differ diff --git a/signoff/caravan/primetime/sdf/ff/caravan.nom.sdf.gz b/signoff/caravan/primetime/sdf/ff/caravan.nom.sdf.gz new file mode 100644 index 00000000..15d24d9d Binary files /dev/null and b/signoff/caravan/primetime/sdf/ff/caravan.nom.sdf.gz differ diff --git a/signoff/caravan/primetime/sdf/ss/caravan.max.sdf.gz b/signoff/caravan/primetime/sdf/ss/caravan.max.sdf.gz new file mode 100644 index 00000000..96ebc779 Binary files /dev/null and b/signoff/caravan/primetime/sdf/ss/caravan.max.sdf.gz differ diff --git a/signoff/caravan/primetime/sdf/ss/caravan.min.sdf.gz b/signoff/caravan/primetime/sdf/ss/caravan.min.sdf.gz new file mode 100644 index 00000000..4049848f Binary files /dev/null and b/signoff/caravan/primetime/sdf/ss/caravan.min.sdf.gz differ diff --git a/signoff/caravan/primetime/sdf/ss/caravan.nom.sdf.gz b/signoff/caravan/primetime/sdf/ss/caravan.nom.sdf.gz new file mode 100644 index 00000000..17e771c3 Binary files /dev/null and b/signoff/caravan/primetime/sdf/ss/caravan.nom.sdf.gz differ diff --git a/signoff/caravan/primetime/sdf/tt/caravan.max.sdf.gz b/signoff/caravan/primetime/sdf/tt/caravan.max.sdf.gz new file mode 100644 index 00000000..37a104e3 Binary files /dev/null and b/signoff/caravan/primetime/sdf/tt/caravan.max.sdf.gz differ diff --git a/signoff/caravan/primetime/sdf/tt/caravan.min.sdf.gz b/signoff/caravan/primetime/sdf/tt/caravan.min.sdf.gz new file mode 100644 index 00000000..34fce63a Binary files /dev/null and b/signoff/caravan/primetime/sdf/tt/caravan.min.sdf.gz differ diff --git a/signoff/caravan/primetime/sdf/tt/caravan.nom.sdf.gz b/signoff/caravan/primetime/sdf/tt/caravan.nom.sdf.gz new file mode 100644 index 00000000..c089fb05 Binary files /dev/null and b/signoff/caravan/primetime/sdf/tt/caravan.nom.sdf.gz differ