From 8aa8b4d75022e1dde906bcbcd6b8d01a0b0af6b4 Mon Sep 17 00:00:00 2001 From: Passant Date: Thu, 13 Oct 2022 09:39:35 -0700 Subject: [PATCH] update signoff sdc for `gpio_control_block` to add false path constraints on `resetn` port and `gpio_default` as they are constants --- sdc/gpio_control_block.sdc | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/sdc/gpio_control_block.sdc b/sdc/gpio_control_block.sdc index a8a517d4..ee8fd668 100644 --- a/sdc/gpio_control_block.sdc +++ b/sdc/gpio_control_block.sdc @@ -109,3 +109,7 @@ set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_ris ############################################################################### set_max_transition 0.75 [current_design] set_max_fanout 7.0000 [current_design] + +# False path from the RESET port +set_false_path -from [get_ports "resetn"] +set_false_path -from [get_ports {gpio_defaults[*]}] \ No newline at end of file