mirror of https://github.com/efabless/caravel.git
update `mgmt_protect` signoff sdc with `25ns` virtual clock period
This commit is contained in:
parent
e6b3c86bdf
commit
7facc3a6d7
|
@ -5,7 +5,7 @@
|
|||
###############################################################################
|
||||
# Timing Constraints
|
||||
###############################################################################
|
||||
create_clock -name v_clk -period 10
|
||||
create_clock -name v_clk -period 25
|
||||
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk2}]
|
||||
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_rstn}]
|
||||
|
|
Loading…
Reference in New Issue