update `mgmt_protect` signoff sdc with `25ns` virtual clock period

This commit is contained in:
Passant 2022-10-30 08:15:36 -07:00
parent e6b3c86bdf
commit 7facc3a6d7
1 changed files with 1 additions and 1 deletions

View File

@ -5,7 +5,7 @@
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name v_clk -period 10
create_clock -name v_clk -period 25
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk}]
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk2}]
set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_rstn}]